US20080045009A1 - Method and apparatus for simultaneously removing multiple conductive materials from microelectronic substrates - Google Patents

Method and apparatus for simultaneously removing multiple conductive materials from microelectronic substrates Download PDF

Info

Publication number
US20080045009A1
US20080045009A1 US11/844,459 US84445907A US2008045009A1 US 20080045009 A1 US20080045009 A1 US 20080045009A1 US 84445907 A US84445907 A US 84445907A US 2008045009 A1 US2008045009 A1 US 2008045009A1
Authority
US
United States
Prior art keywords
electrolytic liquid
conductive materials
semiconductor substrate
conductive
conductive material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/844,459
Inventor
Dinesh Chopra
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Priority to US11/844,459 priority Critical patent/US20080045009A1/en
Publication of US20080045009A1 publication Critical patent/US20080045009A1/en
Priority to US14/281,606 priority patent/US9214359B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23HWORKING OF METAL BY THE ACTION OF A HIGH CONCENTRATION OF ELECTRIC CURRENT ON A WORKPIECE USING AN ELECTRODE WHICH TAKES THE PLACE OF A TOOL; SUCH WORKING COMBINED WITH OTHER FORMS OF WORKING OF METAL
    • B23H5/00Combined machining
    • B23H5/06Electrochemical machining combined with mechanical working, e.g. grinding or honing
    • B23H5/08Electrolytic grinding
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F3/00Electrolytic etching or polishing
    • C25F3/02Etching
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F3/00Electrolytic etching or polishing
    • C25F3/16Polishing
    • C25F3/30Polishing of semiconducting materials
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F7/00Constructional parts, or assemblies thereof, of cells for electrolytic removal of material from objects; Servicing or operating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • H01L21/32125Planarisation by chemical mechanical polishing [CMP] by simultaneously passing an electrical current, i.e. electrochemical mechanical polishing, e.g. ECMP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation

Definitions

  • the present disclosure is directed toward methods and apparatuses for simultaneously removing multiple conductive materials from microelectronic substrates.
  • Microelectronic substrates and substrate assemblies typically include a semiconductor material having features, such as memory cells, that are linked with conductive lines.
  • the conductive lines can be formed by first forming trenches or other recesses in the semiconductor material and then overlaying a conductive material (such as a metal) in the trenches. The conductive material is then selectively removed to leave conductive lines or vias extending from one feature in the semiconductor material to another.
  • FIG. 1 is a partially schematic illustration of a portion of a microelectronic substrate 10 having a conductive line formed in accordance with the prior art.
  • the microelectronic substrate 10 includes an aperture or recess 16 in an oxide material 13 .
  • a barrier layer 14 formed from materials such as tantalum or tantalum compounds, is disposed on the microelectronic substrate 10 and in the aperture 16 .
  • a conductive material 15 such as copper, is then disposed on the barrier layer 14 .
  • the barrier layer 14 can prevent copper atoms from migrating into the surrounding oxide 13 .
  • CMP chemical-mechanical planarization
  • High downforces are typically required to remove copper and tantalum from the microelectronic substrate 10 .
  • High downforces can cause other portions of the microelectronic substrate 10 to become dished or eroded, and/or can smear structures in other parts of the microelectronic substrate 10 .
  • high downforces typically are not compatible with soft substrate materials. However, it is often desirable to use soft materials, such as ultra low dielectric materials, around the conductive features to reduce and/or eliminate electrical coupling between these features.
  • a method in accordance with one aspect of the invention includes contacting a surface of a microelectronic substrate with an electrolytic liquid, the microelectronic substrate having a first conductive material and a second conductive material different than the first.
  • the method can still further include controlling an absolute value of a difference between a first open circuit potential of the first conductive material and a second open circuit potential of the second conductive material by selecting a pH of the electrolytic liquid.
  • the method can further include simultaneously removing at least portions of the first and second conductive materials by passing a varying electrical signal through the electrolytic liquid and the conductive materials while the electrolytic liquid contacts the microelectronic substrate.
  • the method can include controlling an absolute value of a difference between the first open circuit potential and the second open circuit potential to be about 0.50 volts or less by selecting the pH of the electrolytic liquid to be from about 2 to about 5.
  • the conductive materials can be removed simultaneously by passing an electrical signal from a first electrode spaced apart from the microelectronic substrate, through the electrolytic liquid to the first and second conductive materials and from the first and second conductive materials through the electrolytic liquid to a second electrode spaced apart from the first electrode and spaced apart from the microelectronic substrate.
  • a method in accordance with another aspect of the invention includes providing a microelectronic substrate having a first conductive material and a second conductive material different than the first.
  • the method can further include disposing on the microelectronic substrate an electrolytic liquid having a pH that controls a difference between a first open circuit potential of the first conductive material and a second open circuit potential on the second conductive material.
  • the method can further include simultaneously removing at least portions of the first and second conductive materials by passing a variable electrical signal through the electrolytic liquid and the conductive materials while the electrolytic liquid contacts the microelectronic substrate.
  • An electrolytic liquid in accordance with another embodiment of the invention can include a liquid carrier and an electrolyte disposed in the liquid carrier.
  • the electrolyte can be configured to transmit electrical signals from an electrode to the first and second conductive materials of the microelectronic substrate.
  • a pH of the electrolytic liquid can be from about 2 to about 5.
  • FIG. 1 is a partially schematic, cross-sectional view of a portion of a microelectronic substrate having multiple conductive materials processed in accordance with the prior art.
  • FIGS. 2A-2C are partially schematic, cross-sectional illustrations of a portion of a microelectronic substrate having multiple conductive materials processed in accordance with an embodiment of the invention.
  • FIG. 3 is a partially schematic, cross-sectional view of a portion of a microelectronic substrate having multiple conductive materials processed in accordance with another embodiment of the invention.
  • FIG. 4 is a partially schematic illustration of an apparatus for electrolytically removing conductive materials from a microelectronic substrate in accordance with an embodiment of the invention.
  • FIG. 5 is a partially schematic illustration of an apparatus for electrolytically removing conductive materials from a microelectronic substrate in accordance with another embodiment of the invention.
  • FIG. 6 is a partially schematic illustration of an apparatus for electrolytically, chemically-mechanically and/or electrochemically-mechanically removing conductive material from a microelectronic substrate in accordance with still another embodiment of the invention.
  • FIG. 7 is a partially schematic, isometric view of a portion of an embodiment of the apparatus shown in FIG. 6 .
  • FIG. 8 is a partially schematic, isometric illustration of a portion of an apparatus for removing conductive material from a microelectronic substrate in accordance with yet another embodiment of the invention.
  • FIG. 9 is a schematic illustration of a waveform for electrolytically processing a microelectronic substrate in accordance with still another embodiment of the invention.
  • microelectronic substrate is used throughout to include a substrate upon which and/or in which microelectronic circuits or components, data storage elements or layers, and/or micro-mechanical elements are fabricated.
  • features in the substrate can include submicron features (having submicron dimensions ranging from, for example, 0.1 micron to 0.75 micron) such as trenches, vias, lines and holes.
  • One approach for addressing some of the drawbacks described above with reference to FIG. 1 is to remove conductive materials from the microelectronic substrate with electrolytic processes. Accordingly, a voltage is applied to the conductive material in the presence of an electrolytic liquid to remove the conductive material.
  • electrolytic liquids cannot simultaneously remove copper and tantalum, once the tantalum barrier layer has been exposed.
  • CMP chemical-mechanical planarization
  • this approach typically re-introduces the high downforces that the initial electrolytic process was intended to avoid.
  • another approach has been to replace the tantalum barrier layer with a tungsten barrier layer.
  • tungsten (and tungsten compounds) typically form a galvanic couple with copper, which results in one or the other of these materials corroding and dissolving at an uncontrolled rate.
  • the following disclosure describes methods and apparatuses for overcoming this drawback.
  • FIG. 2A is a partially schematic, cross-sectional side view of a microelectronic substrate 210 prior to electrolytic processing in accordance with an embodiment of the invention.
  • the microelectronic substrate 210 includes a substrate material 213 , such as an oxide or a low dielectric constant material.
  • the substrate material 213 includes a substrate material surface 217 having an aperture 216 formed by conventional processes, such as selective etch processes.
  • a first conductive material 218 is disposed on the substrate material 213 and can form a barrier layer 214 along the walls of the aperture 216 .
  • a second conductive material 209 such as a blanket fill material, can be disposed on the first conductive material 218 to form a fill layer 219 .
  • the first conductive material 218 can include tungsten (W) or a tungsten compound, such as tungsten nitride (WN x ), and the second conductive material 209 can include copper or copper alloys such as alloys that include at least 50% copper. In other embodiments, these conductive materials can include other elements or compounds. In any of these embodiments, the first conductive material 218 and the second conductive material 209 can collectively define a conductive portion 211 of the microelectronic substrate 210 .
  • the first conductive material 218 and second conductive material 219 external to the aperture 216 are typically removed.
  • the second conductive material 209 is removed using a CMP process.
  • an electrochemical-mechanical polishing (ECMP) process or an electrolytic process is used to remove the second conductive material 209 .
  • An advantage of electrolytic and ECMP processes is that the downforce applied to the microelectronic substrate 210 during processing can be reduced or eliminated. Apparatuses for performing these processes are described in greater detail below with reference to FIGS. 4-9 .
  • the result after completing this portion of the process is a microelectronic substrate 210 having the second conductive material 209 external to the aperture 216 and external to the barrier layer 214 removed, as is shown in FIG. 2B .
  • a process in accordance with one embodiment of the invention includes simultaneously, electrolytically removing the portions of the second conductive material 209 and the first conductive material 218 that extend beyond the substrate material surface 217 after the initial removal process described above with reference to FIG. 2A .
  • an electrolytic liquid 231 can be disposed on the microelectronic substrate 210 and a pair of electrodes 220 (shown as a first electrode 220 a and a second electrode 220 b ) can be positioned in electrical communication with the electrolytic liquid 231 .
  • the electrodes 220 can be coupled to a variable signal transmitter 221 (such as a variable current source) to provide a varying electrical signal to both the first conductive material 218 and the second conductive material 209 .
  • a variable signal transmitter 221 such as a variable current source
  • These conductive materials can be simultaneously removed via an electrolytic process
  • the pH of the electrolytic liquid 231 is selected to control the difference between the open circuit potential of the first conductive material 218 and the open circuit potential of the second conductive material 209 .
  • the difference in open circuit potentials between the first conductive material 218 and the second conductive material 209 refers to the difference in electrical potential that would result when measuring the voltage difference between the first conductive material 218 and the second conductive material 209 in the presence of the electrolytic liquid 231 , but in the absence of any current applied by the signal transmitter 221 .
  • the pH of the electrolytic liquid 231 can be selected to be from about 2 to about 5 to produce a difference in open circuit potential of from about 0.50 volts to about ⁇ 0.50 volts.
  • the absolute value of the difference in open circuit potential can be about 0.50 volts or less.
  • the absolute value of the difference in open circuit potential can be about 0.25 volts or less, for example, 0.15 volts or less.
  • the pH of the electrolytic liquid 231 can have other values to produce near-zero open circuit potential differentials for other combinations of first conductive materials 218 and second conductive materials 209 .
  • the electrolytic liquid 231 can have a pH of from about 0 to about 7.
  • the first and second conductive materials 218 , 209 can be removed simultaneously without necessarily being removed at the same rates.
  • the copper can be removed at about four times the rate at which the tungsten or tungsten compound is removed.
  • the first and second conductive materials 218 , 209 can be removed at rates that vary by greater or lesser amounts.
  • the pH of the electrolytic liquid 231 can be controlled by disposing an acid in the electrolytic liquid 231 .
  • the electrolytic liquid 231 can include a liquid carrier (such as deionized water) and an acid such as nitric acid, acetic acid, hydrochloric acid, sulfuric acid, or phosphoric acid.
  • the electrolytic liquid 231 can include other acids.
  • the acid can provide ions to enhance the electrolytic action of the electrolytic liquid 231 .
  • the electrolytic liquid 231 can also optionally include an inhibitor, such as benzotriazole (BTA) to produce more uniform material removal.
  • BTA benzotriazole
  • the electrolytic liquid 231 can also include oxidizers, such as hydroxylamine, peroxide or ammonium persulfate.
  • oxidizers such as hydroxylamine, peroxide or ammonium persulfate.
  • the oxidizers can be eliminated, for example, when the electrolytic action provided by the electrodes 220 is sufficient to oxidize the conductive materials 218 and 209 .
  • the first conductive material 218 and the second conductive material 209 external to the recess 216 can be removed, producing a microelectronic substrate 210 having an embedded conductive structure 208 , as shown in FIG. 2C .
  • the conductive structure 208 can include a conductive line and in other embodiments, conductive structure 208 can include a via or other feature in the microelectronic substrate 210 .
  • the foregoing processes can provide a conductive structure 208 having a smooth external surface 207 that includes smooth external surface portions for both the first conductive material 218 and the second conductive material 209 .
  • One feature of an embodiment of the method described above with reference to FIGS. 2A-2C is that the pH of the electrolytic liquid 231 can be selected to reduce or eliminate the open circuit potential differential between the first conductive material 218 and the second conductive material 209 .
  • An advantage of this feature is that the likelihood for a galvanic reaction, which can preferentially pit, dissolve, or otherwise remove one of the conductive materials more readily than the other, can be reduced and/or eliminated. Accordingly, the resulting external surface 207 that includes the first conductive material 218 and the second conductive material 209 can be clean and uniform, as shown in FIG. 2C .
  • Another advantage of this feature is that the first conductive material 218 and the second conductive material 209 can be removed simultaneously without requiring high downforces which can damage structures and features of the microelectronic substrate 210 .
  • the first and second electrodes 220 a , 220 b are spaced apart from the microelectronic substrate 210 as they remove conductive materials from the microelectronic substrate 210 .
  • An advantage of this arrangement is that the conductive material removal process can be relatively uniform.
  • one or more of the electrodes can be positioned in direct contact with the microelectronic substrate 210 .
  • a first electrode 320 a can be positioned in a spaced apart orientation relative to the microelectronic substrate 210
  • a second electrode 320 b can be connected to a rear surface of the microelectronic substrate 210 .
  • a conductive path 308 (such as an internal via) between the rear surface and the conductive portion 211 of the microelectronic substrate can complete the circuit between the electrodes 320 a , 320 b , allowing the signal transmitter 221 to remove conductive material in a manner generally similar to that described above.
  • the second electrode 320 b can be connected directly to the microelectronic substrate 210 . Such arrangements can be used when material removal nonuniformities which may result from the direct contact between the electrode and the microelectronic substrate are remote from regions that might be adversely affected by such nonuniformities.
  • FIGS. 4-9 illustrate apparatuses for electrolytically, chemically-mechanically, and/or electrochemically-mechanically removing material from microelectronic substrates to perform the processes described above with reference to FIGS. 2A-3 .
  • an apparatus 460 can electrolytically remove conductive material from the microelectronic substrate 210 in accordance with an embodiment of the invention.
  • the apparatus 460 includes liquid support, such as a vessel 430 containing an electrolytic liquid or gel 431 .
  • a support member 440 supports the microelectronic substrate 210 relative to the vessel 430 so that the conductive portion 211 of the microelectronic substrate 210 contacts the electrolytic liquid 431 .
  • the support member 440 can be coupled to a substrate drive unit 441 that moves the support member 440 and the substrate 210 relative to the vessel 430 .
  • the substrate drive unit 441 can translate the support member 440 (as indicated by arrow “A”) and/or rotate the support member 440 (as indicated by arrow “B”).
  • the apparatus 460 can further include a first electrode 420 a and a second electrode 420 b (referred to collectively as electrodes 420 ) supported relative to the microelectronic substrate 210 by a support arm 424 .
  • the support arm 424 is coupled to an electrode drive unit 423 for moving the electrodes 420 relative to the microelectronic substrate 210 .
  • the electrode drive unit 423 can move the electrodes 420 toward and away from the conductive portion 211 of the microelectronic substrate 210 , (as indicated by arrow “C”), and/or transversely (as indicated by arrow “D”) in a plane generally parallel to the conductive portion 211 .
  • the electrode drive unit 423 can move the electrodes 420 in other fashions, or the electrode drive unit 423 can be eliminated when the substrate drive unit 441 provides sufficient relative motion between the substrate 210 and the electrodes 420 .
  • the electrodes 420 can be coupled to a signal transmitter 421 with leads 428 for supplying electrical current to the electrolytic liquid 431 and the conductive portion 211 .
  • the signal transmitter 421 can supply an alternating current (signal phase or multi-phase) to the electrodes 420 .
  • the current passes through the electrolytic liquid 431 and reacts electrochemically with the conductive portion 211 to remove material (for example, atoms or groups of atoms) from the conductive portion 211 .
  • the electrodes 420 and/or the microelectronic substrate 210 can be moved relative to each other to remove material from select regions of the conductive portion 211 , or from the entire conductive portion 211 .
  • a distance D 1 between the electrodes 420 and the conductive portion 211 is set to be smaller than a distance D 2 between the first electrode 420 a and the second electrode 420 b .
  • the electrolytic liquid 431 generally has a higher resistance than the conductive portion 211 . Accordingly, the alternating current follows the path of least resistance from the first electrode 420 a , through the electrolytic liquid 431 to the conductive portion 211 and back through the electrolytic liquid 431 to the second electrode 420 b , rather than from the first electrode 420 a directly through the electrolytic liquid 431 to the second electrode 420 b .
  • the resistance of the electrolytic liquid 431 can be increased as the thickness of the conductive portion 211 decreases (and the resistance of the conductive portion 211 increases) to maintain the current path described above.
  • a low dielectric material (not shown) can be positioned between the first electrode 420 a and the second electrode 420 b to decouple direct electrical communication between the electrodes 420 that does not first pass through the conductive portion 211 .
  • FIG. 5 is a partially schematic, side elevation view of an apparatus 560 that includes a support member 540 positioned to support the microelectronic substrate 210 in accordance with another embodiment of the invention.
  • the support member 540 supports the microelectronic substrate 210 with the conductive portion 211 facing upwardly.
  • a substrate drive unit 541 can move the support member 540 and the microelectronic substrate 210 , as described above with reference to FIG. 4 .
  • Electrodes 520 including first and second electrodes 520 a and 520 b , are positioned above the conductive portion 211 and are coupled to a current source 521 .
  • a support arm 524 supports the electrodes 520 relative to the substrate 210 and is coupled to an electrode drive unit 523 to move the electrodes 520 over the surface of the conductive portion 211 in a manner generally similar to that described above with reference to FIG. 4 .
  • the apparatus 560 further includes an electrolyte vessel 530 having a supply conduit 537 with an aperture 538 positioned proximate to the electrodes 520 .
  • an electrolytic liquid 531 can be deposited locally in an interface region 539 between the electrodes 520 and the conductive portion 211 , without necessarily covering the entire conductive portion 211 .
  • the electrolytic liquid 531 and the conductive material removed from the conductive portion 211 flow over the substrate 210 and collect in an electrolyte receptacle 532 .
  • the mixture of electrolytic liquid 531 and conductive material can flow to a reclaimer 533 that removes most of the conductive material from the electrolytic liquid 531 .
  • a filter 534 positioned downstream of the reclaimer 533 provides additional filtration of the electrolytic liquid 531 , and a pump 535 returns the reconditioned electrolytic liquid 531 to the electrolyte vessel 530 via a return line 536 .
  • the apparatus 560 can include a sensor assembly 550 having a sensor 551 positioned proximate to the conductive portion 211 , and a sensor control unit 552 coupled to the sensor 551 for processing signals generated by the sensor 551 .
  • the control unit 552 can also move the sensor 551 relative to the microelectronic substrate 210 .
  • the sensor assembly 550 can be coupled via a feedback path 553 to the electrode drive unit 523 and/or the substrate drive unit 541 .
  • the senor 551 can determine which areas of the conductive portion 211 require additional material removal and can move the electrodes 520 and/or the microelectronic substrate 210 relative to each other to position the electrodes 520 over those areas.
  • the electrodes 520 and/or the microelectronic substrate 210 can move relative to each other according to a pre-determined motion schedule.
  • FIG. 6 schematically illustrates an apparatus 660 for electrolytically, chemically-mechanically and/or electrochemically-mechanically polishing the microelectronic substrate 210 in accordance with an embodiment of the invention.
  • the apparatus 660 has a support table 680 with a top-panel 681 at a workstation where an operative portion “W” of a polishing pad 683 is positioned.
  • the top-panel 681 is generally a rigid plate to provide a flat, solid surface to which a particular section of the polishing pad 683 may be secured during polishing.
  • the apparatus 660 can also have a plurality of rollers to guide, position and hold the polishing pad 683 over the top-panel 681 .
  • the rollers can include a supply roller 687 , first and second idler rollers 684 a and 684 b , first and second guide rollers 685 a and 685 b , and a take-up roller 686 .
  • the supply roller 687 carries an unused or preoperative portion of the polishing pad 683
  • the take-up roller 686 carries a used or postoperative portion of the polishing pad 683 .
  • the first idler roller 684 a and the first guide roller 685 a can stretch the polishing pad 683 over the top-panel 681 to hold the polishing pad 683 stationary during operation.
  • a motor (not shown) drives at least one of the supply roller 687 and the take-up roller 686 to sequentially advance the polishing pad 683 across the top-panel 681 . Accordingly, clean preoperative sections of the polishing pad 683 may be quickly substituted for used sections to provide a consistent surface for polishing and/or cleaning the microelectronic substrate 210 .
  • the apparatus 660 can also have a carrier assembly 690 that controls and protects the microelectronic substrate 210 during polishing.
  • the carrier assembly 690 can include a substrate holder 692 to pick up, hold and release the microelectronic substrate 210 at appropriate stages of the polishing process.
  • the carrier assembly 690 can also have a support gantry 694 carrying a drive assembly 695 that can translate along the gantry 694 .
  • the drive assembly 695 can have an actuator 696 , a drive shaft 697 coupled to the actuator 696 , and an arm 698 projecting from the drive shaft 697 .
  • the arm 698 carries the substrate holder 692 via a terminal shaft 699 such that the drive assembly 695 orbits the substrate holder 692 about an axis E-E (as indicated by arrow “R 1 ”).
  • the terminal shaft 699 may also rotate the substrate holder 692 about its central axis F-F (as indicated by arrow “R 2 ”).
  • the polishing pad 683 and a polishing liquid 689 define a polishing medium 682 that electrolytically, chemically-mechanically, and/or electro-chemically-mechanically removes material from the surface of the microelectronic substrate 210 .
  • the polishing pad 683 may be a nonabrasive pad without abrasive particles, and the polishing liquid 689 can be a slurry with abrasive particles and chemicals to remove material from the microelectronic substrate 210 .
  • the polishing pad 683 can be a fixed-abrasive polishing pad in which abrasive particles are fixedly bonded to a suspension medium.
  • the carrier assembly 690 presses the microelectronic substrate 210 against a polishing surface 688 of the polishing pad 683 in the presence of the polishing liquid 689 .
  • the drive assembly 695 then orbits the substrate holder 692 about the axis E-E and optionally rotates the substrate holder 692 about the axis F-F to translate the substrate 210 across the polishing surface 688 .
  • the abrasive particles and/or the chemicals in the polishing medium 682 remove material from the surface of the microelectronic substrate 210 in a chemical and/or chemical-mechanical polishing process.
  • the polishing liquid 689 can include an electrolyte for electrolytic processing or ECMP processing.
  • the apparatus 660 can include an electrolyte supply vessel 630 that delivers an electrolyte separately to the polishing surface 688 of the polishing pad 683 with a conduit 637 , as described in greater detail below with reference to FIG. 7 .
  • the apparatus 660 can further include a current supply 621 coupled to electrodes positioned proximate to the polishing pad 683 . Accordingly, the apparatus 660 can electrolytically remove material from the microelectronic substrate 210 .
  • FIG. 7 is a partially exploded, partially schematic isometric view of a portion of the apparatus 660 described above with reference to FIG. 6 .
  • the top-panel 681 houses a plurality of electrode pairs, each of which includes a first electrode 720 a and a second electrode 720 b .
  • the first electrodes 720 a are coupled to a first lead 728 a and the second electrodes 720 b are coupled to a second lead 728 b .
  • the first and second leads 728 a and 728 b are coupled to the current supply 621 ( FIG. 6 ).
  • the first electrodes 720 a can be separated from the second electrodes 720 b by an electrode dielectric layer 729 a that includes TeflonTM or another suitable dielectric material.
  • the electrode dielectric layer 729 a can accordingly control the volume and dielectric constant of the region between the first and second electrodes 720 a and 720 b to control the electrical coupling between the electrodes.
  • the electrodes 720 a and 720 b can be electrically coupled to the microelectronic substrate 210 ( FIG. 6 ) by the polishing pad 683 .
  • the polishing pad 683 is saturated with an electrolytic liquid 731 supplied by the supply conduits 637 through apertures 738 in the top-panel 681 just beneath the polishing pad 683 .
  • the electrodes 720 a and 720 b are selected to be compatible with the electrolytic liquid 731 .
  • the electrolytic liquid 731 can be supplied to the polishing pad 683 from above (for example, by disposing the electrolytic liquid 731 in the polishing liquid 689 , rather than by directing the electrolytic liquid upwardly through the polishing pad 683 ).
  • the apparatus 660 can include a pad dielectric layer 729 b positioned between the polishing pad 683 and the electrodes 720 a and 720 b .
  • the electrodes 720 a and 720 b can be isolated from physical contact with the electrolytic liquid 731 and can accordingly be selected from materials that are not necessarily compatible with the electrolytic liquid 731 .
  • FIG. 8 is an isometric view of a portion of an apparatus 860 having electrodes 820 (shown as a first electrode 820 a and a second electrode 820 b ), and a polishing medium 882 arranged in accordance with another embodiment of the invention.
  • the polishing medium 882 includes polishing pad portions 883 that project beyond the electrodes 820 a and 820 b .
  • Each polishing pad portion 883 can include a polishing surface 888 and a plurality of flow passages 884 coupled to a fluid source (not shown in FIG. 8 ) with a conduit 837 .
  • Each flow passage 884 can have an aperture 885 proximate to the polishing surface 888 to provide an electrolytic liquid 831 proximate to an interface between the microelectronic substrate 210 and the polishing surface 888 .
  • the pad portions 883 can include recesses 887 surrounding each aperture 885 . Accordingly, the electrolytic liquid 831 can proceed outwardly from the flow passages 884 while the microelectronic substrate 210 is positioned directly overhead and remains spaced apart from the electrodes 820 .
  • the polishing pad portions 883 can be applied to other electrodes, such as those described above with reference to FIGS. 4 and 5 to provide for mechanical as well as electromechanical material removed.
  • the foregoing apparatuses described above with reference to FIGS. 4-8 can be used to electrolytically, chemically-mechanically and/or electrochemically-mechanically process the microelectronic substrate 210 .
  • the apparatuses can provide a varying electrical current that passes from the electrodes, through the conductive material of the microelectronic substrate 210 via the electrolytic liquid.
  • the apparatus can generate a high-frequency wave 904 and can superimpose a low-frequency wave 902 on the high-frequency wave 904 .
  • the high-frequency wave 904 can include a series of positive or negative voltage spikes contained within a square wave envelope defined by the low-frequency wave 902 .
  • Each spike of the high-frequency wave 904 can have a relatively steep rise-time slope to transfer charge through the dielectric material to the electrolytic liquid, and a more gradual fall-time slope.
  • the fall-time slope can define a straight line, as indicated by high-frequency wave 904 , or a curved line, as indicated by high-frequency wave 904 a .
  • the high-frequency wave 904 and the low-frequency wave 902 can have other shapes depending, for example, on the particular characteristics of the dielectric material and the electrolytic liquid, the characteristics of the microelectronic substrate 210 , and/or the target rate at which conductive material is to be removed from the microelectronic substrate 210 .
  • a single apparatus can be used to electrolytically remove first the second conductive material 209 and then the first and second conductive materials 218 , 209 simultaneously.
  • one apparatus can initially remove the second material 209 (e.g., via CMP) and the same or another apparatus can subsequently remove both the first and second conductive materials 218 , 209 .
  • both the first an second conductive materials 218 , 209 can be removed simultaneously when they are exposed.
  • the downforce applied to the microelectronic substrate 210 can be reduced or eliminated during electrolytic processing.
  • a selected downforce can be applied to the microelectronic substrate 210 during electrolytic processing to supplement the electrolytic removal process with a mechanical removal process.
  • the electrolytic removal process can also be supplemented with a chemical removal process in addition to or in lieu of the mechanical removal process.

Abstract

A method and apparatus for simultaneously removing conductive materials from a microelectronic substrate. A method in accordance with one embodiment of the invention includes contacting a surface of a microelectronic substrate with an electrolytic liquid, the microelectronic substrate having first and second different conductive materials. The method can further include controlling a difference between a first open circuit potential of the first conducive material and a second open circuit potential of the second conductive material by selecting a pH of the electrolytic liquid. The method can further include simultaneously removing at least portions of the first and second conductive materials by passing a varying electrical signal through the electrolytic liquid and the conductive materials. Accordingly, the effects of galvanic interactions between the two conductive materials can be reduced and/or eliminated.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is related to the following pending U.S. patent applications, all of which are incorporated herein by reference: Ser. No. 09/651,779 (Attorney Docket 10829.8515US), filed Aug. 30, 2000; Ser. No. 09/651,808 (Client Docket 00-0036), filed Aug. 30, 2000; Ser. No. 09/653,392 (Client Docket 00-0130), filed Aug. 31, 2000; Ser. No. 09/888,084 (Attorney Docket 10829.8515US01), filed Jun. 21, 2001; Ser. No. 09/887,767 (Attorney Docket 10829.8515US02), filed Jun. 21, 2001; and Ser. No. 09/888,002 (Attorney Docket 10829.8515US03) filed Jun. 21, 2001. Also incorporated herein by reference are the following U.S. patent applications filed simultaneously herewith: 10/______ (Attorney Docket 10829.8515US06); 10/______ (Attorney Docket 10829.8515US07); 10/______ (Attorney Docket 10829.8515US08); 10/______ (Attorney Docket 10829.8672); and 10/______ (Attorney Docket 10829.8673).
  • TECHNICAL FIELD
  • The present disclosure is directed toward methods and apparatuses for simultaneously removing multiple conductive materials from microelectronic substrates.
  • BACKGROUND
  • Microelectronic substrates and substrate assemblies typically include a semiconductor material having features, such as memory cells, that are linked with conductive lines. The conductive lines can be formed by first forming trenches or other recesses in the semiconductor material and then overlaying a conductive material (such as a metal) in the trenches. The conductive material is then selectively removed to leave conductive lines or vias extending from one feature in the semiconductor material to another.
  • FIG. 1 is a partially schematic illustration of a portion of a microelectronic substrate 10 having a conductive line formed in accordance with the prior art. The microelectronic substrate 10 includes an aperture or recess 16 in an oxide material 13. A barrier layer 14, formed from materials such as tantalum or tantalum compounds, is disposed on the microelectronic substrate 10 and in the aperture 16. A conductive material 15, such as copper, is then disposed on the barrier layer 14. The barrier layer 14 can prevent copper atoms from migrating into the surrounding oxide 13.
  • In a typical existing process, two separate chemical-mechanical planarization (CMP) steps are used to remove the excess portions of the conductive material 15 and the barrier layer 14 from the microelectronic substrate 10. In one step, a first slurry and polishing pad are used to remove the conductive material 15 overlying the barrier layer 14 external to the aperture 16, thus exposing the barrier layer 14. In a separate step, a second slurry and a second polishing pad are then used to remove the barrier layer 14 (and the remaining conductive material 15) external to the aperture 16. The resulting conductive line 8 includes the conductive material 15 surrounded by a lining formed by the barrier layer 14.
  • One drawback with the foregoing process is that high downforces are typically required to remove copper and tantalum from the microelectronic substrate 10. High downforces can cause other portions of the microelectronic substrate 10 to become dished or eroded, and/or can smear structures in other parts of the microelectronic substrate 10. A further drawback is that high downforces typically are not compatible with soft substrate materials. However, it is often desirable to use soft materials, such as ultra low dielectric materials, around the conductive features to reduce and/or eliminate electrical coupling between these features.
  • SUMMARY
  • The present invention is directed toward methods and apparatuses for simultaneously removing multiple conductive materials from a microelectronic substrate. A method in accordance with one aspect of the invention includes contacting a surface of a microelectronic substrate with an electrolytic liquid, the microelectronic substrate having a first conductive material and a second conductive material different than the first. The method can still further include controlling an absolute value of a difference between a first open circuit potential of the first conductive material and a second open circuit potential of the second conductive material by selecting a pH of the electrolytic liquid. The method can further include simultaneously removing at least portions of the first and second conductive materials by passing a varying electrical signal through the electrolytic liquid and the conductive materials while the electrolytic liquid contacts the microelectronic substrate.
  • In a further aspect of the invention, wherein the first conductive material includes tungsten and the second conductive material includes copper, the method can include controlling an absolute value of a difference between the first open circuit potential and the second open circuit potential to be about 0.50 volts or less by selecting the pH of the electrolytic liquid to be from about 2 to about 5. The conductive materials can be removed simultaneously by passing an electrical signal from a first electrode spaced apart from the microelectronic substrate, through the electrolytic liquid to the first and second conductive materials and from the first and second conductive materials through the electrolytic liquid to a second electrode spaced apart from the first electrode and spaced apart from the microelectronic substrate.
  • A method in accordance with another aspect of the invention includes providing a microelectronic substrate having a first conductive material and a second conductive material different than the first. The method can further include disposing on the microelectronic substrate an electrolytic liquid having a pH that controls a difference between a first open circuit potential of the first conductive material and a second open circuit potential on the second conductive material. The method can further include simultaneously removing at least portions of the first and second conductive materials by passing a variable electrical signal through the electrolytic liquid and the conductive materials while the electrolytic liquid contacts the microelectronic substrate.
  • An electrolytic liquid in accordance with another embodiment of the invention can include a liquid carrier and an electrolyte disposed in the liquid carrier. The electrolyte can be configured to transmit electrical signals from an electrode to the first and second conductive materials of the microelectronic substrate. A pH of the electrolytic liquid can be from about 2 to about 5.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a partially schematic, cross-sectional view of a portion of a microelectronic substrate having multiple conductive materials processed in accordance with the prior art.
  • FIGS. 2A-2C are partially schematic, cross-sectional illustrations of a portion of a microelectronic substrate having multiple conductive materials processed in accordance with an embodiment of the invention.
  • FIG. 3 is a partially schematic, cross-sectional view of a portion of a microelectronic substrate having multiple conductive materials processed in accordance with another embodiment of the invention.
  • FIG. 4 is a partially schematic illustration of an apparatus for electrolytically removing conductive materials from a microelectronic substrate in accordance with an embodiment of the invention.
  • FIG. 5 is a partially schematic illustration of an apparatus for electrolytically removing conductive materials from a microelectronic substrate in accordance with another embodiment of the invention.
  • FIG. 6 is a partially schematic illustration of an apparatus for electrolytically, chemically-mechanically and/or electrochemically-mechanically removing conductive material from a microelectronic substrate in accordance with still another embodiment of the invention.
  • FIG. 7 is a partially schematic, isometric view of a portion of an embodiment of the apparatus shown in FIG. 6.
  • FIG. 8 is a partially schematic, isometric illustration of a portion of an apparatus for removing conductive material from a microelectronic substrate in accordance with yet another embodiment of the invention.
  • FIG. 9 is a schematic illustration of a waveform for electrolytically processing a microelectronic substrate in accordance with still another embodiment of the invention.
  • DETAILED DESCRIPTION
  • The present disclosure describes methods and apparatuses for removing conductive materials from a microelectronic substrate. The term “microelectronic substrate” is used throughout to include a substrate upon which and/or in which microelectronic circuits or components, data storage elements or layers, and/or micro-mechanical elements are fabricated. Features in the substrate can include submicron features (having submicron dimensions ranging from, for example, 0.1 micron to 0.75 micron) such as trenches, vias, lines and holes. It will be appreciated that several of the details set forth below are provided to describe the following embodiments in a manner sufficient to enable a person skilled in the relevant art to make and use the disclosed embodiments. Several of the details and advantages described below, however, may not be necessary to practice certain embodiments of the invention. Additionally, the invention can include other embodiments that are within the scope of the claims but are not described in detail with respect to FIG. 2A-9.
  • One approach for addressing some of the drawbacks described above with reference to FIG. 1 is to remove conductive materials from the microelectronic substrate with electrolytic processes. Accordingly, a voltage is applied to the conductive material in the presence of an electrolytic liquid to remove the conductive material. However, many existing electrolytic liquids cannot simultaneously remove copper and tantalum, once the tantalum barrier layer has been exposed. Accordingly, chemical-mechanical planarization (CMP) techniques are typically used to remove the exposed tantalum barrier layer and the adjacent copper material. However, this approach typically re-introduces the high downforces that the initial electrolytic process was intended to avoid. Accordingly, another approach has been to replace the tantalum barrier layer with a tungsten barrier layer. However, tungsten (and tungsten compounds) typically form a galvanic couple with copper, which results in one or the other of these materials corroding and dissolving at an uncontrolled rate. The following disclosure describes methods and apparatuses for overcoming this drawback.
  • FIG. 2A is a partially schematic, cross-sectional side view of a microelectronic substrate 210 prior to electrolytic processing in accordance with an embodiment of the invention. In one aspect of this embodiment, the microelectronic substrate 210 includes a substrate material 213, such as an oxide or a low dielectric constant material. The substrate material 213 includes a substrate material surface 217 having an aperture 216 formed by conventional processes, such as selective etch processes. A first conductive material 218 is disposed on the substrate material 213 and can form a barrier layer 214 along the walls of the aperture 216. A second conductive material 209, such as a blanket fill material, can be disposed on the first conductive material 218 to form a fill layer 219. In one embodiment, the first conductive material 218 can include tungsten (W) or a tungsten compound, such as tungsten nitride (WNx), and the second conductive material 209 can include copper or copper alloys such as alloys that include at least 50% copper. In other embodiments, these conductive materials can include other elements or compounds. In any of these embodiments, the first conductive material 218 and the second conductive material 209 can collectively define a conductive portion 211 of the microelectronic substrate 210.
  • To form an isolated conductive line within the aperture 216, the first conductive material 218 and second conductive material 219 external to the aperture 216 are typically removed. In one embodiment, the second conductive material 209 is removed using a CMP process. In other embodiments, an electrochemical-mechanical polishing (ECMP) process or an electrolytic process is used to remove the second conductive material 209. An advantage of electrolytic and ECMP processes is that the downforce applied to the microelectronic substrate 210 during processing can be reduced or eliminated. Apparatuses for performing these processes are described in greater detail below with reference to FIGS. 4-9. In any of these embodiments, the result after completing this portion of the process is a microelectronic substrate 210 having the second conductive material 209 external to the aperture 216 and external to the barrier layer 214 removed, as is shown in FIG. 2B.
  • Referring now to FIG. 2B, a process in accordance with one embodiment of the invention includes simultaneously, electrolytically removing the portions of the second conductive material 209 and the first conductive material 218 that extend beyond the substrate material surface 217 after the initial removal process described above with reference to FIG. 2A. Accordingly, in one aspect of this embodiment, an electrolytic liquid 231 can be disposed on the microelectronic substrate 210 and a pair of electrodes 220 (shown as a first electrode 220 a and a second electrode 220 b) can be positioned in electrical communication with the electrolytic liquid 231. The electrodes 220 can be coupled to a variable signal transmitter 221 (such as a variable current source) to provide a varying electrical signal to both the first conductive material 218 and the second conductive material 209. These conductive materials can be simultaneously removed via an electrolytic process
  • In a further aspect of this embodiment, the pH of the electrolytic liquid 231 is selected to control the difference between the open circuit potential of the first conductive material 218 and the open circuit potential of the second conductive material 209. As used herein, the difference in open circuit potentials between the first conductive material 218 and the second conductive material 209 refers to the difference in electrical potential that would result when measuring the voltage difference between the first conductive material 218 and the second conductive material 209 in the presence of the electrolytic liquid 231, but in the absence of any current applied by the signal transmitter 221. In a particular aspect of this embodiment, for example, when the first conductive material 218 includes tungsten and the second conductive material 209 includes copper, the pH of the electrolytic liquid 231 can be selected to be from about 2 to about 5 to produce a difference in open circuit potential of from about 0.50 volts to about −0.50 volts. In other words, the absolute value of the difference in open circuit potential can be about 0.50 volts or less. In other embodiments, the absolute value of the difference in open circuit potential can be about 0.25 volts or less, for example, 0.15 volts or less. In still further embodiments, the pH of the electrolytic liquid 231 can have other values to produce near-zero open circuit potential differentials for other combinations of first conductive materials 218 and second conductive materials 209. For example, in one embodiment, the electrolytic liquid 231 can have a pH of from about 0 to about 7.
  • In any of the foregoing embodiments, the first and second conductive materials 218, 209 can be removed simultaneously without necessarily being removed at the same rates. For example, in one embodiment for which the first conductive material 218 includes tungsten or a tungsten compound and the second conductive material 209 includes copper, the copper can be removed at about four times the rate at which the tungsten or tungsten compound is removed. In other embodiments, the first and second conductive materials 218, 209 can be removed at rates that vary by greater or lesser amounts.
  • In one embodiment, the pH of the electrolytic liquid 231 can be controlled by disposing an acid in the electrolytic liquid 231. Accordingly, the electrolytic liquid 231 can include a liquid carrier (such as deionized water) and an acid such as nitric acid, acetic acid, hydrochloric acid, sulfuric acid, or phosphoric acid. In other embodiments, the electrolytic liquid 231 can include other acids. In addition to reducing the pH of the electrolytic liquid 231, the acid can provide ions to enhance the electrolytic action of the electrolytic liquid 231. In any of these embodiments, the electrolytic liquid 231 can also optionally include an inhibitor, such as benzotriazole (BTA) to produce more uniform material removal. The electrolytic liquid 231 can also include oxidizers, such as hydroxylamine, peroxide or ammonium persulfate. In another embodiment, the oxidizers can be eliminated, for example, when the electrolytic action provided by the electrodes 220 is sufficient to oxidize the conductive materials 218 and 209.
  • In any of the foregoing embodiments, the first conductive material 218 and the second conductive material 209 external to the recess 216 can be removed, producing a microelectronic substrate 210 having an embedded conductive structure 208, as shown in FIG. 2C. In one embodiment, the conductive structure 208 can include a conductive line and in other embodiments, conductive structure 208 can include a via or other feature in the microelectronic substrate 210. In any of these embodiments, the foregoing processes can provide a conductive structure 208 having a smooth external surface 207 that includes smooth external surface portions for both the first conductive material 218 and the second conductive material 209.
  • One feature of an embodiment of the method described above with reference to FIGS. 2A-2C is that the pH of the electrolytic liquid 231 can be selected to reduce or eliminate the open circuit potential differential between the first conductive material 218 and the second conductive material 209. An advantage of this feature is that the likelihood for a galvanic reaction, which can preferentially pit, dissolve, or otherwise remove one of the conductive materials more readily than the other, can be reduced and/or eliminated. Accordingly, the resulting external surface 207 that includes the first conductive material 218 and the second conductive material 209 can be clean and uniform, as shown in FIG. 2C. Another advantage of this feature is that the first conductive material 218 and the second conductive material 209 can be removed simultaneously without requiring high downforces which can damage structures and features of the microelectronic substrate 210.
  • In the embodiments described above with reference to FIGS. 2A-2C, the first and second electrodes 220 a, 220 b are spaced apart from the microelectronic substrate 210 as they remove conductive materials from the microelectronic substrate 210. An advantage of this arrangement is that the conductive material removal process can be relatively uniform. In other embodiments, one or more of the electrodes can be positioned in direct contact with the microelectronic substrate 210. For example, as shown in FIG. 3, a first electrode 320 a can be positioned in a spaced apart orientation relative to the microelectronic substrate 210, and a second electrode 320 b can be connected to a rear surface of the microelectronic substrate 210. A conductive path 308 (such as an internal via) between the rear surface and the conductive portion 211 of the microelectronic substrate can complete the circuit between the electrodes 320 a, 320 b, allowing the signal transmitter 221 to remove conductive material in a manner generally similar to that described above. In still another embodiment, the second electrode 320 b can be connected directly to the microelectronic substrate 210. Such arrangements can be used when material removal nonuniformities which may result from the direct contact between the electrode and the microelectronic substrate are remote from regions that might be adversely affected by such nonuniformities.
  • FIGS. 4-9 illustrate apparatuses for electrolytically, chemically-mechanically, and/or electrochemically-mechanically removing material from microelectronic substrates to perform the processes described above with reference to FIGS. 2A-3. Beginning with FIG. 4, an apparatus 460 can electrolytically remove conductive material from the microelectronic substrate 210 in accordance with an embodiment of the invention. In one aspect of this embodiment, the apparatus 460 includes liquid support, such as a vessel 430 containing an electrolytic liquid or gel 431. A support member 440 supports the microelectronic substrate 210 relative to the vessel 430 so that the conductive portion 211 of the microelectronic substrate 210 contacts the electrolytic liquid 431. In another aspect of this embodiment, the support member 440 can be coupled to a substrate drive unit 441 that moves the support member 440 and the substrate 210 relative to the vessel 430. For example, the substrate drive unit 441 can translate the support member 440 (as indicated by arrow “A”) and/or rotate the support member 440 (as indicated by arrow “B”).
  • The apparatus 460 can further include a first electrode 420 a and a second electrode 420 b (referred to collectively as electrodes 420) supported relative to the microelectronic substrate 210 by a support arm 424. In one aspect of this embodiment, the support arm 424 is coupled to an electrode drive unit 423 for moving the electrodes 420 relative to the microelectronic substrate 210. For example, the electrode drive unit 423 can move the electrodes 420 toward and away from the conductive portion 211 of the microelectronic substrate 210, (as indicated by arrow “C”), and/or transversely (as indicated by arrow “D”) in a plane generally parallel to the conductive portion 211. In other embodiments, the electrode drive unit 423 can move the electrodes 420 in other fashions, or the electrode drive unit 423 can be eliminated when the substrate drive unit 441 provides sufficient relative motion between the substrate 210 and the electrodes 420.
  • In either embodiment described above with reference to FIG. 4, the electrodes 420 can be coupled to a signal transmitter 421 with leads 428 for supplying electrical current to the electrolytic liquid 431 and the conductive portion 211. In operation, the signal transmitter 421 can supply an alternating current (signal phase or multi-phase) to the electrodes 420. The current passes through the electrolytic liquid 431 and reacts electrochemically with the conductive portion 211 to remove material (for example, atoms or groups of atoms) from the conductive portion 211. The electrodes 420 and/or the microelectronic substrate 210 can be moved relative to each other to remove material from select regions of the conductive portion 211, or from the entire conductive portion 211.
  • In one aspect of an embodiment of the apparatus 460 shown in FIG. 4, a distance D1 between the electrodes 420 and the conductive portion 211 is set to be smaller than a distance D2 between the first electrode 420 a and the second electrode 420 b. Furthermore, the electrolytic liquid 431 generally has a higher resistance than the conductive portion 211. Accordingly, the alternating current follows the path of least resistance from the first electrode 420 a, through the electrolytic liquid 431 to the conductive portion 211 and back through the electrolytic liquid 431 to the second electrode 420 b, rather than from the first electrode 420 a directly through the electrolytic liquid 431 to the second electrode 420 b. In one aspect of this embodiment, the resistance of the electrolytic liquid 431 can be increased as the thickness of the conductive portion 211 decreases (and the resistance of the conductive portion 211 increases) to maintain the current path described above. In another embodiment, a low dielectric material (not shown) can be positioned between the first electrode 420 a and the second electrode 420 b to decouple direct electrical communication between the electrodes 420 that does not first pass through the conductive portion 211.
  • FIG. 5 is a partially schematic, side elevation view of an apparatus 560 that includes a support member 540 positioned to support the microelectronic substrate 210 in accordance with another embodiment of the invention. In one aspect of this embodiment, the support member 540 supports the microelectronic substrate 210 with the conductive portion 211 facing upwardly. A substrate drive unit 541 can move the support member 540 and the microelectronic substrate 210, as described above with reference to FIG. 4. Electrodes 520, including first and second electrodes 520 a and 520 b, are positioned above the conductive portion 211 and are coupled to a current source 521. A support arm 524 supports the electrodes 520 relative to the substrate 210 and is coupled to an electrode drive unit 523 to move the electrodes 520 over the surface of the conductive portion 211 in a manner generally similar to that described above with reference to FIG. 4.
  • In one aspect of the embodiment shown in FIG. 5, the apparatus 560 further includes an electrolyte vessel 530 having a supply conduit 537 with an aperture 538 positioned proximate to the electrodes 520. Accordingly, an electrolytic liquid 531 can be deposited locally in an interface region 539 between the electrodes 520 and the conductive portion 211, without necessarily covering the entire conductive portion 211. The electrolytic liquid 531 and the conductive material removed from the conductive portion 211 flow over the substrate 210 and collect in an electrolyte receptacle 532. The mixture of electrolytic liquid 531 and conductive material can flow to a reclaimer 533 that removes most of the conductive material from the electrolytic liquid 531. A filter 534 positioned downstream of the reclaimer 533 provides additional filtration of the electrolytic liquid 531, and a pump 535 returns the reconditioned electrolytic liquid 531 to the electrolyte vessel 530 via a return line 536.
  • In another aspect of an embodiment shown in FIG. 5, the apparatus 560 can include a sensor assembly 550 having a sensor 551 positioned proximate to the conductive portion 211, and a sensor control unit 552 coupled to the sensor 551 for processing signals generated by the sensor 551. The control unit 552 can also move the sensor 551 relative to the microelectronic substrate 210. In a further aspect of this embodiment, the sensor assembly 550 can be coupled via a feedback path 553 to the electrode drive unit 523 and/or the substrate drive unit 541. Accordingly, the sensor 551 can determine which areas of the conductive portion 211 require additional material removal and can move the electrodes 520 and/or the microelectronic substrate 210 relative to each other to position the electrodes 520 over those areas. Alternatively, (for example, when the removal process is highly repeatable), the electrodes 520 and/or the microelectronic substrate 210 can move relative to each other according to a pre-determined motion schedule.
  • FIG. 6 schematically illustrates an apparatus 660 for electrolytically, chemically-mechanically and/or electrochemically-mechanically polishing the microelectronic substrate 210 in accordance with an embodiment of the invention. In one aspect of this embodiment, the apparatus 660 has a support table 680 with a top-panel 681 at a workstation where an operative portion “W” of a polishing pad 683 is positioned. The top-panel 681 is generally a rigid plate to provide a flat, solid surface to which a particular section of the polishing pad 683 may be secured during polishing.
  • The apparatus 660 can also have a plurality of rollers to guide, position and hold the polishing pad 683 over the top-panel 681. The rollers can include a supply roller 687, first and second idler rollers 684 a and 684 b, first and second guide rollers 685 a and 685 b, and a take-up roller 686. The supply roller 687 carries an unused or preoperative portion of the polishing pad 683, and the take-up roller 686 carries a used or postoperative portion of the polishing pad 683. Additionally, the first idler roller 684 a and the first guide roller 685 a can stretch the polishing pad 683 over the top-panel 681 to hold the polishing pad 683 stationary during operation. A motor (not shown) drives at least one of the supply roller 687 and the take-up roller 686 to sequentially advance the polishing pad 683 across the top-panel 681. Accordingly, clean preoperative sections of the polishing pad 683 may be quickly substituted for used sections to provide a consistent surface for polishing and/or cleaning the microelectronic substrate 210.
  • The apparatus 660 can also have a carrier assembly 690 that controls and protects the microelectronic substrate 210 during polishing. The carrier assembly 690 can include a substrate holder 692 to pick up, hold and release the microelectronic substrate 210 at appropriate stages of the polishing process. The carrier assembly 690 can also have a support gantry 694 carrying a drive assembly 695 that can translate along the gantry 694. The drive assembly 695 can have an actuator 696, a drive shaft 697 coupled to the actuator 696, and an arm 698 projecting from the drive shaft 697. The arm 698 carries the substrate holder 692 via a terminal shaft 699 such that the drive assembly 695 orbits the substrate holder 692 about an axis E-E (as indicated by arrow “R1”). The terminal shaft 699 may also rotate the substrate holder 692 about its central axis F-F (as indicated by arrow “R2”).
  • The polishing pad 683 and a polishing liquid 689 define a polishing medium 682 that electrolytically, chemically-mechanically, and/or electro-chemically-mechanically removes material from the surface of the microelectronic substrate 210. In some embodiments, the polishing pad 683 may be a nonabrasive pad without abrasive particles, and the polishing liquid 689 can be a slurry with abrasive particles and chemicals to remove material from the microelectronic substrate 210. In other embodiments, the polishing pad 683 can be a fixed-abrasive polishing pad in which abrasive particles are fixedly bonded to a suspension medium. To polish the microelectronic substrate 210 with the apparatus 660, the carrier assembly 690 presses the microelectronic substrate 210 against a polishing surface 688 of the polishing pad 683 in the presence of the polishing liquid 689. The drive assembly 695 then orbits the substrate holder 692 about the axis E-E and optionally rotates the substrate holder 692 about the axis F-F to translate the substrate 210 across the polishing surface 688. As a result, the abrasive particles and/or the chemicals in the polishing medium 682 remove material from the surface of the microelectronic substrate 210 in a chemical and/or chemical-mechanical polishing process.
  • In a further aspect of this embodiment, the polishing liquid 689 can include an electrolyte for electrolytic processing or ECMP processing. In another embodiment, the apparatus 660 can include an electrolyte supply vessel 630 that delivers an electrolyte separately to the polishing surface 688 of the polishing pad 683 with a conduit 637, as described in greater detail below with reference to FIG. 7. In either embodiment, the apparatus 660 can further include a current supply 621 coupled to electrodes positioned proximate to the polishing pad 683. Accordingly, the apparatus 660 can electrolytically remove material from the microelectronic substrate 210.
  • FIG. 7 is a partially exploded, partially schematic isometric view of a portion of the apparatus 660 described above with reference to FIG. 6. In one aspect of the embodiment shown in FIG. 6, the top-panel 681 houses a plurality of electrode pairs, each of which includes a first electrode 720 a and a second electrode 720 b. The first electrodes 720 a are coupled to a first lead 728 a and the second electrodes 720 b are coupled to a second lead 728 b. The first and second leads 728 a and 728 b are coupled to the current supply 621 (FIG. 6). In one aspect of this embodiment, the first electrodes 720 a can be separated from the second electrodes 720 b by an electrode dielectric layer 729 a that includes Teflon™ or another suitable dielectric material. The electrode dielectric layer 729 a can accordingly control the volume and dielectric constant of the region between the first and second electrodes 720 a and 720 b to control the electrical coupling between the electrodes.
  • The electrodes 720 a and 720 b can be electrically coupled to the microelectronic substrate 210 (FIG. 6) by the polishing pad 683. In one aspect of this embodiment, the polishing pad 683 is saturated with an electrolytic liquid 731 supplied by the supply conduits 637 through apertures 738 in the top-panel 681 just beneath the polishing pad 683. Accordingly, the electrodes 720 a and 720 b are selected to be compatible with the electrolytic liquid 731. In an another arrangement, the electrolytic liquid 731 can be supplied to the polishing pad 683 from above (for example, by disposing the electrolytic liquid 731 in the polishing liquid 689, rather than by directing the electrolytic liquid upwardly through the polishing pad 683). Accordingly, the apparatus 660 can include a pad dielectric layer 729 b positioned between the polishing pad 683 and the electrodes 720 a and 720 b. When the pad dielectric layer 729 b is in place, the electrodes 720 a and 720 b can be isolated from physical contact with the electrolytic liquid 731 and can accordingly be selected from materials that are not necessarily compatible with the electrolytic liquid 731.
  • FIG. 8 is an isometric view of a portion of an apparatus 860 having electrodes 820 (shown as a first electrode 820 a and a second electrode 820 b), and a polishing medium 882 arranged in accordance with another embodiment of the invention. In one aspect of this embodiment, the polishing medium 882 includes polishing pad portions 883 that project beyond the electrodes 820 a and 820 b. Each polishing pad portion 883 can include a polishing surface 888 and a plurality of flow passages 884 coupled to a fluid source (not shown in FIG. 8) with a conduit 837. Each flow passage 884 can have an aperture 885 proximate to the polishing surface 888 to provide an electrolytic liquid 831 proximate to an interface between the microelectronic substrate 210 and the polishing surface 888. In one aspect of this embodiment, the pad portions 883 can include recesses 887 surrounding each aperture 885. Accordingly, the electrolytic liquid 831 can proceed outwardly from the flow passages 884 while the microelectronic substrate 210 is positioned directly overhead and remains spaced apart from the electrodes 820. In other embodiments, the polishing pad portions 883 can be applied to other electrodes, such as those described above with reference to FIGS. 4 and 5 to provide for mechanical as well as electromechanical material removed.
  • The foregoing apparatuses described above with reference to FIGS. 4-8 can be used to electrolytically, chemically-mechanically and/or electrochemically-mechanically process the microelectronic substrate 210. When the apparatuses are used to electrolytically or electrochemically-mechanically process the microelectronic substrate 210, they can provide a varying electrical current that passes from the electrodes, through the conductive material of the microelectronic substrate 210 via the electrolytic liquid. For example, as shown in FIG. 9, the apparatus can generate a high-frequency wave 904 and can superimpose a low-frequency wave 902 on the high-frequency wave 904. In one aspect of this embodiment, the high-frequency wave 904 can include a series of positive or negative voltage spikes contained within a square wave envelope defined by the low-frequency wave 902. Each spike of the high-frequency wave 904 can have a relatively steep rise-time slope to transfer charge through the dielectric material to the electrolytic liquid, and a more gradual fall-time slope. The fall-time slope can define a straight line, as indicated by high-frequency wave 904, or a curved line, as indicated by high-frequency wave 904 a. In other embodiments, the high-frequency wave 904 and the low-frequency wave 902 can have other shapes depending, for example, on the particular characteristics of the dielectric material and the electrolytic liquid, the characteristics of the microelectronic substrate 210, and/or the target rate at which conductive material is to be removed from the microelectronic substrate 210.
  • The methods described above with reference to FIGS. 2A-3 may be performed with the apparatuses described above with reference to FIGS. 4-9 in a variety of manners in accordance with several embodiments of the invention. For example, in one embodiment, a single apparatus can be used to electrolytically remove first the second conductive material 209 and then the first and second conductive materials 218, 209 simultaneously. Alternatively, one apparatus can initially remove the second material 209 (e.g., via CMP) and the same or another apparatus can subsequently remove both the first and second conductive materials 218, 209. In either embodiment, both the first an second conductive materials 218, 209 can be removed simultaneously when they are exposed. In one aspect of both embodiments, the downforce applied to the microelectronic substrate 210 can be reduced or eliminated during electrolytic processing. In another aspect of these embodiments, a selected downforce can be applied to the microelectronic substrate 210 during electrolytic processing to supplement the electrolytic removal process with a mechanical removal process. The electrolytic removal process can also be supplemented with a chemical removal process in addition to or in lieu of the mechanical removal process.
  • From the foregoing, it will be appreciated that specific embodiments of the invention have been described herein for purposes of illustration, but that various modifications may be made without deviating from the spirit and scope of the invention. Accordingly, the invention is not limited except as by the appended claims.

Claims (26)

1-73. (canceled)
74. A method for processing a semiconductor substrate, comprising:
contacting a surface of the semiconductor substrate with an electrolytic liquid, the surface containing a first conductive material and a second conductive material different than the first conductive material;
selecting a pH of the electrolytic liquid to suppress or eliminate a galvanic reaction between the first and second conductive materials in the presence of the electrolytic liquid; and
passing a varying electrical signal through the electrolytic liquid and the first and second conductive materials while suppressing or eliminating the galvanic reaction.
75. The method of claim 74, further comprising simultaneously removing at least portions of the first and second conductive materials while passing the varying electrical signal through the electrolytic liquid and the first and second conductive materials.
76. The method of claim 74 wherein selecting a pH of an electrolytic liquid includes selecting a pH of the electrolytic liquid based at least in part on compositions of the first and second conductive materials.
77. The method of claim 74 wherein the first conductive material includes tungsten and the second conductive material includes copper, further wherein reducing a galvanic reaction between the first and second conductive materials includes selecting a pH of the electrolytic liquid to be from about 2 to about 5.
78. The method of claim 77, further comprising simultaneously removing at least portions of tungsten and copper while passing the varying electrical signal through the electrolytic liquid and the first and second conductive materials.
79. The method of claim 77, further comprising removing at least portions of a conductive layer that includes at least 50% copper.
80. The method of claim 74 wherein the first conductive material includes tungsten and the second conductive material includes copper, further wherein reducing a galvanic reaction between the first and second conductive materials includes controlling the pH to be from about 2 to about 5.
81. The method of claim 74 wherein contacting the surface of the semiconductor substrate includes contacting the semiconductor substrate with an electrolytic liquid that includes at least one of an oxidizer, a corrosion inhibitor and a pH control agent.
82. The method of claim 74, further comprising removing at least portions of the first and second conductive materials from the semiconductor substrate and changing a resistance of the electrolytic liquid as the first and second conductive materials are removed from the semiconductor substrate.
83. The method of claim 74, further comprising removing at least portions of the first and second conductive materials from the semiconductor substrate and increasing a resistance of the electrolytic liquid as the first and second conductive materials are removed from the semiconductor substrate.
84. The method of claim 74, further comprising contacting the semiconductor substrate with a polishing pad and moving at least one of the polishing pad and the semiconductor substrate relative to the other while passing the varying electrical signal through the electrolytic liquid and the first and second conductive materials.
85. A method for processing a semiconductor substrate, comprising:
contacting the semiconductor substrate with an electrolytic liquid, the semiconductor substrate having a first conductive material and a second conductive material different than the first conductive material;
controlling a pH of the electrolytic liquid to suppress or eliminate the galvanic reaction between the first and second conductive materials in the presence of the electrolytic liquid; and
simultaneously removing at least portions of the first and second conductive materials from the semiconductor substrate while the galvanic reaction between the first and second conductive materials is suppressed or eliminated.
86. The method of claim 85 wherein the first conductive material includes at least one of tungsten and WNx and the second conductive material includes copper, further wherein controlling a pH of the electrolytic liquid includes controlling a pH of the electrolytic liquid to be from about 2 to about 5.
87. The method of claim 85 wherein the first conductive material includes tungsten and the second conductive material includes copper, further wherein controlling a pH of the electrolytic liquid includes controlling a pH of the electrolytic liquid to be from about 2 to about 5, and wherein simultaneously removing at least portions of the first and second conductive materials includes passing a variable electrical current through the electrolytic liquid and the conductive materials while engaging a polishing pad with the semiconductor substrate and moving at least one of the semiconductor substrate and the polishing pad relative to the other while passing the electrical signal through the first and second conductive materials via two electrodes spaced apart from the semiconductor substrate.
88. The method of claim 87 wherein passing an electrical signal includes passing an electrical signal from a first electrode spaced apart from the semiconductor substrate, through the electrolytic liquid to the first and second conductive materials and through the electrolytic liquid to a second electrode spaced apart from the first electrode and spaced apart from the semiconductor substrate.
89. The method of claim 87 wherein passing an electrical signal includes passing an electrical signal from a first electrode spaced apart from the semiconductor substrate, through the electrolytic liquid to the first and second conductive materials and to a second electrode positioned in contact with the semiconductor substrate.
90. The method of claim 85 wherein simultaneously removing at least portions of the first and second conductive materials includes removing tungsten and copper.
91. The method of claim 85 wherein simultaneously removing at least portions of the first and second conductive materials includes removing the first conductive material at a first rate and removing the second material at a second rate different than the first rate.
92. The method of claim 85 wherein simultaneously removing the first conductive material and the second conductive material includes simultaneously removing a barrier layer material and a blanket fill material.
93. A method for processing a semiconductor substrate having a tungsten containing portion and a copper containing portion, comprising:
selecting a pH of an electrolytic liquid based at least in part on compositions of the tungsten containing portion and the copper containing portion such that a galvanic reaction of the tungsten containing portion and the copper containing portion is suppressed in the presence of the electrolytic liquid;
contacting the semiconductor substrate with an electrolytic liquid having the selected pH; and
simultaneously removing at least part of the copper containing portion and the tungsten containing portion by passing a varying electrical signal through the electrolytic liquid and the copper containing and tungsten containing portions while the electrolytic liquid contacts the microelectronic substrate and while the galvanic reaction is suppressed or eliminated.
94. The method of claim 93 wherein removing at least part of the copper containing portion includes removing at least part of a conductive line or a conductive via, and wherein removing at least part of the tungsten containing portion includes removing at least part of a barrier layer.
95. The method of claim 93 wherein removing at least part of the copper containing portion includes removing at least part of a portion having about 50% or more copper.
96. The method of claim 93 wherein removing at least part of the tungsten containing portion includes removing at least part of a portion having WNx.
97. The method of claim 93 wherein simultaneously removing at least part of the copper containing portion and part of the tungsten containing portion includes removing at least part of the tungsten containing portion at a first rate and removing at least part of the copper containing portion at a second rate about four times greater than the first rate.
98. The method of claim 93, further comprising contacting the microelectronic substrate with a polishing pad and moving at least one of the polishing pad and the microelectronic substrate relative to the other while simultaneously removing at least part of the copper containing portion and the tungsten containing portion.
US11/844,459 2000-08-30 2007-08-24 Method and apparatus for simultaneously removing multiple conductive materials from microelectronic substrates Abandoned US20080045009A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US11/844,459 US20080045009A1 (en) 2002-08-29 2007-08-24 Method and apparatus for simultaneously removing multiple conductive materials from microelectronic substrates
US14/281,606 US9214359B2 (en) 2000-08-30 2014-05-19 Method and apparatus for simultaneously removing multiple conductive materials from microelectronic substrates

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/230,602 US7129160B2 (en) 2002-08-29 2002-08-29 Method for simultaneously removing multiple conductive materials from microelectronic substrates
US10/923,359 US20050020004A1 (en) 2002-08-29 2004-08-20 Method and apparatus for simultaneously removing multiple conductive materials from microelectronic substrates
US11/844,459 US20080045009A1 (en) 2002-08-29 2007-08-24 Method and apparatus for simultaneously removing multiple conductive materials from microelectronic substrates

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/923,359 Continuation US20050020004A1 (en) 2000-08-30 2004-08-20 Method and apparatus for simultaneously removing multiple conductive materials from microelectronic substrates

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/281,606 Continuation US9214359B2 (en) 2000-08-30 2014-05-19 Method and apparatus for simultaneously removing multiple conductive materials from microelectronic substrates

Publications (1)

Publication Number Publication Date
US20080045009A1 true US20080045009A1 (en) 2008-02-21

Family

ID=31976525

Family Applications (4)

Application Number Title Priority Date Filing Date
US10/230,602 Expired - Lifetime US7129160B2 (en) 2000-08-30 2002-08-29 Method for simultaneously removing multiple conductive materials from microelectronic substrates
US10/923,359 Abandoned US20050020004A1 (en) 2000-08-30 2004-08-20 Method and apparatus for simultaneously removing multiple conductive materials from microelectronic substrates
US11/844,459 Abandoned US20080045009A1 (en) 2000-08-30 2007-08-24 Method and apparatus for simultaneously removing multiple conductive materials from microelectronic substrates
US14/281,606 Expired - Fee Related US9214359B2 (en) 2000-08-30 2014-05-19 Method and apparatus for simultaneously removing multiple conductive materials from microelectronic substrates

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US10/230,602 Expired - Lifetime US7129160B2 (en) 2000-08-30 2002-08-29 Method for simultaneously removing multiple conductive materials from microelectronic substrates
US10/923,359 Abandoned US20050020004A1 (en) 2000-08-30 2004-08-20 Method and apparatus for simultaneously removing multiple conductive materials from microelectronic substrates

Family Applications After (1)

Application Number Title Priority Date Filing Date
US14/281,606 Expired - Fee Related US9214359B2 (en) 2000-08-30 2014-05-19 Method and apparatus for simultaneously removing multiple conductive materials from microelectronic substrates

Country Status (1)

Country Link
US (4) US7129160B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9214359B2 (en) 2000-08-30 2015-12-15 Micron Technology, Inc. Method and apparatus for simultaneously removing multiple conductive materials from microelectronic substrates

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7112121B2 (en) * 2000-08-30 2006-09-26 Micron Technology, Inc. Methods and apparatus for electrical, mechanical and/or chemical removal of conductive material from a microelectronic substrate
US7074113B1 (en) * 2000-08-30 2006-07-11 Micron Technology, Inc. Methods and apparatus for removing conductive material from a microelectronic substrate
US7220166B2 (en) * 2000-08-30 2007-05-22 Micron Technology, Inc. Methods and apparatus for electromechanically and/or electrochemically-mechanically removing conductive material from a microelectronic substrate
US7134934B2 (en) * 2000-08-30 2006-11-14 Micron Technology, Inc. Methods and apparatus for electrically detecting characteristics of a microelectronic substrate and/or polishing medium
US7153195B2 (en) * 2000-08-30 2006-12-26 Micron Technology, Inc. Methods and apparatus for selectively removing conductive material from a microelectronic substrate
US7160176B2 (en) * 2000-08-30 2007-01-09 Micron Technology, Inc. Methods and apparatus for electrically and/or chemically-mechanically removing conductive material from a microelectronic substrate
US7078308B2 (en) * 2002-08-29 2006-07-18 Micron Technology, Inc. Method and apparatus for removing adjacent conductive and nonconductive materials of a microelectronic substrate
US7160432B2 (en) * 2001-03-14 2007-01-09 Applied Materials, Inc. Method and composition for polishing a substrate
US7112122B2 (en) * 2003-09-17 2006-09-26 Micron Technology, Inc. Methods and apparatus for removing conductive material from a microelectronic substrate
US7153777B2 (en) 2004-02-20 2006-12-26 Micron Technology, Inc. Methods and apparatuses for electrochemical-mechanical polishing
US20060043534A1 (en) * 2004-08-26 2006-03-02 Kirby Kyle K Microfeature dies with porous regions, and associated methods and systems
US7566391B2 (en) * 2004-09-01 2009-07-28 Micron Technology, Inc. Methods and systems for removing materials from microfeature workpieces with organic and/or non-aqueous electrolytic media
US20060219663A1 (en) * 2005-03-31 2006-10-05 Applied Materials, Inc. Metal CMP process on one or more polishing stations using slurries with oxidizers
JP2007194540A (en) * 2006-01-23 2007-08-02 Toshiba Corp Method for manufacturing semiconductor device, and polishing apparatus
US7935242B2 (en) * 2006-08-21 2011-05-03 Micron Technology, Inc. Method of selectively removing conductive material
US8153533B2 (en) * 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
US8961701B2 (en) * 2008-09-24 2015-02-24 Lam Research Corporation Method and system of drying a microelectronic topography
US9620410B1 (en) 2009-01-20 2017-04-11 Lam Research Corporation Methods for preventing precipitation of etch byproducts during an etch process and/or subsequent rinse process
US20100184301A1 (en) * 2009-01-20 2010-07-22 Lam Research Methods for Preventing Precipitation of Etch Byproducts During an Etch Process and/or Subsequent Rinse Process
US9738987B2 (en) 2012-09-14 2017-08-22 International Business Machines Corporation Electrochemical etching apparatus
US10967478B2 (en) * 2017-09-29 2021-04-06 Taiwan Semiconductor Manufacturing Company, Ltd. Chemical mechanical polishing apparatus and method
US11424133B2 (en) 2019-07-25 2022-08-23 Samsung Electronics Co., Ltd. Metal structure and method of manufacturing the same and metal wire and semiconductor device and electronic device

Citations (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2315695A (en) * 1938-11-23 1943-04-06 Battelle Memorial Institute Method of polishing metals
US2516105A (en) * 1945-06-20 1950-07-25 Mateosian Edward Der Electrolytic polishing of metals
US3239439A (en) * 1962-07-09 1966-03-08 Bell Telephone Labor Inc Electrodeposition of metals
US3334210A (en) * 1964-05-22 1967-08-01 Cincinnati Milling Machine Co Electro-discharge machining fluid and method
US4839005A (en) * 1987-05-22 1989-06-13 Kabushiki Kaisha Kobe Seiko Sho Electrolytic-abrasive polishing method of aluminum surface
US5098533A (en) * 1991-02-06 1992-03-24 International Business Machines Corp. Electrolytic method for the etch back of encapsulated copper-Invar-copper core structures
US5300155A (en) * 1992-12-23 1994-04-05 Micron Semiconductor, Inc. IC chemical mechanical planarization process incorporating slurry temperature control
US5618381A (en) * 1992-01-24 1997-04-08 Micron Technology, Inc. Multiple step method of chemical-mechanical polishing which minimizes dishing
US5624300A (en) * 1992-10-08 1997-04-29 Fujitsu Limited Apparatus and method for uniformly polishing a wafer
US5780358A (en) * 1996-04-08 1998-07-14 Chartered Semiconductor Manufacturing Ltd. Method for chemical-mechanical polish (CMP) planarizing of cooper containing conductor layers
US5888866A (en) * 1998-04-18 1999-03-30 United Microelectronics Corp. Method for fabricating capacitors of a dynamic random access memory
US5897375A (en) * 1997-10-20 1999-04-27 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for copper and method of use in integrated circuit manufacture
US5911619A (en) * 1997-03-26 1999-06-15 International Business Machines Corporation Apparatus for electrochemical mechanical planarization
US5930699A (en) * 1996-11-12 1999-07-27 Ericsson Inc. Address retrieval system
US5934980A (en) * 1997-06-09 1999-08-10 Micron Technology, Inc. Method of chemical mechanical polishing
US6010964A (en) * 1997-08-20 2000-01-04 Micron Technology, Inc. Wafer surface treatment methods and systems using electrocapillarity
US6024856A (en) * 1997-10-10 2000-02-15 Enthone-Omi, Inc. Copper metallization of silicon wafers using insoluble anodes
US6033953A (en) * 1996-12-27 2000-03-07 Texas Instruments Incorporated Method for manufacturing dielectric capacitor, dielectric memory device
US6039633A (en) * 1998-10-01 2000-03-21 Micron Technology, Inc. Method and apparatus for mechanical and chemical-mechanical planarization of microelectronic-device substrate assemblies
US6046099A (en) * 1993-11-03 2000-04-04 Intel Corporation Plug or via formation using novel slurries for chemical mechanical polishing
US6051496A (en) * 1998-09-17 2000-04-18 Taiwan Semiconductor Manufacturing Company Use of stop layer for chemical mechanical polishing of CU damascene
US6060386A (en) * 1997-08-21 2000-05-09 Micron Technology, Inc. Method and apparatus for forming features in holes, trenches and other voids in the manufacturing of microelectronic devices
US6060395A (en) * 1996-07-17 2000-05-09 Micron Technology, Inc. Planarization method using a slurry including a dispersant
US6063306A (en) * 1998-06-26 2000-05-16 Cabot Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrate
US6066559A (en) * 1996-02-02 2000-05-23 Micron Technology, Inc. Method for forming a semiconductor connection with a top surface having an enlarged recess
US6066030A (en) * 1999-03-04 2000-05-23 International Business Machines Corporation Electroetch and chemical mechanical polishing equipment
US6068787A (en) * 1996-11-26 2000-05-30 Cabot Corporation Composition and slurry useful for metal CMP
US6077412A (en) * 1997-08-22 2000-06-20 Cutek Research, Inc. Rotating anode for a wafer processing chamber
US6083840A (en) * 1998-11-25 2000-07-04 Arch Specialty Chemicals, Inc. Slurry compositions and method for the chemical-mechanical polishing of copper and copper alloys
US6100197A (en) * 1998-10-13 2000-08-08 Nec Corporation Method of fabricating a semiconductor device
US6103096A (en) * 1997-11-12 2000-08-15 International Business Machines Corporation Apparatus and method for the electrochemical etching of a wafer
US6103628A (en) * 1998-12-01 2000-08-15 Nutool, Inc. Reverse linear polisher with loadable housing
US6103636A (en) * 1997-08-20 2000-08-15 Micron Technology, Inc. Method and apparatus for selective removal of material from wafer alignment marks
US6171467B1 (en) * 1997-11-25 2001-01-09 The John Hopkins University Electrochemical-control of abrasive polishing and machining rates
US6174425B1 (en) * 1997-05-14 2001-01-16 Motorola, Inc. Process for depositing a layer of material over a substrate
US6176992B1 (en) * 1998-11-03 2001-01-23 Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
US6180947B1 (en) * 1998-08-07 2001-01-30 Nikon Corporation Multi-element deflection aberration correction for electron beam lithography
US6187651B1 (en) * 1998-05-07 2001-02-13 Samsung Electronics Co., Ltd. Methods of forming trench isolation regions using preferred stress relieving layers and techniques to inhibit the occurrence of voids
US6190494B1 (en) * 1998-07-29 2001-02-20 Micron Technology, Inc. Method and apparatus for electrically endpointing a chemical-mechanical planarization process
US6197182B1 (en) * 1999-07-07 2001-03-06 Technic Inc. Apparatus and method for plating wafers, substrates and other articles
US6196899B1 (en) * 1999-06-21 2001-03-06 Micron Technology, Inc. Polishing apparatus
US6206756B1 (en) * 1998-11-10 2001-03-27 Micron Technology, Inc. Tungsten chemical-mechanical polishing process using a fixed abrasive polishing pad and a tungsten layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
US6218309B1 (en) * 1999-06-30 2001-04-17 Lam Research Corporation Method of achieving top rounding and uniform etch depths while etching shallow trench isolation features
US6250994B1 (en) * 1998-10-01 2001-06-26 Micron Technology, Inc. Methods and apparatuses for mechanical and chemical-mechanical planarization of microelectronic-device substrate assemblies on planarizing pads
US6259128B1 (en) * 1999-04-23 2001-07-10 International Business Machines Corporation Metal-insulator-metal capacitor for copper damascene process and method of forming the same
US6276996B1 (en) * 1998-11-10 2001-08-21 Micron Technology, Inc. Copper chemical-mechanical polishing process using a fixed abrasive polishing pad and a copper layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
US6280581B1 (en) * 1998-12-29 2001-08-28 David Cheng Method and apparatus for electroplating films on semiconductor wafers
US20020025763A1 (en) * 2000-08-30 2002-02-28 Whonchee Lee Methods and apparatus for electrical, mechanical and/or chemical removal of conductive material from a microelectronic substrate
US6368184B1 (en) * 2000-01-06 2002-04-09 Advanced Micro Devices, Inc. Apparatus for determining metal CMP endpoint using integrated polishing pad electrodes
US6368190B1 (en) * 2000-01-26 2002-04-09 Agere Systems Guardian Corp. Electrochemical mechanical planarization apparatus and method
US6379223B1 (en) * 1999-11-29 2002-04-30 Applied Materials, Inc. Method and apparatus for electrochemical-mechanical planarization
US6395607B1 (en) * 1999-06-09 2002-05-28 Alliedsignal Inc. Integrated circuit fabrication method for self-aligned copper diffusion barrier
US6395152B1 (en) * 1998-07-09 2002-05-28 Acm Research, Inc. Methods and apparatus for electropolishing metal interconnections on semiconductor devices
US6416647B1 (en) * 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US20020104764A1 (en) * 2000-11-20 2002-08-08 Gautam Banerjee Electropolishing and chemical mechanical planarization
US20020115283A1 (en) * 2001-02-20 2002-08-22 Chartered Semiconductor Manufacturing Ltd. Planarization by selective electro-dissolution
US20020130049A1 (en) * 2001-03-14 2002-09-19 Liang-Yuh Chen Planarization of substrates using electrochemical mechanical polishing
US20030010648A1 (en) * 2001-07-13 2003-01-16 Applied Materials, Inc. Electrochemically assisted chemical polish
US6515493B1 (en) * 2000-04-12 2003-02-04 Speedfam-Ipec Corporation Method and apparatus for in-situ endpoint detection using electrical sensors
US6537144B1 (en) * 2000-02-17 2003-03-25 Applied Materials, Inc. Method and apparatus for enhanced CMP using metals having reductive properties
US20030064669A1 (en) * 2001-09-28 2003-04-03 Basol Bulent M. Low-force electrochemical mechanical processing method and apparatus
US6551935B1 (en) * 2000-08-31 2003-04-22 Micron Technology, Inc. Slurry for use in polishing semiconductor device conductive structures that include copper and tungsten and polishing methods
US20030113996A1 (en) * 2000-10-13 2003-06-19 Takeshi Nogami Semiconductor production device and production method for semiconductor device
US20030136684A1 (en) * 2002-01-22 2003-07-24 Applied Materials, Inc. Endpoint detection for electro chemical mechanical polishing and electropolishing processes
US6599806B2 (en) * 1998-10-16 2003-07-29 Samsung Electronics Co., Ltd. Method for manufacturing a capacitor of a semiconductor device
US6603117B2 (en) * 2001-06-28 2003-08-05 Greene, Tweed & Co. Self contained sensing apparatus and system
US6605539B2 (en) * 2000-08-31 2003-08-12 Micron Technology, Inc. Electro-mechanical polishing of platinum container structure
US6689258B1 (en) * 2002-04-30 2004-02-10 Advanced Micro Devices, Inc. Electrochemically generated reactants for chemical mechanical planarization
US6693036B1 (en) * 1999-09-07 2004-02-17 Sony Corporation Method for producing semiconductor device polishing apparatus, and polishing method
US6705926B2 (en) * 2001-10-24 2004-03-16 Cabot Microelectronics Corporation Boron-containing polishing system and method
US6722950B1 (en) * 2000-11-07 2004-04-20 Planar Labs Corporation Method and apparatus for electrodialytic chemical mechanical polishing and deposition
US6722942B1 (en) * 2001-05-21 2004-04-20 Advanced Micro Devices, Inc. Chemical mechanical polishing with electrochemical control
US6726823B1 (en) * 1998-11-28 2004-04-27 Acm Research, Inc. Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces
US6736952B2 (en) * 2001-02-12 2004-05-18 Speedfam-Ipec Corporation Method and apparatus for electrochemical planarization of a workpiece
US6753250B1 (en) * 2002-06-12 2004-06-22 Novellus Systems, Inc. Method of fabricating low dielectric constant dielectric films
US6846227B2 (en) * 2001-02-28 2005-01-25 Sony Corporation Electro-chemical machining appartus
US20050020192A1 (en) * 2002-08-29 2005-01-27 Whonchee Lee Method and apparatus for chemically, mechanically, and/or electrolytically removing material from microelectronic substrates
US20050016861A1 (en) * 2003-07-24 2005-01-27 Thomas Laursen Method for planarizing a work piece
US6848970B2 (en) * 2002-09-16 2005-02-01 Applied Materials, Inc. Process control in electrochemically assisted planarization
US6852630B2 (en) * 2001-04-23 2005-02-08 Asm Nutool, Inc. Electroetching process and system
US6858124B2 (en) * 2002-12-16 2005-02-22 3M Innovative Properties Company Methods for polishing and/or cleaning copper interconnects and/or film and compositions therefor
US6867136B2 (en) * 2001-07-20 2005-03-15 Nutool, Inc. Method for electrochemically processing a workpiece
US20050056550A1 (en) * 2003-09-17 2005-03-17 Whonchee Lee Methods and apparatus for removing conductive material from a microelectronic substrate
US6881664B2 (en) * 2001-08-28 2005-04-19 Lsi Logic Corporation Process for planarizing upper surface of damascene wiring structure for integrated circuit structures
US6884338B2 (en) * 2002-12-16 2005-04-26 3M Innovative Properties Company Methods for polishing and/or cleaning copper interconnects and/or film and compositions therefor
US6893328B2 (en) * 2003-04-23 2005-05-17 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Conductive polishing pad with anode and cathode
US6899804B2 (en) * 2001-12-21 2005-05-31 Applied Materials, Inc. Electrolyte composition and treatment for electrolytic chemical mechanical polishing
US20050133379A1 (en) * 1998-12-01 2005-06-23 Basol Bulent M. System for electropolishing and electrochemical mechanical polishing
US20060042956A1 (en) * 2004-09-01 2006-03-02 Whonchee Lee Methods and systems for removing materials from microfeature workpieces with organic and/or non-aqueous electrolytic media
US7074113B1 (en) * 2000-08-30 2006-07-11 Micron Technology, Inc. Methods and apparatus for removing conductive material from a microelectronic substrate
US7078308B2 (en) * 2002-08-29 2006-07-18 Micron Technology, Inc. Method and apparatus for removing adjacent conductive and nonconductive materials of a microelectronic substrate
US20060163083A1 (en) * 2005-01-21 2006-07-27 International Business Machines Corporation Method and composition for electro-chemical-mechanical polishing
US7160176B2 (en) * 2000-08-30 2007-01-09 Micron Technology, Inc. Methods and apparatus for electrically and/or chemically-mechanically removing conductive material from a microelectronic substrate
US7220166B2 (en) * 2000-08-30 2007-05-22 Micron Technology, Inc. Methods and apparatus for electromechanically and/or electrochemically-mechanically removing conductive material from a microelectronic substrate

Family Cites Families (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4613417A (en) 1984-12-28 1986-09-23 At&T Bell Laboratories Semiconductor etching process
KR960006714B1 (en) 1990-05-28 1996-05-22 가부시끼가이샤 도시바 Semiconductor device fabrication process
NL9101379A (en) 1991-08-13 1993-03-01 Skf Ind Trading & Dev METHOD AND APPARATUS FOR ELECTRO-CHEMICAL PROCESSING, FOR EXAMPLE POLISHING, OF A SURFACE OF AN ARTICLE.
US5244534A (en) * 1992-01-24 1993-09-14 Micron Technology, Inc. Two-step chemical mechanical polishing process for producing flush and protruding tungsten plugs
US5162248A (en) 1992-03-13 1992-11-10 Micron Technology, Inc. Optimized container stacked capacitor DRAM cell utilizing sacrificial oxide deposition and chemical mechanical polishing
JP2952539B2 (en) * 1992-03-30 1999-09-27 セイコーインスツルメンツ株式会社 Micro processing equipment
JP3153018B2 (en) 1992-10-08 2001-04-03 富士通株式会社 Polishing apparatus and polishing method
US5575885A (en) 1993-12-14 1996-11-19 Kabushiki Kaisha Toshiba Copper-based metal polishing solution and method for manufacturing semiconductor device
US5567300A (en) * 1994-09-02 1996-10-22 Ibm Corporation Electrochemical metal removal technique for planarization of surfaces
US5691219A (en) * 1994-09-17 1997-11-25 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor memory device
KR0170308B1 (en) 1995-12-05 1999-02-01 김광호 High dielectronics capacitor fabrication method
US5676587A (en) * 1995-12-06 1997-10-14 International Business Machines Corporation Selective polish process for titanium, titanium nitride, tantalum and tantalum nitride
US5840629A (en) 1995-12-14 1998-11-24 Sematech, Inc. Copper chemical mechanical polishing slurry utilizing a chromate oxidant
US5800248A (en) 1996-04-26 1998-09-01 Ontrak Systems Inc. Control of chemical-mechanical polishing rate across a substrate surface
US5681423A (en) * 1996-06-06 1997-10-28 Micron Technology, Inc. Semiconductor wafer for improved chemical-mechanical polishing over large area features
US6115233A (en) * 1996-06-28 2000-09-05 Lsi Logic Corporation Integrated circuit device having a capacitor with the dielectric peripheral region being greater than the dielectric central region
US5846398A (en) 1996-08-23 1998-12-08 Sematech, Inc. CMP slurry measurement and control technique
JP4148547B2 (en) 1996-09-02 2008-09-10 株式会社デンソー Manufacturing method of semiconductor device
US5972792A (en) * 1996-10-18 1999-10-26 Micron Technology, Inc. Method for chemical-mechanical planarization of a substrate on a fixed-abrasive polishing pad
JP3809237B2 (en) 1996-12-06 2006-08-16 キヤノン株式会社 Electrolytic pattern etching method
US5954997A (en) * 1996-12-09 1999-09-21 Cabot Corporation Chemical mechanical polishing slurry useful for copper substrates
US5807165A (en) * 1997-03-26 1998-09-15 International Business Machines Corporation Method of electrochemical mechanical planarization
US6007695A (en) * 1997-09-30 1999-12-28 Candescent Technologies Corporation Selective removal of material using self-initiated galvanic activity in electrolytic bath
US6001730A (en) 1997-10-20 1999-12-14 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for polishing copper interconnects which use tantalum-based barrier layers
JPH11145273A (en) 1997-11-07 1999-05-28 Fujitsu Ltd Manufacture of semiconductor device
US6162681A (en) 1998-01-26 2000-12-19 Texas Instruments - Acer Incorporated DRAM cell with a fork-shaped capacitor
US6432828B2 (en) * 1998-03-18 2002-08-13 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
US6121152A (en) * 1998-06-11 2000-09-19 Integrated Process Equipment Corporation Method and apparatus for planarization of metallized semiconductor wafers using a bipolar electrode assembly
US6143155A (en) 1998-06-11 2000-11-07 Speedfam Ipec Corp. Method for simultaneous non-contact electrochemical plating and planarizing of semiconductor wafers using a bipiolar electrode assembly
US6132586A (en) * 1998-06-11 2000-10-17 Integrated Process Equipment Corporation Method and apparatus for non-contact metal plating of semiconductor wafers using a bipolar electrode assembly
US6328872B1 (en) 1999-04-03 2001-12-11 Nutool, Inc. Method and apparatus for plating and polishing a semiconductor substrate
US6251235B1 (en) 1999-03-30 2001-06-26 Nutool, Inc. Apparatus for forming an electrical contact with a semiconductor substrate
US6322422B1 (en) 1999-01-19 2001-11-27 Nec Corporation Apparatus for accurately measuring local thickness of insulating layer on semiconductor wafer during polishing and polishing system using the same
US6303956B1 (en) * 1999-02-26 2001-10-16 Micron Technology, Inc. Conductive container structures having a dielectric cap
US6889258B1 (en) * 1999-03-04 2005-05-03 Webtv Networks, Inc. Automatic compiling of address filter information
JP2000269318A (en) 1999-03-12 2000-09-29 Toshiba Corp Semiconductor device and manufacture thereof
US6117781A (en) * 1999-04-22 2000-09-12 Advanced Micro Devices, Inc. Optimized trench/via profile for damascene processing
US6287974B1 (en) * 1999-06-30 2001-09-11 Lam Research Corporation Method of achieving top rounding and uniform etch depths while etching shallow trench isolation features
US6328632B1 (en) 1999-08-31 2001-12-11 Micron Technology, Inc. Polishing pads and planarizing machines for mechanical and/or chemical-mechanical planarization of microelectronic substrate assemblies
US6299741B1 (en) * 1999-11-29 2001-10-09 Applied Materials, Inc. Advanced electrolytic polish (AEP) assisted metal wafer planarization method and apparatus
US6361144B1 (en) * 1999-12-03 2002-03-26 Xerox Corporation Reduction of intercolor or bleeding in liquid ink printing
US6632335B2 (en) 1999-12-24 2003-10-14 Ebara Corporation Plating apparatus
JP3676958B2 (en) 1999-12-28 2005-07-27 株式会社日立製作所 Manufacturing method of semiconductor integrated circuit device
TWI296006B (en) 2000-02-09 2008-04-21 Jsr Corp
US7374644B2 (en) 2000-02-17 2008-05-20 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US6797623B2 (en) 2000-03-09 2004-09-28 Sony Corporation Methods of producing and polishing semiconductor device and polishing apparatus
US6482307B2 (en) 2000-05-12 2002-11-19 Nutool, Inc. Method of and apparatus for making electrical contact to wafer surface for full-face electroplating or electropolishing
US6313038B1 (en) 2000-04-26 2001-11-06 Micron Technology, Inc. Method and apparatus for controlling chemical interactions during planarization of microelectronic substrates
KR100331568B1 (en) 2000-05-26 2002-04-06 윤종용 Semiconductor memory device and method for fabricating the same
US6455370B1 (en) * 2000-08-16 2002-09-24 Micron Technology, Inc. Method of patterning noble metals for semiconductor devices by electropolishing
US7134934B2 (en) * 2000-08-30 2006-11-14 Micron Technology, Inc. Methods and apparatus for electrically detecting characteristics of a microelectronic substrate and/or polishing medium
US7153410B2 (en) * 2000-08-30 2006-12-26 Micron Technology, Inc. Methods and apparatus for electrochemical-mechanical processing of microelectronic workpieces
US7153195B2 (en) * 2000-08-30 2006-12-26 Micron Technology, Inc. Methods and apparatus for selectively removing conductive material from a microelectronic substrate
US6602117B1 (en) * 2000-08-30 2003-08-05 Micron Technology, Inc. Slurry for use with fixed-abrasive polishing pads in polishing semiconductor device conductive structures that include copper and tungsten and polishing methods
US7094131B2 (en) * 2000-08-30 2006-08-22 Micron Technology, Inc. Microelectronic substrate having conductive material with blunt cornered apertures, and associated methods for removing conductive material
US7129160B2 (en) 2002-08-29 2006-10-31 Micron Technology, Inc. Method for simultaneously removing multiple conductive materials from microelectronic substrates
JP2002093761A (en) * 2000-09-19 2002-03-29 Sony Corp Polishing method, polishing system, plating method and plating system
JP3453352B2 (en) 2000-09-20 2003-10-06 株式会社半導体先端テクノロジーズ Polishing apparatus and polishing method
TW516471U (en) 2000-09-29 2003-01-01 Shuo-Ren Li Electro-chemistry mechanical polishing mechanism for exterior surface of workpiece
US6464855B1 (en) * 2000-10-04 2002-10-15 Speedfam-Ipec Corporation Method and apparatus for electrochemical planarization of a workpiece
US6977224B2 (en) 2000-12-28 2005-12-20 Intel Corporation Method of electroless introduction of interconnect structures
US6696358B2 (en) * 2001-01-23 2004-02-24 Honeywell International Inc. Viscous protective overlayers for planarization of integrated circuits
US7128825B2 (en) * 2001-03-14 2006-10-31 Applied Materials, Inc. Method and composition for polishing a substrate
US7232514B2 (en) 2001-03-14 2007-06-19 Applied Materials, Inc. Method and composition for polishing a substrate
ATE327864T1 (en) 2001-04-24 2006-06-15 Applied Materials Inc CONDUCTIVE POLISHING BODY FOR ELECTROCHEMICAL-MECHANICAL POLISHING
US6776693B2 (en) * 2001-12-19 2004-08-17 Applied Materials Inc. Method and apparatus for face-up substrate polishing
US6780772B2 (en) * 2001-12-21 2004-08-24 Nutool, Inc. Method and system to provide electroplanarization of a workpiece with a conducting material layer
US6951599B2 (en) 2002-01-22 2005-10-04 Applied Materials, Inc. Electropolishing of metallic interconnects
JP2005518670A (en) 2002-02-26 2005-06-23 アプライド マテリアルズ インコーポレイテッド Method and composition for polishing a substrate
US20040154931A1 (en) 2003-02-12 2004-08-12 Akihisa Hongo Polishing liquid, polishing method and polishing apparatus
US20050173260A1 (en) * 2003-03-18 2005-08-11 Basol Bulent M. System for electrochemical mechanical polishing
US20040259479A1 (en) 2003-06-23 2004-12-23 Cabot Microelectronics Corporation Polishing pad for electrochemical-mechanical polishing
US7153777B2 (en) 2004-02-20 2006-12-26 Micron Technology, Inc. Methods and apparatuses for electrochemical-mechanical polishing

Patent Citations (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2315695A (en) * 1938-11-23 1943-04-06 Battelle Memorial Institute Method of polishing metals
US2516105A (en) * 1945-06-20 1950-07-25 Mateosian Edward Der Electrolytic polishing of metals
US3239439A (en) * 1962-07-09 1966-03-08 Bell Telephone Labor Inc Electrodeposition of metals
US3334210A (en) * 1964-05-22 1967-08-01 Cincinnati Milling Machine Co Electro-discharge machining fluid and method
US4839005A (en) * 1987-05-22 1989-06-13 Kabushiki Kaisha Kobe Seiko Sho Electrolytic-abrasive polishing method of aluminum surface
US5098533A (en) * 1991-02-06 1992-03-24 International Business Machines Corp. Electrolytic method for the etch back of encapsulated copper-Invar-copper core structures
US5618381A (en) * 1992-01-24 1997-04-08 Micron Technology, Inc. Multiple step method of chemical-mechanical polishing which minimizes dishing
US5624300A (en) * 1992-10-08 1997-04-29 Fujitsu Limited Apparatus and method for uniformly polishing a wafer
US5300155A (en) * 1992-12-23 1994-04-05 Micron Semiconductor, Inc. IC chemical mechanical planarization process incorporating slurry temperature control
US6046099A (en) * 1993-11-03 2000-04-04 Intel Corporation Plug or via formation using novel slurries for chemical mechanical polishing
US6066559A (en) * 1996-02-02 2000-05-23 Micron Technology, Inc. Method for forming a semiconductor connection with a top surface having an enlarged recess
US5863307A (en) * 1996-04-08 1999-01-26 Chartered Semiconductor Manufacturing, Ltd. Method and slurry composition for chemical-mechanical polish (CMP) planarizing of copper containing conductor layers
US5780358A (en) * 1996-04-08 1998-07-14 Chartered Semiconductor Manufacturing Ltd. Method for chemical-mechanical polish (CMP) planarizing of cooper containing conductor layers
US6060395A (en) * 1996-07-17 2000-05-09 Micron Technology, Inc. Planarization method using a slurry including a dispersant
US5930699A (en) * 1996-11-12 1999-07-27 Ericsson Inc. Address retrieval system
US6068787A (en) * 1996-11-26 2000-05-30 Cabot Corporation Composition and slurry useful for metal CMP
US6033953A (en) * 1996-12-27 2000-03-07 Texas Instruments Incorporated Method for manufacturing dielectric capacitor, dielectric memory device
US5911619A (en) * 1997-03-26 1999-06-15 International Business Machines Corporation Apparatus for electrochemical mechanical planarization
US6174425B1 (en) * 1997-05-14 2001-01-16 Motorola, Inc. Process for depositing a layer of material over a substrate
US5934980A (en) * 1997-06-09 1999-08-10 Micron Technology, Inc. Method of chemical mechanical polishing
US6010964A (en) * 1997-08-20 2000-01-04 Micron Technology, Inc. Wafer surface treatment methods and systems using electrocapillarity
US6103636A (en) * 1997-08-20 2000-08-15 Micron Technology, Inc. Method and apparatus for selective removal of material from wafer alignment marks
US6060386A (en) * 1997-08-21 2000-05-09 Micron Technology, Inc. Method and apparatus for forming features in holes, trenches and other voids in the manufacturing of microelectronic devices
US6077412A (en) * 1997-08-22 2000-06-20 Cutek Research, Inc. Rotating anode for a wafer processing chamber
US6024856A (en) * 1997-10-10 2000-02-15 Enthone-Omi, Inc. Copper metallization of silicon wafers using insoluble anodes
US5897375A (en) * 1997-10-20 1999-04-27 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for copper and method of use in integrated circuit manufacture
US6103096A (en) * 1997-11-12 2000-08-15 International Business Machines Corporation Apparatus and method for the electrochemical etching of a wafer
US6171467B1 (en) * 1997-11-25 2001-01-09 The John Hopkins University Electrochemical-control of abrasive polishing and machining rates
US5888866A (en) * 1998-04-18 1999-03-30 United Microelectronics Corp. Method for fabricating capacitors of a dynamic random access memory
US6416647B1 (en) * 1998-04-21 2002-07-09 Applied Materials, Inc. Electro-chemical deposition cell for face-up processing of single semiconductor substrates
US6187651B1 (en) * 1998-05-07 2001-02-13 Samsung Electronics Co., Ltd. Methods of forming trench isolation regions using preferred stress relieving layers and techniques to inhibit the occurrence of voids
US6063306A (en) * 1998-06-26 2000-05-16 Cabot Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrate
US6395152B1 (en) * 1998-07-09 2002-05-28 Acm Research, Inc. Methods and apparatus for electropolishing metal interconnections on semiconductor devices
US6190494B1 (en) * 1998-07-29 2001-02-20 Micron Technology, Inc. Method and apparatus for electrically endpointing a chemical-mechanical planarization process
US6180947B1 (en) * 1998-08-07 2001-01-30 Nikon Corporation Multi-element deflection aberration correction for electron beam lithography
US6051496A (en) * 1998-09-17 2000-04-18 Taiwan Semiconductor Manufacturing Company Use of stop layer for chemical mechanical polishing of CU damascene
US6250994B1 (en) * 1998-10-01 2001-06-26 Micron Technology, Inc. Methods and apparatuses for mechanical and chemical-mechanical planarization of microelectronic-device substrate assemblies on planarizing pads
US6039633A (en) * 1998-10-01 2000-03-21 Micron Technology, Inc. Method and apparatus for mechanical and chemical-mechanical planarization of microelectronic-device substrate assemblies
US6100197A (en) * 1998-10-13 2000-08-08 Nec Corporation Method of fabricating a semiconductor device
US6599806B2 (en) * 1998-10-16 2003-07-29 Samsung Electronics Co., Ltd. Method for manufacturing a capacitor of a semiconductor device
US6176992B1 (en) * 1998-11-03 2001-01-23 Nutool, Inc. Method and apparatus for electro-chemical mechanical deposition
US6206756B1 (en) * 1998-11-10 2001-03-27 Micron Technology, Inc. Tungsten chemical-mechanical polishing process using a fixed abrasive polishing pad and a tungsten layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
US6273786B1 (en) * 1998-11-10 2001-08-14 Micron Technology, Inc. Tungsten chemical-mechanical polishing process using a fixed abrasive polishing pad and a tungsten layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
US6276996B1 (en) * 1998-11-10 2001-08-21 Micron Technology, Inc. Copper chemical-mechanical polishing process using a fixed abrasive polishing pad and a copper layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
US6083840A (en) * 1998-11-25 2000-07-04 Arch Specialty Chemicals, Inc. Slurry compositions and method for the chemical-mechanical polishing of copper and copper alloys
US6726823B1 (en) * 1998-11-28 2004-04-27 Acm Research, Inc. Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces
US6103628A (en) * 1998-12-01 2000-08-15 Nutool, Inc. Reverse linear polisher with loadable housing
US20050133379A1 (en) * 1998-12-01 2005-06-23 Basol Bulent M. System for electropolishing and electrochemical mechanical polishing
US6280581B1 (en) * 1998-12-29 2001-08-28 David Cheng Method and apparatus for electroplating films on semiconductor wafers
US6066030A (en) * 1999-03-04 2000-05-23 International Business Machines Corporation Electroetch and chemical mechanical polishing equipment
US6259128B1 (en) * 1999-04-23 2001-07-10 International Business Machines Corporation Metal-insulator-metal capacitor for copper damascene process and method of forming the same
US6395607B1 (en) * 1999-06-09 2002-05-28 Alliedsignal Inc. Integrated circuit fabrication method for self-aligned copper diffusion barrier
US6504247B2 (en) * 1999-06-09 2003-01-07 Alliedsignal Inc. Integrated having a self-aligned Cu diffusion barrier
US6196899B1 (en) * 1999-06-21 2001-03-06 Micron Technology, Inc. Polishing apparatus
US6218309B1 (en) * 1999-06-30 2001-04-17 Lam Research Corporation Method of achieving top rounding and uniform etch depths while etching shallow trench isolation features
US6197182B1 (en) * 1999-07-07 2001-03-06 Technic Inc. Apparatus and method for plating wafers, substrates and other articles
US6693036B1 (en) * 1999-09-07 2004-02-17 Sony Corporation Method for producing semiconductor device polishing apparatus, and polishing method
US6379223B1 (en) * 1999-11-29 2002-04-30 Applied Materials, Inc. Method and apparatus for electrochemical-mechanical planarization
US6368184B1 (en) * 2000-01-06 2002-04-09 Advanced Micro Devices, Inc. Apparatus for determining metal CMP endpoint using integrated polishing pad electrodes
US6368190B1 (en) * 2000-01-26 2002-04-09 Agere Systems Guardian Corp. Electrochemical mechanical planarization apparatus and method
US6537144B1 (en) * 2000-02-17 2003-03-25 Applied Materials, Inc. Method and apparatus for enhanced CMP using metals having reductive properties
US6515493B1 (en) * 2000-04-12 2003-02-04 Speedfam-Ipec Corporation Method and apparatus for in-situ endpoint detection using electrical sensors
US7074113B1 (en) * 2000-08-30 2006-07-11 Micron Technology, Inc. Methods and apparatus for removing conductive material from a microelectronic substrate
US7160176B2 (en) * 2000-08-30 2007-01-09 Micron Technology, Inc. Methods and apparatus for electrically and/or chemically-mechanically removing conductive material from a microelectronic substrate
US7220166B2 (en) * 2000-08-30 2007-05-22 Micron Technology, Inc. Methods and apparatus for electromechanically and/or electrochemically-mechanically removing conductive material from a microelectronic substrate
US20020025763A1 (en) * 2000-08-30 2002-02-28 Whonchee Lee Methods and apparatus for electrical, mechanical and/or chemical removal of conductive material from a microelectronic substrate
US6551935B1 (en) * 2000-08-31 2003-04-22 Micron Technology, Inc. Slurry for use in polishing semiconductor device conductive structures that include copper and tungsten and polishing methods
US6867448B1 (en) * 2000-08-31 2005-03-15 Micron Technology, Inc. Electro-mechanically polished structure
US6605539B2 (en) * 2000-08-31 2003-08-12 Micron Technology, Inc. Electro-mechanical polishing of platinum container structure
US20030113996A1 (en) * 2000-10-13 2003-06-19 Takeshi Nogami Semiconductor production device and production method for semiconductor device
US6722950B1 (en) * 2000-11-07 2004-04-20 Planar Labs Corporation Method and apparatus for electrodialytic chemical mechanical polishing and deposition
US20020104764A1 (en) * 2000-11-20 2002-08-08 Gautam Banerjee Electropolishing and chemical mechanical planarization
US6736952B2 (en) * 2001-02-12 2004-05-18 Speedfam-Ipec Corporation Method and apparatus for electrochemical planarization of a workpiece
US20020115283A1 (en) * 2001-02-20 2002-08-22 Chartered Semiconductor Manufacturing Ltd. Planarization by selective electro-dissolution
US6846227B2 (en) * 2001-02-28 2005-01-25 Sony Corporation Electro-chemical machining appartus
US20020130049A1 (en) * 2001-03-14 2002-09-19 Liang-Yuh Chen Planarization of substrates using electrochemical mechanical polishing
US6852630B2 (en) * 2001-04-23 2005-02-08 Asm Nutool, Inc. Electroetching process and system
US6722942B1 (en) * 2001-05-21 2004-04-20 Advanced Micro Devices, Inc. Chemical mechanical polishing with electrochemical control
US6603117B2 (en) * 2001-06-28 2003-08-05 Greene, Tweed & Co. Self contained sensing apparatus and system
US20030010648A1 (en) * 2001-07-13 2003-01-16 Applied Materials, Inc. Electrochemically assisted chemical polish
US6867136B2 (en) * 2001-07-20 2005-03-15 Nutool, Inc. Method for electrochemically processing a workpiece
US6881664B2 (en) * 2001-08-28 2005-04-19 Lsi Logic Corporation Process for planarizing upper surface of damascene wiring structure for integrated circuit structures
US20030064669A1 (en) * 2001-09-28 2003-04-03 Basol Bulent M. Low-force electrochemical mechanical processing method and apparatus
US6705926B2 (en) * 2001-10-24 2004-03-16 Cabot Microelectronics Corporation Boron-containing polishing system and method
US7229535B2 (en) * 2001-12-21 2007-06-12 Applied Materials, Inc. Hydrogen bubble reduction on the cathode using double-cell designs
US6899804B2 (en) * 2001-12-21 2005-05-31 Applied Materials, Inc. Electrolyte composition and treatment for electrolytic chemical mechanical polishing
US20030136684A1 (en) * 2002-01-22 2003-07-24 Applied Materials, Inc. Endpoint detection for electro chemical mechanical polishing and electropolishing processes
US6689258B1 (en) * 2002-04-30 2004-02-10 Advanced Micro Devices, Inc. Electrochemically generated reactants for chemical mechanical planarization
US6753250B1 (en) * 2002-06-12 2004-06-22 Novellus Systems, Inc. Method of fabricating low dielectric constant dielectric films
US7078308B2 (en) * 2002-08-29 2006-07-18 Micron Technology, Inc. Method and apparatus for removing adjacent conductive and nonconductive materials of a microelectronic substrate
US7192335B2 (en) * 2002-08-29 2007-03-20 Micron Technology, Inc. Method and apparatus for chemically, mechanically, and/or electrolytically removing material from microelectronic substrates
US20050020192A1 (en) * 2002-08-29 2005-01-27 Whonchee Lee Method and apparatus for chemically, mechanically, and/or electrolytically removing material from microelectronic substrates
US6848970B2 (en) * 2002-09-16 2005-02-01 Applied Materials, Inc. Process control in electrochemically assisted planarization
US6884338B2 (en) * 2002-12-16 2005-04-26 3M Innovative Properties Company Methods for polishing and/or cleaning copper interconnects and/or film and compositions therefor
US6858124B2 (en) * 2002-12-16 2005-02-22 3M Innovative Properties Company Methods for polishing and/or cleaning copper interconnects and/or film and compositions therefor
US6893328B2 (en) * 2003-04-23 2005-05-17 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Conductive polishing pad with anode and cathode
US20050016861A1 (en) * 2003-07-24 2005-01-27 Thomas Laursen Method for planarizing a work piece
US20050056550A1 (en) * 2003-09-17 2005-03-17 Whonchee Lee Methods and apparatus for removing conductive material from a microelectronic substrate
US20060042956A1 (en) * 2004-09-01 2006-03-02 Whonchee Lee Methods and systems for removing materials from microfeature workpieces with organic and/or non-aqueous electrolytic media
US20060163083A1 (en) * 2005-01-21 2006-07-27 International Business Machines Corporation Method and composition for electro-chemical-mechanical polishing

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9214359B2 (en) 2000-08-30 2015-12-15 Micron Technology, Inc. Method and apparatus for simultaneously removing multiple conductive materials from microelectronic substrates

Also Published As

Publication number Publication date
US9214359B2 (en) 2015-12-15
US20140377953A1 (en) 2014-12-25
US7129160B2 (en) 2006-10-31
US20040043582A1 (en) 2004-03-04
US20050020004A1 (en) 2005-01-27

Similar Documents

Publication Publication Date Title
US9214359B2 (en) Method and apparatus for simultaneously removing multiple conductive materials from microelectronic substrates
US8603319B2 (en) Methods and systems for removing materials from microfeature workpieces with organic and/or non-aqueous electrolytic media
US7323416B2 (en) Method and composition for polishing a substrate
US8048756B2 (en) Method for removing metal layers formed outside an aperture of a BPSG layer utilizing multiple etching processes including electrochemical-mechanical polishing
US6299741B1 (en) Advanced electrolytic polish (AEP) assisted metal wafer planarization method and apparatus
US8268135B2 (en) Method and apparatus for electrochemical planarization of a workpiece
US7112121B2 (en) Methods and apparatus for electrical, mechanical and/or chemical removal of conductive material from a microelectronic substrate
JP2893012B2 (en) Method and apparatus for planarizing a workpiece
US6902659B2 (en) Method and apparatus for electro-chemical mechanical deposition
US7192335B2 (en) Method and apparatus for chemically, mechanically, and/or electrolytically removing material from microelectronic substrates
JP2005518670A (en) Method and composition for polishing a substrate
JP2009527129A (en) Method for electrochemically polishing a conductive material on a substrate
US6739953B1 (en) Mechanical stress free processing method
EP1399956A2 (en) Methods and apparatus for electrical, mechanical and/or chemical removal of conductive material from a microelectronic substrate

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION