US20080102278A1 - Carbon filament memory and method for fabrication - Google Patents

Carbon filament memory and method for fabrication Download PDF

Info

Publication number
US20080102278A1
US20080102278A1 US11/588,864 US58886406A US2008102278A1 US 20080102278 A1 US20080102278 A1 US 20080102278A1 US 58886406 A US58886406 A US 58886406A US 2008102278 A1 US2008102278 A1 US 2008102278A1
Authority
US
United States
Prior art keywords
carbon layer
carbon
rich
filament
nonvolatile memory
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/588,864
Inventor
Franz Kreupl
Michael Kund
Klaus-Dieter Ufert
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Qimonda AG
Original Assignee
Qimonda AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Qimonda AG filed Critical Qimonda AG
Priority to US11/588,864 priority Critical patent/US20080102278A1/en
Assigned to QIMONDA AG reassignment QIMONDA AG ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KREUPL, FRANZ, KUND, MICHAEL, UFERT, KLAUS-DIETER
Priority to US11/708,757 priority patent/US7894253B2/en
Priority to DE102007013595A priority patent/DE102007013595A1/en
Priority to JP2007274864A priority patent/JP2008153624A/en
Priority to EP07119159A priority patent/EP1916722B1/en
Priority to KR1020070107975A priority patent/KR100922020B1/en
Priority to CN2007101651283A priority patent/CN101170159B/en
Publication of US20080102278A1 publication Critical patent/US20080102278A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/30Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/231Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect
    • H10N70/235Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect between different crystalline phases, e.g. cubic and hexagonal
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/884Other compounds of groups 13-15, e.g. elemental or compound semiconductors
    • H10N70/8845Carbon or carbides
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2213/00Indexing scheme relating to G11C13/00 for features not covered by this group
    • G11C2213/30Resistive cell, memory material aspects
    • G11C2213/35Material including carbon, e.g. graphite, grapheme
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/021Formation of the switching material, e.g. layer deposition
    • H10N70/023Formation of the switching material, e.g. layer deposition by chemical vapor deposition, e.g. MOCVD, ALD
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/021Formation of the switching material, e.g. layer deposition
    • H10N70/026Formation of the switching material, e.g. layer deposition by physical vapor deposition, e.g. sputtering
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/30Self-sustaining carbon mass or layer with impregnant or other layer

Definitions

  • the present invention relates generally to memories and in one embodiment to a carbon filament memory.
  • Nonvolatile memory retains its stored data even when power is not present.
  • This type of memory is used in a wide variety of electronic equipment, including digital cameras, portable audio players, wireless communication devices, personal digital assistants, and peripheral devices, as well as for storing firmware in computers and other devices.
  • Nonvolatile memory technologies include flash memory, magnetoresistive random access memory (MRAM), phase change random access memory (PCRAM), and conductive bridging random access memory (CBRAM). Due to the great demand for nonvolatile memory devices, researchers are continually developing new types of nonvolatile memory.
  • MRAM magnetoresistive random access memory
  • PCRAM phase change random access memory
  • CBRAM conductive bridging random access memory
  • the invention provides a nonvolatile memory cell including a carbon layer system that includes an sp 2 -rich amorphous carbon layer and an sp 3 -rich amorphous carbon layer, wherein information is stored in the nonvolatile memory cell by reversibly forming an sp 2 -rich filament in the sp 3 -rich amorphous carbon layer.
  • FIGS. 1A and 1B show a conventional conductive bridging memory element
  • FIGS. 2A , 2 B, and 2 C show a carbon filament memory element in accordance with an embodiment of the invention
  • FIG. 3 is a graph showing different resistances in a carbon filament memory element in accordance with an embodiment of the invention.
  • FIG. 4 is a graph showing V-I curves that demonstrate the memory effect of a carbon filament memory element in accordance with an embodiment of the invention
  • FIG. 5 is a block diagram of a method for storing information in accordance with an embodiment of the invention.
  • FIG. 6 is a schematic diagram of a memory cell in accordance with an embodiment of the invention.
  • FIG. 7 is a block diagram showing a method for fabricating an array of memory cells in accordance with an embodiment of the invention.
  • FIGS. 8A , 8 B, and 8 C show a top view and cross section views of a memory array in accordance with an embodiment of the invention, following an intermediate step in the fabrication of the memory array;
  • FIGS. 9A and 9B show a top view and a cross section view of a memory array in accordance with an embodiment of the invention, following another step in the fabrication of the memory array;
  • FIG. 10 shows an example computing system including a memory device using memory cells in accordance with an embodiment of the invention.
  • FIG. 1A shows a conventional conductive bridging junction (CBJ) for use in a conductive bridging random access memory (CBRAM) cell.
  • a CBJ 100 includes a first electrode 102 , a second electrode 104 , and a solid electrolyte block 106 sandwiched between the first electrode 102 and the second electrode 104 .
  • the first electrode 102 contacts a first surface 108 of the solid electrolyte block 106
  • the second electrode 104 contacts a second surface 110 of the solid electrolyte block 106 .
  • One of the first electrode 102 and the second electrode 104 is a reactive electrode, the other one an inert electrode.
  • the first electrode 102 is the reactive electrode
  • the second electrode 104 is the inert electrode.
  • the first electrode 102 includes silver (Ag)
  • the solid electrolyte block 106 includes a silver-doped chalcogenide material.
  • a sensing current is routed through the CBJ 100 .
  • the sensing current encounters a high resistance if no conductive bridge 114 exists within the CBJ 100 , and a low resistance when a conductive bridge 114 is present.
  • a high resistance may, for example, represent “0”, while a low resistance represents “1”, or vice versa.
  • a process of forming a reversible conductive filament may be used to construct an information storage element using a carbon layer system including layers of sp 2 -rich and sp 3 -rich (also known as diamond-like carbon, or DLC) amorphous carbon films.
  • a carbon layer system including layers of sp 2 -rich and sp 3 -rich (also known as diamond-like carbon, or DLC) amorphous carbon films.
  • a memory junction 200 includes a top contact 202 , a first carbon layer 204 including an amorphous carbon material rich in sp 2 hybridized carbon atoms, a second carbon layer 206 including an amorphous carbon material rich in sp 3 hybridized carbon atoms, and a bottom contact 208 .
  • the first carbon layer 204 and second carbon layer 206 form a carbon bi-layer system 210 in which a conductive filament may be formed, as described further below.
  • the sp 2 -rich carbon is conductive
  • the sp 3 -rich carbon is an electrical insulator, having low conductivity.
  • the resistance of the first carbon layer 204 i.e., the sp 2 -rich carbon layer
  • the resistance of the second carbon layer 206 is R 2
  • the ratio R 2 /R 1 may be greater than 100, for example greater than 1000, e.g., greater than 10000.
  • an sp 2 filament 250 can be formed in the sp 3 -rich second carbon layer 206 , changing the conductivity (and resistance) of the carbon bi-layer system.
  • the current causes a change in the structure of the material, from atomic scale sp 2 /sp 3 disorder to a disordered graphitic sp 2 -domain network, through the migration of sp 3 defects.
  • the current induces the migration of sp 2 -rich clusters to form a percolation path network of graphitic sp 2 domains, which causes an insulator-to-metal transition.
  • Electron transport in the sp 2 -rich metallic state features weakly temperature-dependent conductivity with a majority of hole and a minority of electron carriers.
  • a one-dimensional channel arises due to filamentary conduction through localized sp 2 -rich regions within the sp 3 carbon barriers, and particularly within the barrier adjacent to the electron injector. This limits the electrical conduction at low bias. Additionally, this may involve the orientation of ⁇ orbitals of sp 2 -bonded carbon molecules when subject to a high electric field, leading to increased electron transmission, although the present invention is not limited to a particular theory of operation.
  • the thickness of the sp 3 -rich second carbon layer 206 is related to the desired voltages and speed for the operation of the memory. Switching (i.e., formation or removal of filaments) may occur in an electric field of less than one volt per nm of thickness of the material. For example, for an operating voltage of 3V, the thickness of the second carbon layer 206 may be approximately 4 nm.
  • the thickness of the sp 2 -rich first carbon layer 204 does not have a substantial effect, and the first carbon layer 204 may have almost any thickness, from a monolayer to a thickness of hundreds of nm.
  • FIG. 3 shows a curve 300 representing the resistance in an 8 nm thick sp 3 -rich film, as voltage varies.
  • the curve 300 includes quantized resistance steps 302 a - 302 e , in multiples of h/(2e 2 ), where h is Planck's constant and e is the electron charge, indicating the formation of additional conducting channels in the sp 3 -rich carbon film.
  • FIG. 4 illustrates the memory effect following the application of voltage to an sp 3 -rich film.
  • the I-V curve 400 shows the current/voltage relationship for an approximately 8 nm thick sp 3 -rich film prior to the formation of carbon filaments in the sp 3 -rich film.
  • the I-V curve 402 shows the current/voltage relationship after formation of filaments using a voltage pulse of approximately 6 volts, demonstrating the memory effect.
  • FIG. 5 shows a block diagram of a method for storing information according to an embodiment of the invention.
  • a carbon bi-layer system as described above, including an sp 2 -rich amorphous carbon layer and an sp 3 -rich amorphous carbon layer is provided.
  • information is stored in the carbon bi-layer system by applying a current through the carbon bi-layer system to cause the growth of a filament of sp 2 -rich carbon in the sp 3 -rich layer, decreasing the resistance of the carbon bi-layer system. By applying a current with reversed polarity, the growth of the filament can be reversed. Additionally, quantized resistance steps, such as are shown in FIG. 3 , can be used to store multiple bits of information in the carbon bi-layer system.
  • FIG. 6 shows an illustrative memory cell that uses a memory junction such as is shown in FIGS. 2A-2C , with still others being apparent to workers in the art and included herein.
  • the memory cell 600 includes a select transistor 602 and a memory junction 604 , which uses a carbon bi-layer system, in accordance with the invention.
  • the select transistor 602 includes a source 606 that is connected to a bit line 608 , a drain 610 that is connected to the memory junction 604 , and a gate 612 that is connected to a word line 614 .
  • the memory junction 604 is also connected to a common line 616 , which may be connected to ground, or to other circuitry, such as circuitry (not shown) for determining the resistance of the memory cell 600 , for use in reading. It should be noted that as used herein the terms connected and coupled are intended to include both direct and indirect connection and coupling, respectively.
  • the word line 614 is used to select the cell 600 , and a current on the bit line 608 is forced through the memory junction 604 , to form or remove filaments in the memory junction 604 , changing the resistance of the memory junction 604 .
  • the word line 614 is used to select the cell 600
  • the bit line 608 is used to apply a voltage across the memory junction 604 to measure the resistance of the memory junction 604 .
  • the memory cell 600 may be referred to as a 1T1J cell, because it uses one transistor, and one memory junction.
  • a memory device will include an array of many such cells. It will be understood that other configurations for a 1T1J memory cell could be used with a carbon bi-layer memory junction according to the invention. Additionally, cell configurations other than a 1T1J configuration may be used.
  • FIG. 7 an illustrative method for fabricating an array of 1T1J memory cells including a memory junction using a carbon bi-layer system is described.
  • the fabrication may be accomplished by any method known in the art or hereafter developed that is suitable for forming the inventive structure.
  • a shallow trench isolation (STI) structure in the array and periphery has been fabricated on a semiconductor wafer or other suitable substrate.
  • STI shallow trench isolation
  • gates and word lines are formed above the STI structure. This involves the conventional application of a gate oxide layer, gate conductor deposition and structuring, sidewall spacer formation, and related implants.
  • SiO 2 is removed from the source and drain regions. This may be accomplished, for example, through the application of diluted HF. Optionally, selective epitaxial growth of Si may be used to form elevated source and drain regions.
  • step 706 by a salicidation process, for example forming CoSi, NiSi, TiSi, or another suitable silicide.
  • Salicidation can be omitted in parts or all of the periphery by using a blocking mask.
  • salicided areas where no contacts will be fabricated may be covered with resist.
  • Step 708 is an ILD (Interlayer Dielectric) deposition step which can be, for example, an SiO 2 deposition and planarization step, which is followed in step 710 by etching contact holes (vias) and filling them with contact plugs including a suitable conductive material, such as W, poly-Si, or a conductive carbon material. Back etching and planarization may be used to prepare a level surface for the wafer.
  • ILD Interlayer Dielectric
  • bit lines are formed, which are connected to the common source of two neighboring transistors. This may be achieved by using a lithographic process to mask portions of the SiO 2 layer, etching the SiO 2 and the common source contact plug for formation of the bit lines. This is followed by deposition of the bit lines (using poly-Si, W, or other suitable conductive materials) and recess.
  • step 714 further (ILD) SiO 2 is deposited, burying the bit lines.
  • CMP Chemical mechanical polishing
  • the sp 3 -rich and sp 2 -rich carbon layers are deposited to form the carbon bi-layer system for the memory junction.
  • Deposition of these layers may be achieved by physical vapor deposition (PVD), chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), ablation of a graphite target using a 248 nm pulsed ultraviolet excimer laser, or another suitable method of depositing a material on a substrate.
  • the sp 2 to sp 3 ratio in the deposited carbon materials may be varied by a number of methods, depending on the deposition technique used. For example, if the carbon layers are produced using PECVD deposition, the ratio may be controlled by adjusting the bias voltage, gas pressure, and temperature during the deposition of the material.
  • the PECVD process may be carried out in an inductively coupled high-density plasma with a stimulation frequency of approximately 20 MHz to approximately 40 MHz, e.g., with a stimulation frequency of approximately 25 MHz to approximately 30 MHz, e.g., with a stimulation frequency of approximately 27.26 MHz.
  • the substrate including the layer stack that has already been manufactured, is placed on a substrate holder where it is possible to apply an RF bias voltage. Additional ions having the appropriate energy can be pulled onto the substrate by means of the RF bias voltage. Additionally, the substrate can be heated.
  • C x H y (x and y are arbitrary natural number), e.g., C 2 H 2 or CH 4 , can be used as the reactive gas.
  • the reactive gas can be diluted using Ne, H 2 or Ar.
  • the level of the substrate bias voltage is determined by the reactor geometry (i.e. the ratio of the dimensions of the mass carrying electrode and the RF carrying electrode in the reactor), and by the self-bias, which is applied or is adjusted to by an externally applied capacitively coupled-in RF field.
  • the externally applied capacitively coupled-in RF field determines the layer characteristics and, for example, the occurring bondings, such as sp 3 or sp 2 bondings, and their relative amount and mixture.
  • a negative voltage in the range of approximately 50 V to approximately 350 V is applied together with a gas pressure in the range of approximately 10 mTorr to approximately 500 mTorr.
  • a small voltage of, e.g., 50 V may be applied and for a highly conductive layer a voltage of, e.g., 300 V may be applied.
  • the ratio of sp 2 to sp 3 may be determined by forming the layers by sputtering. This may be achieved, for example, using a graphite target in an argon atmosphere, at a pressure of approximately 1 Pa, with the substrate approximately 3 cm from the target.
  • the temperature in a range of approximately 77 K to 500 K, and the sputtering power from approximately 5 W to approximately 300 W, the resistivity of the material may be varied. For a low conductivity layer a small power of, e.g., 5 W may be applied and for a highly conductive layer a power of, e.g., 300 W may be applied.
  • the ratio of sp 2 to sp 3 may be determined by forming the layers by laser ablation.
  • a 248 nm pulsed ultraviolet excimer laser e.g., Lambda Physik LPX 210i
  • the fluence of the laser may be varied to synthesize two types of amorphous-carbon layers (using, for example, approximately 4 J cm ⁇ 2 for sp 2 -rich layers and approximately 20 J cm ⁇ 2 for sp3-rich layers).
  • the amounts of sp 2 and sp 3 hybridized carbon present in a deposited film may also be adjusted by post-deposition annealing, or by other conventional techniques for depositing films of diamond-like carbon.
  • the top electrode including a highly conductive material such as poly-Si, W, Ti, or Ta is deposited above the carbon bi-layer system.
  • step 720 lithography and etching are used to define the areas covered by the carbon bi-layer system and top electrode. Following this step, additional processing of the semiconductor wafer may be performed using conventional processes.
  • FIGS. 8A-8C illustrate one intermediate step in the above-described process. In particular, they show a portion of the array following step 710 , in which the contact holes are etched and filled with a material such as W, poly-Si, or carbon.
  • FIG. 8A shows a top view of a portion of a memory array 800 , including active areas 802 , word lines 804 , and filled contact holes 806 .
  • the density of memory cells in an array may be increased by sharing each active area 802 (in this case, sharing a source region) between two cells, each with its own word line.
  • the staggered arrangement of the active areas 802 also contributes to increasing the density of memory cells in the array. It will be understood that other layouts of memory cells and active areas may also be used, and that the layout shown in FIGS. 8A-8C is for the purpose of illustration.
  • FIG. 8B shows a cross section of the portion of the memory array 800 along Cut A-A of FIG. 8A .
  • This cross section shows one active area 802 , and two word lines 804 , connected to transistor gates 820 .
  • Filled contact holes 806 have been etched through an SiO 2 layer 822 to connect to a source contact area 824 , and to two drain contact areas 826 .
  • the active areas 802 are separated by STI areas 828 .
  • FIG. 8C shows a second cross section of the portion of the memory array 800 along Cut B-B of FIG. 8A .
  • This cross section shows portions of three active areas 802 , separated by STI areas 828 .
  • Filled contact holes 806 etched through the SiO 2 layer 822 connect to drain contact areas 826 .
  • FIGS. 9A and 9B show a similar view, following step 720 of the method 700 , described above with reference to FIG. 7 .
  • FIG. 9A is a top view, showing the portion of a memory array 900 , which is the same as the portion of the memory array 800 described with reference to FIGS. 8A-8C , but at a later stage in processing.
  • the portion of the memory array 900 now includes top electrodes 902 , which are deposited above carbon bi-layer memory junctions.
  • FIG. 9B shows a cross section of the portion of the memory array 900 along Cut A-A, which is similar in location to the cross section shown above in FIG. 8B .
  • the cross section shows an active area 920 , and two word lines 922 , connected to transistor gates 924 .
  • Vias (or contact plugs) 926 connect to two drain contact areas 928 , and to carbon bi-layer memory junctions 930 , which are connected to top electrodes 902 .
  • a bit line 932 connects to a source contact region 934 through a via 936 .
  • STI areas 938 separate the active area 920 from other active areas.
  • bit line 932 , via 936 , and source contact region 934 are shared by two memory cells, each of which includes a transistor and a carbon bi-layer memory junction 930 . It will be understood that other layouts for memory cells may be used in accordance with the principles of the invention.
  • Memory cells such as are described above may be used in memory devices that contain large numbers of such cells. These cells may, for example, be organized into an array of memory cells having numerous rows and columns of cells, each of which stores one or more bits of information. Memory devices of this sort may be used in a variety of applications or systems, such as the illustrative system shown in FIG. 10 .
  • FIG. 10 shows an example computing system that uses a memory device constructed of memory cells in accordance with the invention.
  • the computing system 1000 includes a memory device 1002 , which may utilize memory cells in accordance with the invention.
  • the system also includes a processor 1004 , and one or more input/output devices, such as a keypad 1006 , display 1008 , and wireless communication device 1010 .
  • the memory device 1002 , processor 1004 , keypad 1006 , display 1008 and wireless communication device 1010 are interconnected by a bus 1012 .
  • the wireless communication device 1010 may include circuitry (not shown) for sending and receiving transmissions over a cellular telephone network, a WiFi wireless network, or other wireless communication network. It will be understood that the variety of input/output devices shown in FIG. 10 is merely an example, in which the computing system 1000 may be configured as a cellular telephone or other wireless communications device. Memory devices including memory cells in accordance with the invention may be used in a wide variety of systems. Alternative system designs may include different input/output devices, multiple processors, alternative bus configurations, and many other configurations.
  • a nonvolatile memory cell includes a carbon layer system that includes an sp 2 -rich amorphous carbon layer and an sp 3 -rich amorphous carbon layer, wherein information is stored in the nonvolatile memory cell by reversibly forming an sp 2 -rich filament in the sp 3 -rich amorphous carbon layer.
  • the sp 2 -rich filament changes the conductivity of the carbon layer system.
  • the sp 3 -rich amorphous carbon layer has a thickness of 5 nm or less.
  • Some embodiments further include a select transistor coupled to the carbon layer system.
  • the carbon layer system stores multiple bits of information.
  • different resistance states for example, quantized resistance steps according to certain embodiments, of the carbon layer system are used to store the multiple bits of information.
  • application of a first current through the carbon layer system causes growth of the sp 2 -rich filament
  • application of a second current, having a reversed polarity with respect to the first current causes reduction of the sp 2 -rich filament.
  • the carbon layer system may be a carbon bi-layer system.
  • an information storage element includes a first carbon layer including an amorphous carbon film including sp 2 hybridized carbon and sp 3 hybridized carbon, the first carbon layer having a substantially higher proportion of sp 2 hybridized carbon than sp 3 hybridized carbon, and a second carbon layer disposed adjacent to the first carbon layer, the second carbon layer including an amorphous carbon film including sp 2 hybridized carbon and sp 3 hybridized carbon, the second carbon layer having a substantially higher proportion of sp 3 hybridized carbon than sp 2 hybridized carbon.
  • Information is stored by forcing a first current through the first carbon layer and the second carbon layer to cause growth of a filament in the second carbon layer, the filament having a substantially higher proportion of sp 2 hybridized carbon than sp 3 hybridized carbon.
  • the filament is reduced by forcing a second current, having a reversed polarity with respect to the first current, through the first carbon layer and the second carbon layer.
  • the second carbon layer has a thickness of 5 nm or less.
  • the first carbon layer has a resistivity (specific resistance) R 1
  • the second carbon layer has a specific resistance R 2
  • a ratio R 2 /R 1 is greater than 100 when the filament is absent, for example greater than 1000, e.g., greater than 10000.
  • growth of the filament increases the conductivity of the information storage element.
  • different resistance states of the information storage element are used to store multiple bits of information in the information storage element.
  • the invention provides a nonvolatile memory cell including a transistor, and a carbon layer system, including a first carbon layer, having a first specific resistance R 1 , and a second carbon layer, having a second specific resistance R 2 , such that the ratio R 2 /R 1 is greater than 100, for example greater than 1000, e.g., greater than 10000.
  • One of the layers of the carbon layer system is connected to a drain portion of the transistor for use as a memory cell.
  • the invention provides a method for storing information, including providing a carbon layer system that includes an sp 2 -rich amorphous carbon layer and an sp 3 -rich amorphous carbon layer, and reversibly forming an sp 2 -rich filament in the sp 3 -rich amorphous carbon layer to store the information.
  • reversibly forming an sp 2 -rich filament includes applying a first current through the carbon layer system to cause growth of the sp 2 -rich filament.
  • reversibly forming an sp 2 -rich filament includes applying a second current, having a reversed polarity with respect to the first current, to cause reduction of the sp 2 -rich filament.
  • reversibly forming an sp 2 -rich filament comprises changing the resistance of the carbon layer system, which includes changing the resistance continuously in certain of these embodiments, and changing the resistance in steps, for example, in quantized steps, in others of these embodiments.
  • changing the resistance in steps includes using the different steps to represent multiple bits of information, and in some embodiments, changing the resistance includes using the different resistance values to represent multiple bits of information.
  • the invention provides a method of fabricating a nonvolatile memory device, including depositing a first carbon layer including an amorphous carbon film including sp 2 hybridized carbon and sp 3 hybridized carbon, the first carbon layer having a substantially higher proportion of sp 2 hybridized carbon than sp 3 hybridized carbon.
  • the method also includes depositing a second carbon layer disposed adjacent to the first carbon layer, the second carbon layer including an amorphous carbon film including sp 2 hybridized carbon and sp 3 hybridized carbon, the second carbon layer having a substantially higher proportion of sp 3 hybridized carbon than sp 2 hybridized carbon.
  • the method includes forming contacts that permit a current to be selectively applied through the first carbon layer and second carbon layer.
  • the method further includes forming a transistor having a drain region that is coupled to at least one of the contacts to selectively apply current through the first carbon layer and second carbon layer.
  • the invention provides a method of fabricating a nonvolatile memory device, including depositing on a semiconductor wafer a carbon layer system including a first layer, having a first resistance R 1 , and a second carbon layer, having a second resistance R 2 , such that R 2 /R 1 is greater than 100, for example, greater than 1000, e.g., greater than 10000.
  • the invention provides a computing system including an input device, and output device, a processor, and a nonvolatile memory, the nonvolatile memory including a carbon layer system that has an sp 2 -rich amorphous carbon layer and an sp 3 -rich amorphous carbon layer, wherein information is stored in the nonvolatile memory cell by reversibly forming an sp 2 -rich filament in the sp 3 -rich amorphous carbon layer.
  • the output device includes a wireless communications device.

Abstract

A nonvolatile memory cell is described, including a carbon layer system that includes an sp2-rich amorphous carbon layer and an sp3-rich amorphous carbon layer, wherein information is stored in the nonvolatile memory cell by reversibly forming an sp2-rich filament in the sp3-rich amorphous carbon layer.

Description

    TECHNICAL FIELD
  • The present invention relates generally to memories and in one embodiment to a carbon filament memory.
  • BACKGROUND
  • Nonvolatile memory retains its stored data even when power is not present. This type of memory is used in a wide variety of electronic equipment, including digital cameras, portable audio players, wireless communication devices, personal digital assistants, and peripheral devices, as well as for storing firmware in computers and other devices.
  • Nonvolatile memory technologies include flash memory, magnetoresistive random access memory (MRAM), phase change random access memory (PCRAM), and conductive bridging random access memory (CBRAM). Due to the great demand for nonvolatile memory devices, researchers are continually developing new types of nonvolatile memory.
  • SUMMARY OF THE INVENTION
  • The invention provides a nonvolatile memory cell including a carbon layer system that includes an sp2-rich amorphous carbon layer and an sp3-rich amorphous carbon layer, wherein information is stored in the nonvolatile memory cell by reversibly forming an sp2-rich filament in the sp3-rich amorphous carbon layer.
  • These and other features of the invention will be better understood when taken in view of the following drawings and a detailed description.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • In the drawings, like reference characters generally refer to the same parts throughout the different views. The drawings are not necessarily to scale, emphasis instead generally being placed upon illustrating the principles of the invention. In the following description, various embodiments of the invention are described with reference to the following drawings, in which:
  • FIGS. 1A and 1B show a conventional conductive bridging memory element;
  • FIGS. 2A, 2B, and 2C show a carbon filament memory element in accordance with an embodiment of the invention;
  • FIG. 3 is a graph showing different resistances in a carbon filament memory element in accordance with an embodiment of the invention;
  • FIG. 4 is a graph showing V-I curves that demonstrate the memory effect of a carbon filament memory element in accordance with an embodiment of the invention;
  • FIG. 5 is a block diagram of a method for storing information in accordance with an embodiment of the invention;
  • FIG. 6 is a schematic diagram of a memory cell in accordance with an embodiment of the invention;
  • FIG. 7 is a block diagram showing a method for fabricating an array of memory cells in accordance with an embodiment of the invention;
  • FIGS. 8A, 8B, and 8C show a top view and cross section views of a memory array in accordance with an embodiment of the invention, following an intermediate step in the fabrication of the memory array;
  • FIGS. 9A and 9B show a top view and a cross section view of a memory array in accordance with an embodiment of the invention, following another step in the fabrication of the memory array; and
  • FIG. 10 shows an example computing system including a memory device using memory cells in accordance with an embodiment of the invention.
  • DETAILED DESCRIPTION OF ILLUSTRATIVE EMBODIMENTS
  • FIG. 1A shows a conventional conductive bridging junction (CBJ) for use in a conductive bridging random access memory (CBRAM) cell. A CBJ 100 includes a first electrode 102, a second electrode 104, and a solid electrolyte block 106 sandwiched between the first electrode 102 and the second electrode 104. The first electrode 102 contacts a first surface 108 of the solid electrolyte block 106, the second electrode 104 contacts a second surface 110 of the solid electrolyte block 106. One of the first electrode 102 and the second electrode 104 is a reactive electrode, the other one an inert electrode. In this example the first electrode 102 is the reactive electrode, and the second electrode 104 is the inert electrode. The first electrode 102 includes silver (Ag), the solid electrolyte block 106 includes a silver-doped chalcogenide material.
  • When a voltage is applied across the solid electrolyte block 106, a redox reaction is initiated that drives Ag+ ions out of the first electrode 102 into the solid electrolyte block 106 where they are reduced to Ag, thereby forming Ag rich clusters within the solid electrolyte block 106. If the voltage applied across the solid electrolyte block 106 is applied for a long period of time, the size and the number of Ag rich clusters within the solid electrolyte block 106 is increased to such an extent that a conductive bridge 114 between the first electrode 102 and the second electrode 104 is formed.
  • As shown in FIG. 1B, when an inverse voltage to that applied in FIG. 1A is applied across the solid electrolyte 106, a redox reaction is initiated that drives Ag+ ions out of the solid electrolyte block 106 into the first electrode 102 where they are reduced to Ag. As a consequence, the size and the number of Ag rich clusters within the solid electrolyte block 106 are reduced, thereby reducing, and eventually removing the conductive bridge 114.
  • To determine the current memory state of the CBJ 100, a sensing current is routed through the CBJ 100. The sensing current encounters a high resistance if no conductive bridge 114 exists within the CBJ 100, and a low resistance when a conductive bridge 114 is present. A high resistance may, for example, represent “0”, while a low resistance represents “1”, or vice versa.
  • In accordance with the invention, a process of forming a reversible conductive filament may be used to construct an information storage element using a carbon layer system including layers of sp2-rich and sp3-rich (also known as diamond-like carbon, or DLC) amorphous carbon films.
  • Referring to FIG. 2A, an embodiment of a memory junction in accordance with the invention is described. A memory junction 200 includes a top contact 202, a first carbon layer 204 including an amorphous carbon material rich in sp2 hybridized carbon atoms, a second carbon layer 206 including an amorphous carbon material rich in sp3 hybridized carbon atoms, and a bottom contact 208. The first carbon layer 204 and second carbon layer 206 form a carbon bi-layer system 210 in which a conductive filament may be formed, as described further below. Generally, the sp2-rich carbon is conductive, while the sp3-rich carbon is an electrical insulator, having low conductivity. If the resistance of the first carbon layer 204 (i.e., the sp2-rich carbon layer) is denoted as R1, and the resistance of the second carbon layer 206 (the sp3-rich layer) is R2, for many embodiments the ratio R2/R1 may be greater than 100, for example greater than 1000, e.g., greater than 10000.
  • As shown in FIGS. 2B and 2C, by forcing a current through the carbon bi-layer system 210, an sp2 filament 250 can be formed in the sp3-rich second carbon layer 206, changing the conductivity (and resistance) of the carbon bi-layer system. Within the second carbon layer 206, the current causes a change in the structure of the material, from atomic scale sp2/sp3 disorder to a disordered graphitic sp2-domain network, through the migration of sp3 defects. The current induces the migration of sp2-rich clusters to form a percolation path network of graphitic sp2 domains, which causes an insulator-to-metal transition. Electron transport in the sp2-rich metallic state features weakly temperature-dependent conductivity with a majority of hole and a minority of electron carriers. A one-dimensional channel arises due to filamentary conduction through localized sp2-rich regions within the sp3 carbon barriers, and particularly within the barrier adjacent to the electron injector. This limits the electrical conduction at low bias. Additionally, this may involve the orientation of π orbitals of sp2-bonded carbon molecules when subject to a high electric field, leading to increased electron transmission, although the present invention is not limited to a particular theory of operation.
  • Application of a current with reversed polarity reverses the migration of sp2 domains in the sp3-rich second carbon layer 206, reducing the sp2 filament 250, and the conductivity (and increasing the resistance) of the carbon bi-layer system 210. The reversibility of the formation of sp2 filaments permits the carbon bi-layer system 210 to be used as the basis of a memory cell, in which the states of the memory cell are represented by the high and low conductivity (corresponding to low and high resistance) of the carbon bi-layer system 210.
  • While formation of filaments would occur even in the absence of the sp2-rich first carbon layer 204, the reliable creation of sp2 filaments in sp3-rich material can be substantially enhanced by the presence of an sp2-rich layer, such as the first carbon layer 204.
  • The thickness of the sp3-rich second carbon layer 206 is related to the desired voltages and speed for the operation of the memory. Switching (i.e., formation or removal of filaments) may occur in an electric field of less than one volt per nm of thickness of the material. For example, for an operating voltage of 3V, the thickness of the second carbon layer 206 may be approximately 4 nm. The thickness of the sp2-rich first carbon layer 204 does not have a substantial effect, and the first carbon layer 204 may have almost any thickness, from a monolayer to a thickness of hundreds of nm.
  • As the applied voltage is increased, additional conducting channels may form in the sp3-rich second carbon layer 206, providing different resistances that may be used in some embodiments for multi-bit storage in a single memory cell. FIG. 3 shows a curve 300 representing the resistance in an 8 nm thick sp3-rich film, as voltage varies. The curve 300 includes quantized resistance steps 302 a-302 e, in multiples of h/(2e2), where h is Planck's constant and e is the electron charge, indicating the formation of additional conducting channels in the sp3-rich carbon film.
  • FIG. 4 illustrates the memory effect following the application of voltage to an sp3-rich film. The I-V curve 400 shows the current/voltage relationship for an approximately 8 nm thick sp3-rich film prior to the formation of carbon filaments in the sp3 -rich film. The I-V curve 402 shows the current/voltage relationship after formation of filaments using a voltage pulse of approximately 6 volts, demonstrating the memory effect.
  • FIG. 5 shows a block diagram of a method for storing information according to an embodiment of the invention. In step 502, a carbon bi-layer system, as described above, including an sp2-rich amorphous carbon layer and an sp3-rich amorphous carbon layer is provided. In step 504, information is stored in the carbon bi-layer system by applying a current through the carbon bi-layer system to cause the growth of a filament of sp2-rich carbon in the sp3-rich layer, decreasing the resistance of the carbon bi-layer system. By applying a current with reversed polarity, the growth of the filament can be reversed. Additionally, quantized resistance steps, such as are shown in FIG. 3, can be used to store multiple bits of information in the carbon bi-layer system.
  • FIG. 6 shows an illustrative memory cell that uses a memory junction such as is shown in FIGS. 2A-2C, with still others being apparent to workers in the art and included herein. The memory cell 600 includes a select transistor 602 and a memory junction 604, which uses a carbon bi-layer system, in accordance with the invention. The select transistor 602 includes a source 606 that is connected to a bit line 608, a drain 610 that is connected to the memory junction 604, and a gate 612 that is connected to a word line 614. The memory junction 604 is also connected to a common line 616, which may be connected to ground, or to other circuitry, such as circuitry (not shown) for determining the resistance of the memory cell 600, for use in reading. It should be noted that as used herein the terms connected and coupled are intended to include both direct and indirect connection and coupling, respectively.
  • To write to the memory cell, the word line 614 is used to select the cell 600, and a current on the bit line 608 is forced through the memory junction 604, to form or remove filaments in the memory junction 604, changing the resistance of the memory junction 604. Similarly, when reading the cell 600, the word line 614 is used to select the cell 600, and the bit line 608 is used to apply a voltage across the memory junction 604 to measure the resistance of the memory junction 604.
  • The memory cell 600 may be referred to as a 1T1J cell, because it uses one transistor, and one memory junction. Typically, a memory device will include an array of many such cells. It will be understood that other configurations for a 1T1J memory cell could be used with a carbon bi-layer memory junction according to the invention. Additionally, cell configurations other than a 1T1J configuration may be used.
  • Referring to FIG. 7, an illustrative method for fabricating an array of 1T1J memory cells including a memory junction using a carbon bi-layer system is described. However, the fabrication may be accomplished by any method known in the art or hereafter developed that is suitable for forming the inventive structure.
  • At the start of the method 700, a shallow trench isolation (STI) structure in the array and periphery has been fabricated on a semiconductor wafer or other suitable substrate. In step 702, gates and word lines are formed above the STI structure. This involves the conventional application of a gate oxide layer, gate conductor deposition and structuring, sidewall spacer formation, and related implants.
  • In step 704 SiO2 is removed from the source and drain regions. This may be accomplished, for example, through the application of diluted HF. Optionally, selective epitaxial growth of Si may be used to form elevated source and drain regions.
  • This is followed, in step 706, by a salicidation process, for example forming CoSi, NiSi, TiSi, or another suitable silicide. Salicidation can be omitted in parts or all of the periphery by using a blocking mask. Optionally, salicided areas where no contacts will be fabricated may be covered with resist.
  • Step 708 is an ILD (Interlayer Dielectric) deposition step which can be, for example, an SiO2 deposition and planarization step, which is followed in step 710 by etching contact holes (vias) and filling them with contact plugs including a suitable conductive material, such as W, poly-Si, or a conductive carbon material. Back etching and planarization may be used to prepare a level surface for the wafer.
  • In step 712, bit lines are formed, which are connected to the common source of two neighboring transistors. This may be achieved by using a lithographic process to mask portions of the SiO2 layer, etching the SiO2 and the common source contact plug for formation of the bit lines. This is followed by deposition of the bit lines (using poly-Si, W, or other suitable conductive materials) and recess.
  • In step 714, further (ILD) SiO2 is deposited, burying the bit lines. Chemical mechanical polishing (CMP) may be used for planarization, stopping on the contact plugs, to prepare the surface for further steps.
  • In step 716, the sp3-rich and sp2-rich carbon layers are deposited to form the carbon bi-layer system for the memory junction. Deposition of these layers may be achieved by physical vapor deposition (PVD), chemical vapor deposition (CVD), plasma enhanced chemical vapor deposition (PECVD), ablation of a graphite target using a 248 nm pulsed ultraviolet excimer laser, or another suitable method of depositing a material on a substrate.
  • The sp2 to sp3 ratio in the deposited carbon materials may be varied by a number of methods, depending on the deposition technique used. For example, if the carbon layers are produced using PECVD deposition, the ratio may be controlled by adjusting the bias voltage, gas pressure, and temperature during the deposition of the material. For example, the PECVD process may be carried out in an inductively coupled high-density plasma with a stimulation frequency of approximately 20 MHz to approximately 40 MHz, e.g., with a stimulation frequency of approximately 25 MHz to approximately 30 MHz, e.g., with a stimulation frequency of approximately 27.26 MHz. In this case, the substrate, including the layer stack that has already been manufactured, is placed on a substrate holder where it is possible to apply an RF bias voltage. Additional ions having the appropriate energy can be pulled onto the substrate by means of the RF bias voltage. Additionally, the substrate can be heated. In some embodiments, CxHy (x and y are arbitrary natural number), e.g., C2H2 or CH4, can be used as the reactive gas. Optionally, the reactive gas can be diluted using Ne, H2 or Ar.
  • The level of the substrate bias voltage is determined by the reactor geometry (i.e. the ratio of the dimensions of the mass carrying electrode and the RF carrying electrode in the reactor), and by the self-bias, which is applied or is adjusted to by an externally applied capacitively coupled-in RF field. In particular, the externally applied capacitively coupled-in RF field determines the layer characteristics and, for example, the occurring bondings, such as sp3 or sp2 bondings, and their relative amount and mixture. In an exemplary embodiment, a negative voltage in the range of approximately 50 V to approximately 350 V is applied together with a gas pressure in the range of approximately 10 mTorr to approximately 500 mTorr. For a low conductivity layer a small voltage of, e.g., 50 V may be applied and for a highly conductive layer a voltage of, e.g., 300 V may be applied.
  • Alternatively, the ratio of sp2 to sp3 may be determined by forming the layers by sputtering. This may be achieved, for example, using a graphite target in an argon atmosphere, at a pressure of approximately 1 Pa, with the substrate approximately 3 cm from the target. By varying the temperature in a range of approximately 77 K to 500 K, and the sputtering power from approximately 5 W to approximately 300 W, the resistivity of the material may be varied. For a low conductivity layer a small power of, e.g., 5 W may be applied and for a highly conductive layer a power of, e.g., 300 W may be applied.
  • Alternatively, the ratio of sp2 to sp3 may be determined by forming the layers by laser ablation. A 248 nm pulsed ultraviolet excimer laser (e.g., Lambda Physik LPX 210i) at a chamber pressure of approximately 10−7 mbar may be employed, and the fluence of the laser may be varied to synthesize two types of amorphous-carbon layers (using, for example, approximately 4 J cm−2 for sp2-rich layers and approximately 20 J cm−2 for sp3-rich layers).
  • The amounts of sp2 and sp3 hybridized carbon present in a deposited film may also be adjusted by post-deposition annealing, or by other conventional techniques for depositing films of diamond-like carbon.
  • In step 718, the top electrode, including a highly conductive material such as poly-Si, W, Ti, or Ta is deposited above the carbon bi-layer system.
  • In step 720, lithography and etching are used to define the areas covered by the carbon bi-layer system and top electrode. Following this step, additional processing of the semiconductor wafer may be performed using conventional processes.
  • FIGS. 8A-8C illustrate one intermediate step in the above-described process. In particular, they show a portion of the array following step 710, in which the contact holes are etched and filled with a material such as W, poly-Si, or carbon. FIG. 8A shows a top view of a portion of a memory array 800, including active areas 802, word lines 804, and filled contact holes 806. As can be seen from the layout of the portion of the memory array 800, the density of memory cells in an array may be increased by sharing each active area 802 (in this case, sharing a source region) between two cells, each with its own word line. The staggered arrangement of the active areas 802 also contributes to increasing the density of memory cells in the array. It will be understood that other layouts of memory cells and active areas may also be used, and that the layout shown in FIGS. 8A-8C is for the purpose of illustration.
  • FIG. 8B shows a cross section of the portion of the memory array 800 along Cut A-A of FIG. 8A. This cross section shows one active area 802, and two word lines 804, connected to transistor gates 820. Filled contact holes 806 have been etched through an SiO2 layer 822 to connect to a source contact area 824, and to two drain contact areas 826. The active areas 802 are separated by STI areas 828.
  • FIG. 8C shows a second cross section of the portion of the memory array 800 along Cut B-B of FIG. 8A. This cross section shows portions of three active areas 802, separated by STI areas 828. Filled contact holes 806, etched through the SiO2 layer 822 connect to drain contact areas 826.
  • FIGS. 9A and 9B show a similar view, following step 720 of the method 700, described above with reference to FIG. 7. FIG. 9A is a top view, showing the portion of a memory array 900, which is the same as the portion of the memory array 800 described with reference to FIGS. 8A-8C, but at a later stage in processing. As can be seen, the portion of the memory array 900 now includes top electrodes 902, which are deposited above carbon bi-layer memory junctions.
  • FIG. 9B shows a cross section of the portion of the memory array 900 along Cut A-A, which is similar in location to the cross section shown above in FIG. 8B. The cross section shows an active area 920, and two word lines 922, connected to transistor gates 924. Vias (or contact plugs) 926 connect to two drain contact areas 928, and to carbon bi-layer memory junctions 930, which are connected to top electrodes 902. A bit line 932 connects to a source contact region 934 through a via 936. STI areas 938 separate the active area 920 from other active areas.
  • As can be seen in this cross section, the bit line 932, via 936, and source contact region 934 are shared by two memory cells, each of which includes a transistor and a carbon bi-layer memory junction 930. It will be understood that other layouts for memory cells may be used in accordance with the principles of the invention.
  • Memory cells such as are described above may be used in memory devices that contain large numbers of such cells. These cells may, for example, be organized into an array of memory cells having numerous rows and columns of cells, each of which stores one or more bits of information. Memory devices of this sort may be used in a variety of applications or systems, such as the illustrative system shown in FIG. 10.
  • FIG. 10 shows an example computing system that uses a memory device constructed of memory cells in accordance with the invention. The computing system 1000 includes a memory device 1002, which may utilize memory cells in accordance with the invention. The system also includes a processor 1004, and one or more input/output devices, such as a keypad 1006, display 1008, and wireless communication device 1010. The memory device 1002, processor 1004, keypad 1006, display 1008 and wireless communication device 1010 are interconnected by a bus 1012.
  • The wireless communication device 1010 may include circuitry (not shown) for sending and receiving transmissions over a cellular telephone network, a WiFi wireless network, or other wireless communication network. It will be understood that the variety of input/output devices shown in FIG. 10 is merely an example, in which the computing system 1000 may be configured as a cellular telephone or other wireless communications device. Memory devices including memory cells in accordance with the invention may be used in a wide variety of systems. Alternative system designs may include different input/output devices, multiple processors, alternative bus configurations, and many other configurations.
  • In summary, in some embodiments a nonvolatile memory cell includes a carbon layer system that includes an sp2-rich amorphous carbon layer and an sp3-rich amorphous carbon layer, wherein information is stored in the nonvolatile memory cell by reversibly forming an sp2-rich filament in the sp3-rich amorphous carbon layer. In some such embodiments, the sp2-rich filament changes the conductivity of the carbon layer system. In some embodiments, the sp3-rich amorphous carbon layer has a thickness of 5 nm or less. Some embodiments further include a select transistor coupled to the carbon layer system. In some embodiments, the carbon layer system stores multiple bits of information. In some of these embodiments different resistance states, for example, quantized resistance steps according to certain embodiments, of the carbon layer system are used to store the multiple bits of information. In some embodiments, application of a first current through the carbon layer system causes growth of the sp2-rich filament, and in some of these embodiments, application of a second current, having a reversed polarity with respect to the first current, causes reduction of the sp2-rich filament. In some embodiments, the carbon layer system may be a carbon bi-layer system.
  • In further embodiments of the invention, an information storage element includes a first carbon layer including an amorphous carbon film including sp2 hybridized carbon and sp3 hybridized carbon, the first carbon layer having a substantially higher proportion of sp2 hybridized carbon than sp3 hybridized carbon, and a second carbon layer disposed adjacent to the first carbon layer, the second carbon layer including an amorphous carbon film including sp2 hybridized carbon and sp3 hybridized carbon, the second carbon layer having a substantially higher proportion of sp3 hybridized carbon than sp2 hybridized carbon. Information is stored by forcing a first current through the first carbon layer and the second carbon layer to cause growth of a filament in the second carbon layer, the filament having a substantially higher proportion of sp2 hybridized carbon than sp3 hybridized carbon. In some of these embodiments, the filament is reduced by forcing a second current, having a reversed polarity with respect to the first current, through the first carbon layer and the second carbon layer. In some embodiments, the second carbon layer has a thickness of 5 nm or less.
  • In some embodiments, the first carbon layer has a resistivity (specific resistance) R1, the second carbon layer has a specific resistance R2, and a ratio R2/R1 is greater than 100 when the filament is absent, for example greater than 1000, e.g., greater than 10000. In certain embodiments, growth of the filament increases the conductivity of the information storage element. In some embodiments different resistance states of the information storage element are used to store multiple bits of information in the information storage element.
  • In some embodiments, the invention provides a nonvolatile memory cell including a transistor, and a carbon layer system, including a first carbon layer, having a first specific resistance R1, and a second carbon layer, having a second specific resistance R2, such that the ratio R2/R1 is greater than 100, for example greater than 1000, e.g., greater than 10000. One of the layers of the carbon layer system is connected to a drain portion of the transistor for use as a memory cell.
  • In some embodiments, the invention provides a method for storing information, including providing a carbon layer system that includes an sp2-rich amorphous carbon layer and an sp3-rich amorphous carbon layer, and reversibly forming an sp2-rich filament in the sp3-rich amorphous carbon layer to store the information. In some embodiments, reversibly forming an sp2-rich filament includes applying a first current through the carbon layer system to cause growth of the sp2-rich filament. In certain of these embodiments, reversibly forming an sp2-rich filament includes applying a second current, having a reversed polarity with respect to the first current, to cause reduction of the sp2-rich filament.
  • In some embodiments, reversibly forming an sp2-rich filament comprises changing the resistance of the carbon layer system, which includes changing the resistance continuously in certain of these embodiments, and changing the resistance in steps, for example, in quantized steps, in others of these embodiments. In some embodiments, changing the resistance in steps includes using the different steps to represent multiple bits of information, and in some embodiments, changing the resistance includes using the different resistance values to represent multiple bits of information.
  • In further embodiments, the invention provides a method of fabricating a nonvolatile memory device, including depositing a first carbon layer including an amorphous carbon film including sp2 hybridized carbon and sp3 hybridized carbon, the first carbon layer having a substantially higher proportion of sp2 hybridized carbon than sp3 hybridized carbon. The method also includes depositing a second carbon layer disposed adjacent to the first carbon layer, the second carbon layer including an amorphous carbon film including sp2 hybridized carbon and sp3 hybridized carbon, the second carbon layer having a substantially higher proportion of sp3 hybridized carbon than sp2 hybridized carbon. Additionally, the method includes forming contacts that permit a current to be selectively applied through the first carbon layer and second carbon layer. In some embodiments, the method further includes forming a transistor having a drain region that is coupled to at least one of the contacts to selectively apply current through the first carbon layer and second carbon layer.
  • In some embodiments, the invention provides a method of fabricating a nonvolatile memory device, including depositing on a semiconductor wafer a carbon layer system including a first layer, having a first resistance R1, and a second carbon layer, having a second resistance R2, such that R2/R1 is greater than 100, for example, greater than 1000, e.g., greater than 10000.
  • In additional embodiments of the invention, the invention provides a computing system including an input device, and output device, a processor, and a nonvolatile memory, the nonvolatile memory including a carbon layer system that has an sp2-rich amorphous carbon layer and an sp3-rich amorphous carbon layer, wherein information is stored in the nonvolatile memory cell by reversibly forming an sp2-rich filament in the sp3-rich amorphous carbon layer. In some such embodiments, the output device includes a wireless communications device.
  • While the invention has been shown and described with reference to specific embodiments, it should be understood by those skilled in the art that various changes in form and detail may be made therein without departing from the spirit and scope of the invention as defined by the appended claims. The scope of the invention is thus indicated by the appended claims and all changes that come within the meaning and range of equivalency of the claims are intended to be embraced.

Claims (27)

1. A nonvolatile memory cell comprising:
a carbon layer system that includes an sp2-rich amorphous carbon layer and an sp3-rich amorphous carbon layer,
wherein information can be stored in the nonvolatile memory cell by reversibly forming an sp2-rich filament in the sp3-rich amorphous carbon layer.
2. The nonvolatile memory cell of claim 1, wherein the sp2-rich filament changes a conductivity of the carbon layer system.
3. The nonvolatile memory cell of claim 1, wherein the sp3-rich amorphous carbon layer has a thickness of 5 nm or less.
4. The nonvolatile memory cell of claim 1, further comprising a select transistor coupled to the carbon layer system.
5. The nonvolatile memory cell of claim 1, wherein the carbon layer system stores multiple bits of information.
6. The nonvolatile memory cell of claim 5, wherein different resistance states of the carbon layer system are used to store the multiple bits of information.
7. The nonvolatile memory cell of claim 1, wherein application of a first current through the carbon layer system causes growth of the sp2-rich filament.
8. The nonvolatile memory cell of claim 7, wherein application of a second current, having a reversed polarity with respect to the first current, causes reduction of the sp2-rich filament.
9. The nonvolatile memory cell of claim 1, wherein the carbon layer system comprises a carbon bi-layer system.
10. An information storage element, comprising:
a first carbon layer comprising an amorphous carbon film including sp2 hybridized carbon and sp3 hybridized carbon, the first carbon layer having a higher proportion of sp2 hybridized carbon than sp hybridized carbon; and
a second carbon layer disposed adjacent to the first carbon layer, the second carbon layer comprising an amorphous carbon film including sp2 hybridized carbon and sp3 hybridized carbon, the second carbon layer having a higher proportion of sp3 hybridized carbon than sp2 hybridized carbon,
wherein information is stored by forcing a first current through the first carbon layer and the second carbon layer to cause growth of a filament in the second carbon layer, the filament having a substantially higher proportion of sp2 hybridized carbon than sp3 hybridized carbon.
11. The information storage element of claim 10, wherein the filament is reduced by forcing a second current, having a reversed polarity with respect to the first current, through the first carbon layer and the second carbon layer.
12. The information storage element of claim 10, wherein the first carbon layer has a resistance R1, the second carbon layer has a resistance R2, and wherein a ratio R2/R1 is greater than 100 when the filament is absent.
13. The information storage element of claim 10, wherein growth of the filament increases a conductivity of the information storage element.
14. The information storage element of claim 10, wherein the second carbon layer has a thickness of 5 nm or less.
15. The information storage element of claim 10, wherein different resistance states of the information storage element are used to store multiple bits of information in the information storage element.
16. A nonvolatile memory cell comprising:
a transistor; and
a carbon layer system, comprising a first carbon layer, having a first resistance R1, and a second carbon layer, having a second resistance R2, such a ratio R2/R1 is greater than 100,
wherein one of the layers of the carbon layer system is connected to a drain portion of the transistor.
17. A method for storing information, comprising:
providing a carbon layer system that includes an sp2-rich amorphous carbon layer and an sp3-rich amorphous carbon layer; and
reversibly forming an sp2-rich filament in the sp3-rich amorphous carbon layer to store the information.
18. The method of claim 17, wherein reversibly forming the sp2-rich filament comprises applying a first current through the carbon layer system to cause growth of the sp2-rich filament.
19. The method of claim 18, wherein reversibly forming the sp2-rich filament further comprises applying a second current, having a reversed polarity with respect to the first current, to cause reduction of the sp2-rich filament.
20. The method of claim 17, wherein reversibly forming the sp2-rich filament comprises changing a resistance of the carbon layer system.
21. The method of claim 20, wherein changing the resistance of the carbon layer system comprises changing the resistance in steps.
22. The method of claim 21, wherein changing the resistance in steps further comprises using different steps to represent multiple bits of information.
23. A method of fabricating a nonvolatile memory device, comprising:
depositing a first carbon layer comprising an amorphous carbon film including sp2 hybridized carbon and sp hybridized carbon, the first carbon layer having a higher proportion of sp2 hybridized carbon than sp3 hybridized carbon;
depositing a second carbon layer adjacent to the first carbon layer, the second carbon layer comprising an amorphous carbon film including sp2 hybridized carbon and sp3 hybridized carbon, the second carbon layer having a higher proportion of sp3 hybridized carbon than sp2 hybridized carbon; and
forming contacts that permit a current to be selectively applied through the first carbon layer and second carbon layer.
24. The method of claim 23, further comprising forming a transistor having a drain region that is coupled to at least one of the contacts to selectively apply current through the first carbon layer and second carbon layer.
25. A method of fabricating a nonvolatile memory device, comprising depositing on a semiconductor wafer a carbon layer system comprising a first carbon layer, having a first resistance R1, and a second carbon layer, having a second resistance R2, such that a ratio R2/R1 is greater than 100.
26. A computing system comprising:
an input device;
an output device;
a processor coupled to the input device and the output device; and
a nonvolatile memory coupled to the processor, said nonvolatile memory comprising a carbon layer system that includes an sp2-rich amorphous carbon layer and an sp3-rich amorphous carbon layer, wherein information is stored in the nonvolatile memory by reversibly forming an sp2-rich filament in the sp3-rich amorphous carbon layer.
27. The computing system of claim 26, wherein the output device comprises a wireless communications device.
US11/588,864 2006-10-27 2006-10-27 Carbon filament memory and method for fabrication Abandoned US20080102278A1 (en)

Priority Applications (7)

Application Number Priority Date Filing Date Title
US11/588,864 US20080102278A1 (en) 2006-10-27 2006-10-27 Carbon filament memory and method for fabrication
US11/708,757 US7894253B2 (en) 2006-10-27 2007-02-20 Carbon filament memory and fabrication method
DE102007013595A DE102007013595A1 (en) 2006-10-27 2007-03-21 Carbon filament storage and method of making a carbon filament storage
JP2007274864A JP2008153624A (en) 2006-10-27 2007-10-23 Carbon filament memory and method for forming it
EP07119159A EP1916722B1 (en) 2006-10-27 2007-10-24 Carbon filament memory and fabrication method
KR1020070107975A KR100922020B1 (en) 2006-10-27 2007-10-25 Carbon filament memory and method for fabrication
CN2007101651283A CN101170159B (en) 2006-10-27 2007-10-29 Carbon filament memory and fabrication method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/588,864 US20080102278A1 (en) 2006-10-27 2006-10-27 Carbon filament memory and method for fabrication

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/708,757 Continuation-In-Part US7894253B2 (en) 2006-10-27 2007-02-20 Carbon filament memory and fabrication method

Publications (1)

Publication Number Publication Date
US20080102278A1 true US20080102278A1 (en) 2008-05-01

Family

ID=39330568

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/588,864 Abandoned US20080102278A1 (en) 2006-10-27 2006-10-27 Carbon filament memory and method for fabrication
US11/708,757 Expired - Fee Related US7894253B2 (en) 2006-10-27 2007-02-20 Carbon filament memory and fabrication method

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/708,757 Expired - Fee Related US7894253B2 (en) 2006-10-27 2007-02-20 Carbon filament memory and fabrication method

Country Status (6)

Country Link
US (2) US20080102278A1 (en)
EP (1) EP1916722B1 (en)
JP (1) JP2008153624A (en)
KR (1) KR100922020B1 (en)
CN (1) CN101170159B (en)
DE (1) DE102007013595A1 (en)

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090256132A1 (en) * 2008-04-11 2009-10-15 Sandisk 3D Llc Memory cell that includes a carbon-based memory element and methods of forming the same
US20090272960A1 (en) * 2008-05-02 2009-11-05 Bhaskar Srinivasan Non-Volatile Resistive Oxide Memory Cells, and Methods Of Forming Non-Volatile Resistive Oxide Memory Cells
US20090316467A1 (en) * 2008-06-18 2009-12-24 Jun Liu Memory Device Constructions, Memory Cell Forming Methods, and Semiconductor Construction Forming Methods
US20100032639A1 (en) * 2008-08-07 2010-02-11 Sandisk 3D Llc Memory cell that includes a carbon-based memory element and methods of forming the same
US20100102291A1 (en) * 2008-10-23 2010-04-29 Sandisk 3D Llc Carbon-based memory elements exhibiting reduced delamination and methods of forming the same
US20100271863A1 (en) * 2008-01-15 2010-10-28 Jun Liu Memory Cells, Memory Cell Programming Methods, Memory Cell Reading Methods, Memory Cell Operating Methods, and Memory Devices
US8034655B2 (en) 2008-04-08 2011-10-11 Micron Technology, Inc. Non-volatile resistive oxide memory cells, non-volatile resistive oxide memory arrays, and methods of forming non-volatile resistive oxide memory cells and memory arrays
US8351242B2 (en) 2010-09-29 2013-01-08 Micron Technology, Inc. Electronic devices, memory devices and memory arrays
US8411477B2 (en) 2010-04-22 2013-04-02 Micron Technology, Inc. Arrays of vertically stacked tiers of non-volatile cross point memory cells, methods of forming arrays of vertically stacked tiers of non-volatile cross point memory cells, and methods of reading a data value stored by an array of vertically stacked tiers of non-volatile cross point memory cells
US8427859B2 (en) 2010-04-22 2013-04-23 Micron Technology, Inc. Arrays of vertically stacked tiers of non-volatile cross point memory cells, methods of forming arrays of vertically stacked tiers of non-volatile cross point memory cells, and methods of reading a data value stored by an array of vertically stacked tiers of non-volatile cross point memory cells
US8431458B2 (en) 2010-12-27 2013-04-30 Micron Technology, Inc. Methods of forming a nonvolatile memory cell and methods of forming an array of nonvolatile memory cells
US8537592B2 (en) 2011-04-15 2013-09-17 Micron Technology, Inc. Arrays of nonvolatile memory cells and methods of forming arrays of nonvolatile memory cells
US8681531B2 (en) 2011-02-24 2014-03-25 Micron Technology, Inc. Memory cells, methods of forming memory cells, and methods of programming memory cells
US8735859B2 (en) 2009-11-30 2014-05-27 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
US8753949B2 (en) 2010-11-01 2014-06-17 Micron Technology, Inc. Nonvolatile memory cells and methods of forming nonvolatile memory cells
US8759809B2 (en) 2010-10-21 2014-06-24 Micron Technology, Inc. Integrated circuitry comprising nonvolatile memory cells having platelike electrode and ion conductive material layer
US8791447B2 (en) 2011-01-20 2014-07-29 Micron Technology, Inc. Arrays of nonvolatile memory cells and methods of forming arrays of nonvolatile memory cells
US8811063B2 (en) 2010-11-01 2014-08-19 Micron Technology, Inc. Memory cells, methods of programming memory cells, and methods of forming memory cells
US9343665B2 (en) 2008-07-02 2016-05-17 Micron Technology, Inc. Methods of forming a non-volatile resistive oxide memory cell and methods of forming a non-volatile resistive oxide memory array
US9412421B2 (en) 2010-06-07 2016-08-09 Micron Technology, Inc. Memory arrays
US9454997B2 (en) 2010-12-02 2016-09-27 Micron Technology, Inc. Array of nonvolatile memory cells having at least five memory cells per unit cell, having a plurality of the unit cells which individually comprise three elevational regions of programmable material, and/or having a continuous volume having a combination of a plurality of vertically oriented memory cells and a plurality of horizontally oriented memory cells; array of vertically stacked tiers of nonvolatile memory cells
US9829521B2 (en) 2013-03-18 2017-11-28 Panasonic Intellectual Property Management Co., Ltd. Estimation method, estimation device, and inspection device for variable resistance element, and nonvolatile memory device
WO2018057022A1 (en) * 2016-09-25 2018-03-29 Intel Corporation Barriers for metal filament memory devices
US20190123275A1 (en) * 2017-10-20 2019-04-25 SK Hynix Inc. Resistance change memory device and method of manufacturing the same

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9287356B2 (en) 2005-05-09 2016-03-15 Nantero Inc. Nonvolatile nanotube diodes and nonvolatile nanotube blocks and systems using same and methods of making same
US8183665B2 (en) 2005-11-15 2012-05-22 Nantero Inc. Nonvolatile nanotube diodes and nonvolatile nanotube blocks and systems using same and methods of making same
US8030637B2 (en) * 2006-08-25 2011-10-04 Qimonda Ag Memory element using reversible switching between SP2 and SP3 hybridized carbon
US20080102278A1 (en) * 2006-10-27 2008-05-01 Franz Kreupl Carbon filament memory and method for fabrication
US7915603B2 (en) * 2006-10-27 2011-03-29 Qimonda Ag Modifiable gate stack memory element
US8395901B2 (en) * 2007-11-13 2013-03-12 William Marsh Rice University Vertically-stacked electronic devices having conductive carbon films
WO2009140305A1 (en) * 2008-05-12 2009-11-19 Symetrix Corporation Correlated electron material and process for making
US8133793B2 (en) * 2008-05-16 2012-03-13 Sandisk 3D Llc Carbon nano-film reversible resistance-switchable elements and methods of forming the same
US8569730B2 (en) * 2008-07-08 2013-10-29 Sandisk 3D Llc Carbon-based interface layer for a memory device and methods of forming the same
WO2010029634A1 (en) * 2008-09-11 2010-03-18 株式会社 東芝 Resistance-varying element, and information recording/reproducing device
US8835892B2 (en) * 2008-10-30 2014-09-16 Sandisk 3D Llc Electronic devices including carbon nano-tube films having boron nitride-based liners, and methods of forming the same
US20100108976A1 (en) * 2008-10-30 2010-05-06 Sandisk 3D Llc Electronic devices including carbon-based films, and methods of forming such devices
US8421050B2 (en) 2008-10-30 2013-04-16 Sandisk 3D Llc Electronic devices including carbon nano-tube films having carbon-based liners, and methods of forming the same
US8470646B2 (en) 2008-12-31 2013-06-25 Sandisk 3D Llc Modulation of resistivity in carbon-based read-writeable materials
US8183121B2 (en) * 2009-03-31 2012-05-22 Sandisk 3D Llc Carbon-based films, and methods of forming the same, having dielectric filler material and exhibiting reduced thermal resistance
US8551855B2 (en) 2009-10-23 2013-10-08 Sandisk 3D Llc Memory cell that includes a carbon-based reversible resistance switching element compatible with a steering element, and methods of forming the same
US8481396B2 (en) 2009-10-23 2013-07-09 Sandisk 3D Llc Memory cell that includes a carbon-based reversible resistance switching element compatible with a steering element, and methods of forming the same
US8551850B2 (en) 2009-12-07 2013-10-08 Sandisk 3D Llc Methods of forming a reversible resistance-switching metal-insulator-metal structure
US8222704B2 (en) * 2009-12-31 2012-07-17 Nantero, Inc. Compact electrical switching devices with nanotube elements, and methods of making same
US8389375B2 (en) 2010-02-11 2013-03-05 Sandisk 3D Llc Memory cell formed using a recess and methods for forming the same
US8237146B2 (en) 2010-02-24 2012-08-07 Sandisk 3D Llc Memory cell with silicon-containing carbon switching layer and methods for forming the same
US8294132B2 (en) 2010-03-30 2012-10-23 Hewlett-Packard Development Company, L.P. Graphene memristor having modulated graphene interlayer conduction
US8471360B2 (en) 2010-04-14 2013-06-25 Sandisk 3D Llc Memory cell with carbon switching material having a reduced cross-sectional area and methods for forming the same
US8737111B2 (en) 2010-06-18 2014-05-27 Sandisk 3D Llc Memory cell with resistance-switching layers
US8520425B2 (en) 2010-06-18 2013-08-27 Sandisk 3D Llc Resistive random access memory with low current operation
US20120043518A1 (en) * 2010-08-18 2012-02-23 Applied Materials, Inc. Variable resistance memory element and fabrication methods
US8361813B1 (en) * 2011-12-09 2013-01-29 Intermolecular, Inc. Method for generating graphene structures
JP6094583B2 (en) * 2012-06-29 2017-03-15 ソニー株式会社 SEMICONDUCTOR DEVICE, SEMICONDUCTOR DEVICE MANUFACTURING METHOD, AND ELECTRONIC DEVICE
TWI511142B (en) * 2012-07-30 2015-12-01 Macronix Int Co Ltd Operating method for memory device and memory array and operating method for the same
JP2015018591A (en) 2013-07-12 2015-01-29 株式会社東芝 Nonvolatile semiconductor memory device
US9196361B2 (en) 2013-11-21 2015-11-24 Macronix International Co., Ltd. Memory structure and operation method therefor
US9529658B2 (en) * 2014-02-07 2016-12-27 Oracle International Corporation Techniques for generating diagnostic identifiers to trace request messages and identifying related diagnostic information
US9529657B2 (en) 2014-02-07 2016-12-27 Oracle International Corporation Techniques for generating diagnostic identifiers to trace events and identifying related diagnostic information
CN104617092B (en) * 2014-11-06 2018-06-22 苏州捷芯威半导体有限公司 A kind of semiconductor devices and preparation method thereof
US9640759B1 (en) 2015-11-25 2017-05-02 International Business Machines Corporation Amorphous carbon resistive memory element with lateral heat dissipating structure
EP3436935A1 (en) 2016-03-28 2019-02-06 Oracle International Corporation Pre-formed instructions for a mobile cloud service
US10460444B2 (en) * 2018-03-16 2019-10-29 Macronix International Co., Ltd. Memory device and operation method thereof
CN110289029B (en) * 2018-03-19 2021-06-15 旺宏电子股份有限公司 Memory device and operation method thereof
US11042432B1 (en) 2019-12-20 2021-06-22 Western Digital Technologies, Inc. Data storage device with dynamic stripe length manager

Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4599705A (en) * 1979-12-13 1986-07-08 Energy Conversion Devices, Inc. Programmable cell for use in programmable electronic arrays
US4845533A (en) * 1986-08-22 1989-07-04 Energy Conversion Devices, Inc. Thin film electrical devices with amorphous carbon electrodes and method of making same
US5294518A (en) * 1992-05-01 1994-03-15 International Business Machines Corporation Amorphous write-read optical storage memory
US5330630A (en) * 1991-01-02 1994-07-19 Energy Conversion Devices, Inc. Switch with improved threshold voltage
US5341328A (en) * 1991-01-18 1994-08-23 Energy Conversion Devices, Inc. Electrically erasable memory elements having reduced switching current requirements and increased write/erase cycle life
US6565718B1 (en) * 1999-04-14 2003-05-20 Seagate Technology Llc Magnetic recording medium with high density, thin dual carbon overcoats
US6683322B2 (en) * 2002-03-01 2004-01-27 Hewlett-Packard Development Company, L.P. Flexible hybrid memory element
US6813176B2 (en) * 2001-08-30 2004-11-02 Micron Technology, Inc. Method of retaining memory state in a programmable conductor RAM
US20050127524A1 (en) * 2002-04-30 2005-06-16 Toshitsugu Sakamoto Solid electrolyte switching device, fpga using same, memory device, and method for manufacturing solid electrolyte switching device
US20050274942A1 (en) * 2002-06-07 2005-12-15 Kozicki Michael N Nanoscale programmable structures and methods of forming and using same
US20060022347A1 (en) * 2004-07-27 2006-02-02 Campbell Kristy A Amorphous carbon-based non-volatile memory
US20060038212A1 (en) * 2004-08-19 2006-02-23 John Moore Structure for amorphous carbon based non-volatile memory
US7045175B2 (en) * 2002-05-29 2006-05-16 Hitachi, Ltd. Magnetic recording medium and method for manufacturing the same
US7052757B2 (en) * 2003-10-03 2006-05-30 Hewlett-Packard Development Company, L.P. Capping layer for enhanced performance media
US20070045615A1 (en) * 2005-08-31 2007-03-01 Samsung Electronics Co., Ltd. Non-volatile organic resistance random access memory device and method of manufacturing the same
US7332262B2 (en) * 2000-02-17 2008-02-19 Applied Materials, Inc. Photolithography scheme using a silicon containing resist
US20080070162A1 (en) * 2006-08-25 2008-03-20 Klaus-Dieter Ufert Information storage elements and methods of manufacture thereof
US7433253B2 (en) * 2002-12-20 2008-10-07 Qimonda Ag Integrated circuit, method of operating an integrated circuit, method of manufacturing an integrated circuit, memory module, stackable memory module
US7539038B2 (en) * 2005-03-17 2009-05-26 Samsung Electronics Co., Ltd. Nonvolatile nanochannel memory device using organic-inorganic complex mesoporous material

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3361638A (en) 1967-04-07 1968-01-02 Atomic Energy Commission Usa Pyrolytic graphite and nuclear fuel particles coated therewith
US3692565A (en) 1970-10-22 1972-09-19 Bernd Lersmacher Method of depositing substances from the gas phase
JPS5513433B2 (en) 1974-08-29 1980-04-09
DE2554696C2 (en) 1975-12-05 1977-09-22 Kernforschungsanlage Juelich PROCESS FOR COATING GRAPHITIC OR CERAMIC OBJECTS
JPH03105974A (en) 1989-09-19 1991-05-02 Kobe Steel Ltd Manufacture of schottky diode by synthesizing polycrystalline diamond thin film
US5211796A (en) 1990-01-08 1993-05-18 Lst Logic Corporation Apparatus for performing in-situ etch of CVD chamber
JP2961812B2 (en) 1990-05-17 1999-10-12 住友電気工業株式会社 Semiconductor device
JPH05891A (en) 1991-06-21 1993-01-08 Canon Inc Diamond-metal joined body
JP3174135B2 (en) 1992-05-07 2001-06-11 シャープ株式会社 Compound semiconductor device
US5294814A (en) 1992-06-09 1994-03-15 Kobe Steel Usa Vertical diamond field effect transistor
EP0714136A1 (en) 1994-11-09 1996-05-29 Research Development Corporation Of Japan Heterojunction type or Schottky-barrier type semiconductor element and solar cell
DE19514081A1 (en) 1995-04-13 1996-10-17 Siemens Ag Method of making electrical contact on a SiC surface
AU2136197A (en) 1996-03-01 1997-09-16 Micron Technology, Inc. Novel vertical diode structures with low series resistance
US6025220A (en) 1996-06-18 2000-02-15 Micron Technology, Inc. Method of forming a polysilicon diode and devices incorporating such diode
AUPO613797A0 (en) 1997-04-09 1997-05-08 University Of Sydney, The Digital information storage
JP3324455B2 (en) 1997-07-18 2002-09-17 信越半導体株式会社 Method for cleaning silicon-based semiconductor substrate
US6180444B1 (en) 1998-02-18 2001-01-30 International Business Machines Corporation Semiconductor device having ultra-sharp P-N junction and method of manufacturing the same
DE19856295C2 (en) 1998-02-27 2002-06-20 Fraunhofer Ges Forschung Process for the production of carbon electrodes and chemical field effect transistors and carbon electrodes and chemical field effect transistors produced thereby and their use
DE10126578C2 (en) 2001-05-31 2003-06-18 Infineon Technologies Ag Use of molecular or polymer layers as storage elements
JP3749847B2 (en) 2001-09-27 2006-03-01 株式会社東芝 Phase change nonvolatile memory device and drive circuit thereof
US7186380B2 (en) 2002-07-01 2007-03-06 Hewlett-Packard Development Company, L.P. Transistor and sensors made from molecular materials with electric dipoles
CN1252819C (en) 2002-07-05 2006-04-19 中国科学院物理研究所 RAM made of carbon nano tube and preparation method thereof
US6882553B2 (en) 2002-08-08 2005-04-19 Micron Technology Inc. Stacked columnar resistive memory structure and its method of formation and operation
JP4355136B2 (en) 2002-12-05 2009-10-28 シャープ株式会社 Nonvolatile semiconductor memory device and reading method thereof
DE10306076B4 (en) 2003-02-08 2005-02-17 Hahn-Meitner-Institut Berlin Gmbh Quantum dot of electrically conductive carbon, method of manufacture and application
US6903361B2 (en) 2003-09-17 2005-06-07 Micron Technology, Inc. Non-volatile memory structure
DE10345393B4 (en) 2003-09-30 2007-07-19 Infineon Technologies Ag A method of depositing a conductive material on a substrate and semiconductor contact device
DE102004006544B3 (en) 2004-02-10 2005-09-08 Infineon Technologies Ag A method of depositing a conductive carbon material on a semiconductor to form a Schottky contact and semiconductor contact device
DE102004011363A1 (en) 2004-03-05 2005-09-29 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Nano-structured unit for use as e.g. information storage unit, has locally modified and defined nano-scaled areas with increased electrical conductivity and/or increased layer thickness formed opposite to amorphous carbon layer
DE102004011431B4 (en) 2004-03-09 2007-09-27 Infineon Technologies Ag Method for producing a non-volatile semiconductor memory
DE102004041905A1 (en) * 2004-08-30 2006-03-02 Infineon Technologies Ag Reactive sputtering process to optimize the thermal stability of thin chalcogenide layers
US7453716B2 (en) 2004-10-26 2008-11-18 Samsung Electronics Co., Ltd Semiconductor memory device with stacked control transistors
US7492635B2 (en) 2005-01-06 2009-02-17 Samsung Electronics Co., Ltd. NOR-type hybrid multi-bit non-volatile memory device and method of operating the same
US20060256608A1 (en) 2005-05-11 2006-11-16 Spansion Llc Resistive memory device with improved data retention and reduced power
US7268077B2 (en) * 2005-12-02 2007-09-11 Intel Corporation Carbon nanotube reinforced metallic layer
US7626190B2 (en) * 2006-06-02 2009-12-01 Infineon Technologies Ag Memory device, in particular phase change random access memory device with transistor, and method for fabricating a memory device
EP1892722A1 (en) 2006-08-25 2008-02-27 Infineon Technologies AG Information storage elements and methods of manufacture thereof
US7915603B2 (en) * 2006-10-27 2011-03-29 Qimonda Ag Modifiable gate stack memory element
US20080102278A1 (en) * 2006-10-27 2008-05-01 Franz Kreupl Carbon filament memory and method for fabrication

Patent Citations (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4599705A (en) * 1979-12-13 1986-07-08 Energy Conversion Devices, Inc. Programmable cell for use in programmable electronic arrays
US4845533A (en) * 1986-08-22 1989-07-04 Energy Conversion Devices, Inc. Thin film electrical devices with amorphous carbon electrodes and method of making same
US5330630A (en) * 1991-01-02 1994-07-19 Energy Conversion Devices, Inc. Switch with improved threshold voltage
US5341328A (en) * 1991-01-18 1994-08-23 Energy Conversion Devices, Inc. Electrically erasable memory elements having reduced switching current requirements and increased write/erase cycle life
US5294518A (en) * 1992-05-01 1994-03-15 International Business Machines Corporation Amorphous write-read optical storage memory
US5440507A (en) * 1992-05-01 1995-08-08 International Business Machines Corporation Diamond-like carbon write-read optical storage memory
US6565718B1 (en) * 1999-04-14 2003-05-20 Seagate Technology Llc Magnetic recording medium with high density, thin dual carbon overcoats
US7332262B2 (en) * 2000-02-17 2008-02-19 Applied Materials, Inc. Photolithography scheme using a silicon containing resist
US6813176B2 (en) * 2001-08-30 2004-11-02 Micron Technology, Inc. Method of retaining memory state in a programmable conductor RAM
US6683322B2 (en) * 2002-03-01 2004-01-27 Hewlett-Packard Development Company, L.P. Flexible hybrid memory element
US20050127524A1 (en) * 2002-04-30 2005-06-16 Toshitsugu Sakamoto Solid electrolyte switching device, fpga using same, memory device, and method for manufacturing solid electrolyte switching device
US7045175B2 (en) * 2002-05-29 2006-05-16 Hitachi, Ltd. Magnetic recording medium and method for manufacturing the same
US20050274942A1 (en) * 2002-06-07 2005-12-15 Kozicki Michael N Nanoscale programmable structures and methods of forming and using same
US7433253B2 (en) * 2002-12-20 2008-10-07 Qimonda Ag Integrated circuit, method of operating an integrated circuit, method of manufacturing an integrated circuit, memory module, stackable memory module
US7052757B2 (en) * 2003-10-03 2006-05-30 Hewlett-Packard Development Company, L.P. Capping layer for enhanced performance media
US7220982B2 (en) * 2004-07-27 2007-05-22 Micron Technology, Inc. Amorphous carbon-based non-volatile memory
US20060022347A1 (en) * 2004-07-27 2006-02-02 Campbell Kristy A Amorphous carbon-based non-volatile memory
US20060038212A1 (en) * 2004-08-19 2006-02-23 John Moore Structure for amorphous carbon based non-volatile memory
US7344946B2 (en) * 2004-08-19 2008-03-18 Micron Technology, Inc. Structure for amorphous carbon based non-volatile memory
US7539038B2 (en) * 2005-03-17 2009-05-26 Samsung Electronics Co., Ltd. Nonvolatile nanochannel memory device using organic-inorganic complex mesoporous material
US20070045615A1 (en) * 2005-08-31 2007-03-01 Samsung Electronics Co., Ltd. Non-volatile organic resistance random access memory device and method of manufacturing the same
US20080070162A1 (en) * 2006-08-25 2008-03-20 Klaus-Dieter Ufert Information storage elements and methods of manufacture thereof

Cited By (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8154906B2 (en) 2008-01-15 2012-04-10 Micron Technology, Inc. Memory cells, memory cell programming methods, memory cell reading methods, memory cell operating methods, and memory devices
US10790020B2 (en) 2008-01-15 2020-09-29 Micron Technology, Inc. Memory cells, memory cell programming methods, memory cell reading methods, memory cell operating methods, and memory devices
US9343145B2 (en) 2008-01-15 2016-05-17 Micron Technology, Inc. Memory cells, memory cell programming methods, memory cell reading methods, memory cell operating methods, and memory devices
US9805792B2 (en) 2008-01-15 2017-10-31 Micron Technology, Inc. Memory cells, memory cell programming methods, memory cell reading methods, memory cell operating methods, and memory devices
US11393530B2 (en) 2008-01-15 2022-07-19 Micron Technology, Inc. Memory cells, memory cell programming methods, memory cell reading methods, memory cell operating methods, and memory devices
US20100271863A1 (en) * 2008-01-15 2010-10-28 Jun Liu Memory Cells, Memory Cell Programming Methods, Memory Cell Reading Methods, Memory Cell Operating Methods, and Memory Devices
US10262734B2 (en) 2008-01-15 2019-04-16 Micron Technology, Inc. Memory cells, memory cell programming methods, memory cell reading methods, memory cell operating methods, and memory devices
US8034655B2 (en) 2008-04-08 2011-10-11 Micron Technology, Inc. Non-volatile resistive oxide memory cells, non-volatile resistive oxide memory arrays, and methods of forming non-volatile resistive oxide memory cells and memory arrays
US8674336B2 (en) 2008-04-08 2014-03-18 Micron Technology, Inc. Non-volatile resistive oxide memory cells, non-volatile resistive oxide memory arrays, and methods of forming non-volatile resistive oxide memory cells and memory arrays
US8110476B2 (en) 2008-04-11 2012-02-07 Sandisk 3D Llc Memory cell that includes a carbon-based memory element and methods of forming the same
US20090256132A1 (en) * 2008-04-11 2009-10-15 Sandisk 3D Llc Memory cell that includes a carbon-based memory element and methods of forming the same
US8536015B2 (en) 2008-04-11 2013-09-17 Sandisk 3D Llc Memory cell that includes a carbon-based memory element and methods of forming the same
US8211743B2 (en) 2008-05-02 2012-07-03 Micron Technology, Inc. Methods of forming non-volatile memory cells having multi-resistive state material between conductive electrodes
US9577186B2 (en) 2008-05-02 2017-02-21 Micron Technology, Inc. Non-volatile resistive oxide memory cells and methods of forming non-volatile resistive oxide memory cells
US20090272960A1 (en) * 2008-05-02 2009-11-05 Bhaskar Srinivasan Non-Volatile Resistive Oxide Memory Cells, and Methods Of Forming Non-Volatile Resistive Oxide Memory Cells
US8134137B2 (en) 2008-06-18 2012-03-13 Micron Technology, Inc. Memory device constructions, memory cell forming methods, and semiconductor construction forming methods
US9559301B2 (en) 2008-06-18 2017-01-31 Micron Technology, Inc. Methods of forming memory device constructions, methods of forming memory cells, and methods of forming semiconductor constructions
US9111788B2 (en) 2008-06-18 2015-08-18 Micron Technology, Inc. Memory device constructions, memory cell forming methods, and semiconductor construction forming methods
US9257430B2 (en) 2008-06-18 2016-02-09 Micron Technology, Inc. Semiconductor construction forming methods
US20090316467A1 (en) * 2008-06-18 2009-12-24 Jun Liu Memory Device Constructions, Memory Cell Forming Methods, and Semiconductor Construction Forming Methods
US9666801B2 (en) 2008-07-02 2017-05-30 Micron Technology, Inc. Methods of forming a non-volatile resistive oxide memory cell and methods of forming a non-volatile resistive oxide memory array
US9343665B2 (en) 2008-07-02 2016-05-17 Micron Technology, Inc. Methods of forming a non-volatile resistive oxide memory cell and methods of forming a non-volatile resistive oxide memory array
US20100032639A1 (en) * 2008-08-07 2010-02-11 Sandisk 3D Llc Memory cell that includes a carbon-based memory element and methods of forming the same
US8557685B2 (en) 2008-08-07 2013-10-15 Sandisk 3D Llc Memory cell that includes a carbon-based memory element and methods of forming the same
US20100102291A1 (en) * 2008-10-23 2010-04-29 Sandisk 3D Llc Carbon-based memory elements exhibiting reduced delamination and methods of forming the same
US8735859B2 (en) 2009-11-30 2014-05-27 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
US8743589B2 (en) 2010-04-22 2014-06-03 Micron Technology, Inc. Arrays of vertically stacked tiers of non-volatile cross point memory cells and methods of reading a data value stored by an array of vertically stacked tiers of non-volatile cross point memory cells
US8427859B2 (en) 2010-04-22 2013-04-23 Micron Technology, Inc. Arrays of vertically stacked tiers of non-volatile cross point memory cells, methods of forming arrays of vertically stacked tiers of non-volatile cross point memory cells, and methods of reading a data value stored by an array of vertically stacked tiers of non-volatile cross point memory cells
US8760910B2 (en) 2010-04-22 2014-06-24 Micron Technology, Inc. Arrays of vertically stacked tiers of non-volatile cross point memory cells, methods of forming arrays of vertically stacked tiers of non-volatile cross point memory cells, and methods of reading a data value stored by an array of vertically stacked tiers of non-volatile cross point memory cells
US9036402B2 (en) 2010-04-22 2015-05-19 Micron Technology, Inc. Arrays of vertically stacked tiers of non-volatile cross point memory cells
US8411477B2 (en) 2010-04-22 2013-04-02 Micron Technology, Inc. Arrays of vertically stacked tiers of non-volatile cross point memory cells, methods of forming arrays of vertically stacked tiers of non-volatile cross point memory cells, and methods of reading a data value stored by an array of vertically stacked tiers of non-volatile cross point memory cells
US8542513B2 (en) 2010-04-22 2013-09-24 Micron Technology, Inc. Arrays of vertically stacked tiers of non-volatile cross point memory cells, methods of forming arrays of vertically stacked tiers of non-volatile cross point memory cells, and methods of reading a data value stored by an array of vertically stacked tiers of non-volatile cross point memory cells
US9697873B2 (en) 2010-06-07 2017-07-04 Micron Technology, Inc. Memory arrays
US9412421B2 (en) 2010-06-07 2016-08-09 Micron Technology, Inc. Memory arrays
US9989616B2 (en) 2010-06-07 2018-06-05 Micron Technology, Inc. Memory arrays
US10613184B2 (en) 2010-06-07 2020-04-07 Micron Technology, Inc. Memory arrays
US9887239B2 (en) 2010-06-07 2018-02-06 Micron Technology, Inc. Memory arrays
US10656231B1 (en) 2010-06-07 2020-05-19 Micron Technology, Inc. Memory Arrays
US10241185B2 (en) 2010-06-07 2019-03-26 Micron Technology, Inc. Memory arrays
US10746835B1 (en) 2010-06-07 2020-08-18 Micron Technology, Inc. Memory arrays
US10859661B2 (en) 2010-06-07 2020-12-08 Micron Technology, Inc. Memory arrays
US8976566B2 (en) 2010-09-29 2015-03-10 Micron Technology, Inc. Electronic devices, memory devices and memory arrays
US8351242B2 (en) 2010-09-29 2013-01-08 Micron Technology, Inc. Electronic devices, memory devices and memory arrays
US8759809B2 (en) 2010-10-21 2014-06-24 Micron Technology, Inc. Integrated circuitry comprising nonvolatile memory cells having platelike electrode and ion conductive material layer
US8883604B2 (en) 2010-10-21 2014-11-11 Micron Technology, Inc. Integrated circuitry comprising nonvolatile memory cells and methods of forming a nonvolatile memory cell
US9705078B2 (en) 2010-10-21 2017-07-11 Micron Technology, Inc. Integrated circuitry comprising nonvolatile memory cells and methods of forming a nonvolatile memory cell
US9245964B2 (en) 2010-10-21 2016-01-26 Micron Technology, Inc. Integrated circuitry comprising nonvolatile memory cells and methods of forming a nonvolatile memory cell
US8753949B2 (en) 2010-11-01 2014-06-17 Micron Technology, Inc. Nonvolatile memory cells and methods of forming nonvolatile memory cells
US8811063B2 (en) 2010-11-01 2014-08-19 Micron Technology, Inc. Memory cells, methods of programming memory cells, and methods of forming memory cells
US9406878B2 (en) 2010-11-01 2016-08-02 Micron Technology, Inc. Resistive memory cells with two discrete layers of programmable material, methods of programming memory cells, and methods of forming memory cells
US9117998B2 (en) 2010-11-01 2015-08-25 Micron Technology, Inc. Nonvolatile memory cells and methods of forming nonvolatile memory cells
US8796661B2 (en) 2010-11-01 2014-08-05 Micron Technology, Inc. Nonvolatile memory cells and methods of forming nonvolatile memory cell
US9454997B2 (en) 2010-12-02 2016-09-27 Micron Technology, Inc. Array of nonvolatile memory cells having at least five memory cells per unit cell, having a plurality of the unit cells which individually comprise three elevational regions of programmable material, and/or having a continuous volume having a combination of a plurality of vertically oriented memory cells and a plurality of horizontally oriented memory cells; array of vertically stacked tiers of nonvolatile memory cells
US8431458B2 (en) 2010-12-27 2013-04-30 Micron Technology, Inc. Methods of forming a nonvolatile memory cell and methods of forming an array of nonvolatile memory cells
US8652909B2 (en) 2010-12-27 2014-02-18 Micron Technology, Inc. Methods of forming a nonvolatile memory cell and methods of forming an array of nonvolatile memory cells array of nonvolatile memory cells
US9034710B2 (en) 2010-12-27 2015-05-19 Micron Technology, Inc. Methods of forming a nonvolatile memory cell and methods of forming an array of nonvolatile memory cells
US8791447B2 (en) 2011-01-20 2014-07-29 Micron Technology, Inc. Arrays of nonvolatile memory cells and methods of forming arrays of nonvolatile memory cells
US9093368B2 (en) 2011-01-20 2015-07-28 Micron Technology, Inc. Nonvolatile memory cells and arrays of nonvolatile memory cells
US8681531B2 (en) 2011-02-24 2014-03-25 Micron Technology, Inc. Memory cells, methods of forming memory cells, and methods of programming memory cells
US9424920B2 (en) 2011-02-24 2016-08-23 Micron Technology, Inc. Memory cells, methods of forming memory cells, and methods of programming memory cells
US9257648B2 (en) 2011-02-24 2016-02-09 Micron Technology, Inc. Memory cells, methods of forming memory cells, and methods of programming memory cells
US8537592B2 (en) 2011-04-15 2013-09-17 Micron Technology, Inc. Arrays of nonvolatile memory cells and methods of forming arrays of nonvolatile memory cells
US8854863B2 (en) 2011-04-15 2014-10-07 Micron Technology, Inc. Arrays of nonvolatile memory cells and methods of forming arrays of nonvolatile memory cells
US9184385B2 (en) 2011-04-15 2015-11-10 Micron Technology, Inc. Arrays of nonvolatile memory cells and methods of forming arrays of nonvolatile memory cells
US9829521B2 (en) 2013-03-18 2017-11-28 Panasonic Intellectual Property Management Co., Ltd. Estimation method, estimation device, and inspection device for variable resistance element, and nonvolatile memory device
WO2018057022A1 (en) * 2016-09-25 2018-03-29 Intel Corporation Barriers for metal filament memory devices
US20190123275A1 (en) * 2017-10-20 2019-04-25 SK Hynix Inc. Resistance change memory device and method of manufacturing the same
KR20190044441A (en) * 2017-10-20 2019-04-30 에스케이하이닉스 주식회사 Resistance Change Memory Device
US10720582B2 (en) * 2017-10-20 2020-07-21 SK Hynix Inc. Resistance change memory device having amorphous carbon structure and method of manufacturing the same
KR102411184B1 (en) * 2017-10-20 2022-06-21 에스케이하이닉스 주식회사 Resistance Change Memory Device

Also Published As

Publication number Publication date
EP1916722A2 (en) 2008-04-30
CN101170159B (en) 2010-06-09
KR100922020B1 (en) 2009-10-19
DE102007013595A1 (en) 2008-05-08
CN101170159A (en) 2008-04-30
JP2008153624A (en) 2008-07-03
US20080099752A1 (en) 2008-05-01
US7894253B2 (en) 2011-02-22
EP1916722A3 (en) 2011-01-19
KR20080038044A (en) 2008-05-02
EP1916722B1 (en) 2012-01-18

Similar Documents

Publication Publication Date Title
US20080102278A1 (en) Carbon filament memory and method for fabrication
US10950791B2 (en) Apparatuses including electrodes having a conductive barrier material and methods of forming same
CN110998829B (en) Self-aligned memory laminates in cross-point memory arrays
CN100550462C (en) Resistor random access memory cell with L type electrode
US7768016B2 (en) Carbon diode array for resistivity changing memories
CN101290948B (en) Memory structure and its manufacture method, and manufacture method of memory cell array
US7372065B2 (en) Programmable metallization cell structures including an oxide electrolyte, devices including the structure and method of forming same
US7728322B2 (en) Programmable metallization cell structures including an oxide electrolyte, devices including the structure and method of forming same
US7772580B2 (en) Integrated circuit having a cell with a resistivity changing layer
US20070210348A1 (en) Phase-change memory device and methods of fabricating the same
US20120061637A1 (en) 3-d structured nonvolatile memory array and method for fabricating the same
US20090032794A1 (en) Phase change memory device and fabrication method thereof
US20090140232A1 (en) Resistive Memory Element
CN104969374A (en) Resistive switching devices having a switching layer and an intermediate electrode layer and methods of formation thereof
US11843055B2 (en) Semiconductor devices comprising transistors having increased threshold voltage and related methods and systems
US20080247215A1 (en) Resistive switching element
WO2017044166A1 (en) Three-dimensional resistive random access memory containing self-aligned memory elements
WO2002082452A2 (en) Microelectronic device, structure, and system, including a memory structure having a variable programmable property and method of forming the same
US7741630B2 (en) Resistive memory element and method of fabrication
TW202137411A (en) Via formation for a memory device

Legal Events

Date Code Title Description
AS Assignment

Owner name: QIMONDA AG, GERMANY

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:KREUPL, FRANZ;KUND, MICHAEL;UFERT, KLAUS-DIETER;REEL/FRAME:018784/0343

Effective date: 20061115

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION