US20080284037A1 - Apparatus and Methods for Constructing Semiconductor Chip Packages with Silicon Space Transformer Carriers - Google Patents

Apparatus and Methods for Constructing Semiconductor Chip Packages with Silicon Space Transformer Carriers Download PDF

Info

Publication number
US20080284037A1
US20080284037A1 US11/748,818 US74881807A US2008284037A1 US 20080284037 A1 US20080284037 A1 US 20080284037A1 US 74881807 A US74881807 A US 74881807A US 2008284037 A1 US2008284037 A1 US 2008284037A1
Authority
US
United States
Prior art keywords
pattern
silicon
substrate
electrical contacts
silicon substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/748,818
Inventor
Paul S. Andry
John M. Cotte
John U. Knickerbocker
Cornelia K. Tsang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Priority to US11/748,818 priority Critical patent/US20080284037A1/en
Assigned to INTERNATIONAL BUSINESS MACHINES CORPORATION reassignment INTERNATIONAL BUSINESS MACHINES CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ANDRY, PAUL S, COTTE, JOHN M, KNICKERBOCKER, JOHN U, TSANG, CORNELIA K
Publication of US20080284037A1 publication Critical patent/US20080284037A1/en
Priority to US12/538,223 priority patent/US8012796B2/en
Priority to US12/543,827 priority patent/US9159602B2/en
Priority to US13/430,195 priority patent/US8592932B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/147Semiconductor insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49833Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers the chip support structure consisting of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/50Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor for integrated circuit devices, e.g. power bus, number of leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/818Bonding techniques
    • H01L2224/81801Soldering or alloying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00011Not relevant to the scope of the group, the symbol of which is combined with the symbol of this group
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00014Technical content checked by a classifier the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01077Iridium [Ir]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01079Gold [Au]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/10251Elemental semiconductors, i.e. Group IV
    • H01L2924/10253Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1517Multilayer substrate
    • H01L2924/15172Fan-out arrangement of the internal vias
    • H01L2924/15174Fan-out arrangement of the internal vias in different layers of the multilayer substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/19011Structure including integrated passive components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19041Component type being a capacitor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19042Component type being an inductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/19Details of hybrid assemblies other than the semiconductor or other solid state devices to be connected
    • H01L2924/1901Structure
    • H01L2924/1904Component type
    • H01L2924/19043Component type being a resistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3025Electromagnetic shielding

Definitions

  • SoC System on Chip
  • the thinned components may be fragile to handle and lead to yield losses if broken or damaged and may become non planar due to stresses such as circuits, wiring or vias causing the thinned component to bend or bow.
  • the bow or bending can foe excessive and make handling or assembly difficult or impossible without added costs of mechanical handlers, temporary adhesives or figures and release processes.
  • first level package having conductive through-vias (and other conductive wiring) which provide I/O and power interconnects between IC chips on the top-side of the carrier and I/O contacts on a next level packaging structure coupled to the bottom-side of the chip carrier.
  • Exemplary embodiments of the invention generally include apparatus and methods for high density packaging of semiconductor chips using silicon space transformer chip level package structures, which allow high density chip interconnection and/or integration of multiple chips or chip stacks nigh I/O interconnection and heterogeneous chip or function integration, and which allow packaging of thinned IC chips using thinned Si package(s) in ways that realize low cost handling and assembly, and reduce the non-planarity of the Si package(s), thinned IC or IC stack and/or module assembly.
  • the silicon space transformer package may further comprise a plurality of passive devices formed on the first planar surface of the silicone substrate and electrically connected to the wiring layer.
  • the wiring layer of the silicone space transformer package may be a multilayer structure comprising three or more metallization levels.
  • the wiring layer may comprise power and ground wiring levels.
  • the silicon space transformer package may further comprises an open cavity formed therein between the first and second opposing surfaces, in which separate electrical and optical devices can be disposed for high-density packaging or which provide an optical channel to enable optical communications between optical components disposed on opposing sides of the silicon space transformer structures.
  • an electronic apparatus in yet another exemplary embodiment of the invention, includes a first level package structure and a second level package structure.
  • the first level package structure includes a silicon space transformer chip carrier structure and an IC (integrated circuit) chip flip chip mounted on a first surface of the silicon space transformer chip carrier structure using an first pattern of electrical contacts with pitch P 1 .
  • the second level package substrate includes a second pattern of electrical contacts with pitch P 2 , wherein P 2 >P 1 , formed on a mounting surface thereof.
  • the first level package structure is mounted to the mounting surface of the second level package substrate with the silicon space transformer chip carrier structure providing space transforming electrical interconnect ions between the first pattern of electrical contacts and the second pattern of electrical contacts on the mounting surface of the second level package structure.
  • a method for fabricating a semiconductor package structure beginning with a silicon substrate having a thickness t 1 between first and second opposing planar surfaces.
  • a pattern of conductive vias is formed to a depth d below the first surface of silicon substrate, which is less than the thickness t 1 of the silicon substrate.
  • a wiring layer is formed on the first surface of the silicon substrate, wherein the wiring layer comprises a first pattern of electrical contacts and redistribution wiring that provides electrical connections between the first pattern of electrical contacts and the conductive vias.
  • a glass handler substrate is bonded to the wiring layer on the first surface of the silicon substrate.
  • the second surface of the silicon substrate is then recessed to expose bottom portions of the blind conductive vias and reduce the thickness t 1 of the silicone substrate to a thickness t 1 ′, where t 1 ′ is less than about 150 microns to about 1-10 um.
  • An insulating layer is then formed on the recessed second surface of the silicon substrate with the bottom portions of the conductive vias exposed.
  • Electrical contacts are then formed on the exposed bottom portions of the conductive vias to provide a second pattern of electrical contacts.
  • the second pattern of electrical contacts are bonded to a third pattern of electrical contacts on a second package substrate layer and the mechanical glass handler substrate is removed.
  • the second package substrate layer may be a second silicon substrate having a thickness t 2 between first and second opposing planar surfaces and a second pattern of conductive vias formed to a depth d 2 below the first surface of second silicon substrate, which is less than the thickness t 2 of the second silicon substrate, wherein the third pattern of electrical contacts are electrically connected to exposed end portions of respective conductive vias in the second pattern of conductive vias, wherein prior to removing the glass handler substrate, the method further includes recessing the second surface of the second silicon substrate to expose bottom portions of the second pattern of conductive vias and reduce the thickness t 2 of the second silicone substrate to a thickness t 2 ′ , where t 2 ′ is less than about 150 microns to about 1-10 um. forming an insulating layer on the recessed second surface of the second silicon substrate with the bottom portions of the second pattern of conductive vias exposed, and forming electrical contacts on the exposed bottom portions of the conductive vias to provide a further pattern of electrical contacts.
  • the method prior to bonding the second pattern of electrical contacts to the third pattern of electrical contacts on the second package substrate layer, the method further includes etching an open cavity through the silicon substrate from the recessed second surface to the first surface thereof, etching a closed end cavity in the first surface of the second silicon substrate down to a depth below the depth d 2 of the second pattern of conductive vias, aligning the open cavity and closed end cavity when bonding the first and second silicon substrates; and opening the closed end cavity during recessing the second surface of the second silicon substrate.
  • FIG. 1 is a schematic side-view of a semiconductor package that is constructed using a silicon space transformer package structure according to an exemplary embodiment of the invention.
  • FIG. 2 is a schematic side-view of a semiconductor package that is constructed using a silicon space transformer package structure according to another exemplary embodiment of the invention.
  • FIG. 3 is a schematic side-view of a semiconductor package that is constructed using a silicon space transformer package structure according to another exemplary embodiment of the invention.
  • FIG. 4 is a schematic side-view of a semiconductor package that is constructed using a silicon space transformer package structure according to another exemplary embodiment of the invention.
  • FIGS. 5A , 5 B and 5 C are schematic high-level illustrations of silicon space transformer package structures having open cavities for optical communications applications, according exemplary embodiments of the invention.
  • FIGS. 6A ⁇ 6J schematically illustrate a method for fabricating a silicon space transformer package structure according to an exemplary embodiment of the invention.
  • FIGS. 7A ⁇ 7E schematically illustrate a method for fabricating a silicon space transformer package structure according to an exemplary embodiment of the invention.
  • FIGS. 1 ⁇ 4 and 5 A ⁇ 5 C are high-level schematic illustrations of various chip package structures in which high-density chip level packaging may be realized using one or more thinned silicon space transformer substrate layers.
  • silicon space transformer package structures according to exemplary embodiments of the invention may utilize state of the art silicon manufacturing techniques which follow CMOS back-end-of line design rules to realize low-cost fabrication of silicon space transformer package structures having high density wiring and conductive through-via interconnects which provide space transformation using one or more thinned silicon space transformer substrate layers for high I/O density packaging of one or more IC chips.
  • a silicon space transformer package structure may have conductive through-vias that permit electrical connections to extend (z-direction) through the one or more thin silicon space transformer substrate layers and multilevel wiring structures formed on surfaces of one or more of the thin silicon space transformer substrate layers to provide redistribution of electrical interconnections (x-y direction) between top-side and bottom-side I/O contacts on the one or more silicon space transformer substrate layers.
  • the space transformation may be from an area array pitch to another area array pitch of same or different pitch, or from a perimeter array to an area array of contacts, or from a custom I/O footprint to another custom I/O footprint of I/O contact and such space transformation may be designed to provided fan in distribution wiring, fan out distribution wiring, or a combination thereof.
  • the space transformation wiring may include signal, power and/or ground wiring.
  • FIG. 1 is a schematic side-view of a semiconductor package ( 10 ) that is constructed using a silicon space transformer package structure according to an exemplary embodiment of the invention.
  • the semiconductor package ( 10 ) generally comprises a stacked structure comprising an integrated circuit (IC) chip ( 11 ), a silicon space transformer substrate ( 12 ) and a package substrate ( 13 ).
  • the thinned IC chip ( 11 ) is flip-chip mounted to the silicon space transformer substrate ( 12 ) using a high density pattern of solder bumps (e.g., micro C4s) ( 15 ), and the silicon space transformer substrate ( 12 ) is mounted to the package substrate ( 13 ) using a lower density pattern of solder C4bumps ( 15 ).
  • solder bumps e.g., micro C4s
  • the silicon space transformer substrate ( 12 ) comprises a wiring layer ( 12 a ) formed on a top-side surface of a thinned silicon substrate ( 12 b ) and a plurality of conductive through-vias ( 12 c ) formed in the silicon substrate ( 12 b ).
  • the thinned silicon substrate ( 12 b ) has a thickness of about 150 microns or less, preferably in a range of less than about 70 microns to about 1-10 microns.
  • the wiring layer ( 12 a ) comprises a footprint of contact pads corresponding to the micro bumps ( 14 ) and redistribution wiring, which provide electrical connections to the conductive through vias ( 12 c ).
  • the wiring layer ( 12 a ) and conductive through vias ( 12 c ) provide space transforming interconnections between the top-side contacts ( 14 ) and bottom-side I/O contacts ( 15 ) of the silicon space transformer substrate ( 12 ).
  • the chip ( 11 ) may be formed having a perimeter array of I/O and power contacts/pads formed on an active surface of the chip ( 11 ), whereby the silicon space transformer substrate ( 12 ) provides a space transformation from the perimeter array of contacts ( 14 ) to an area array of contacts ( 15 ).
  • the silicon space transformer substrate ( 12 ) can be the same size (footprint area) of the chip ( 11 ) whereby the wiring layer ( 12 a ) and conductive through vias ( 12 c ) can redistribute the perimeter array contacts ( 14 ) to the area array contacts ( 15 ).
  • the package substrate ( 13 ) may be an organic substrate, a ceramic substrate, a silicon substrate, etc. that provides a first level package structure, which can be electrically and mechanically mounted to a second level package such as a printed circuit board or printed wiring board, etc.
  • the active surface of the silicon space transformer substrate ( 12 ) can include high-density top-die interconnect wiring ( 12 a ) and can also serve to support local integrated passive elements and/or active circuit technology depending on the application design.
  • FIG. 2 is a schematic side-view of a semiconductor package ( 20 ) that is constructed using a silicon space transformer structure according to another exemplary embodiment of the invention.
  • the semiconductor package ( 20 ) is similar to that of FIG. 1 in that the package ( 20 ) comprises a stacked structure including the integrated circuit (IC) chip ( 11 ), silicon space transformer substrate ( 12 ) and a package substrate ( 13 ) as depicted in FIG. 1 .
  • the exemplary electronic package ( 20 ) further includes a second silicon space transformer substrate ( 16 ) disposed between the first silicon space transformer substrate ( 12 ) and the package substrate ( 13 ).
  • the second silicon space transformer substrate ( 16 ) may comprise a wiring layer ( 16 a ) formed on a top surface of a thinned silicon substrate ( 16 b ) and a plurality of conductive through-vias ( 16 c ) formed in the silicon substrate ( 16 b ), passive circuit components, active circuit components.
  • FIG. 2 is an exemplary embodiment of a semiconductor package where a silicon space transformer package structure comprises a stacked structure of first and second thinned silicon space transformer substrates ( 12 ) and ( 16 ).
  • the stacked structure of silicon space transformer substrates ( 12 ) and ( 16 ) serves various functions.
  • the additional wiring layer ( 16 a ) and conductive through-vias ( 16 c ) of the second silicon space transformer substrate ( 16 ) may provide additional space transforming interconnections between the bottom-side contacts ( 15 ) of the first space transformer substrate ( 12 ) and bottom-side I/O contacts ( 17 ) of the silicon space transformer substrate ( 16 ).
  • the addition of the second silicon space transformer substrate ( 16 ) provides added strength in that the chip ( 11 ) and stacked carriers ( 12 ) and ( 16 ) can be formed as a stand alone first level package structure (chip module) that can be mounted to the package substrate ( 13 ) as part of a second level package structure or system board.
  • FIG. 3 is a schematic side-view of a semiconductor package ( 30 ) that is constructed using a silicon space transformer structure according to another exemplary embodiment of the invention.
  • the semiconductor package ( 30 ) is similar to that of FIG. 1 in that the package ( 30 ) comprises a stacked structure including the integrated circuit (IC) chip ( 31 ), silicon space transformer substrate ( 32 ) and a package substrate ( 33 ).
  • the IC chip ( 31 ) is flip-chip mounted to the space transformer substrate ( 32 ) using a pattern of high density micro C4s ( 35 ), and the silicon space transformer substrate ( 32 ) is electrically and mechanically mounted to the package substrate ( 33 ) using a lower density array of C4s ( 35 ).
  • the silicon space transformer substrate ( 32 ) comprises a wiring layer ( 32 a ) formed on a top surface of a thinned silicon substrate ( 32 b ) and a plurality of conductive through-vias ( 32 c ) formed in the silicon substrate ( 32 b ).
  • the semiconductor package ( 30 ) differs from the package ( 10 ) of FIG. 1 in that the silicon space transformer substrate ( 32 ) has a larger area footprint than the chip ( 31 ).
  • the chip ( 11 ) may be formed having an area array of I/O and power contacts/pads formed on an active surface of the chip ( 31 ), whereby the silicon space transformer substrate ( 32 ) provides a space transformation from the high-density area array of contacts ( 34 ) to a lower density area array of contacts ( 35 ).
  • the footprint of the space transformer substrate ( 32 ) can be larger in area than the chip ( 11 ) to provide the necessary area to redistribute the area array contacts ( 34 ) to larger pitch area array of contacts ( 35 ).
  • the package substrate ( 33 ) may be an organic substrate, a ceramic substrate, a silicon substrate, etc. that provides a first level package structure, which can be electrically and mechanically mounted to a second level package such as a printed circuit board or printed wiring board, etc.
  • FIG. 4 is a schematic side-view of a semiconductor package ( 40 ) that is constructed using a silicon space transformer structure according to another exemplary embodiment of the invention. Similar to the exemplary embodiments of FIGS. 1 ⁇ 3 discussed above, the electronic package ( 40 ) generally comprises a stacked structure comprising an integrated circuit (IC) chip ( 41 ), a silicon space transformer substrate ( 42 ) and a package substrate ( 43 ).
  • the IC chip ( 41 ) is flip-chip mounted to the space transformer substrate ( 42 ) using a high density array of micro C4s ( 44 )
  • the space transformer ( 42 ) is mounted to the package substrate ( 43 ) using a lower density array of C4s ( 45 ).
  • the silicon space transformer substrate ( 42 ) comprises a wiring layer ( 42 a ) formed on a top-side surface of a thinned silicon substrate ( 42 b ) and a plurality of conductive through-vias ( 42 c ) formed in the silicon substrate ( 42 b ).
  • the thinned silicon substrate ( 12 b ) has a thickness of about 150 microns or less, preferably in a range of about 70 microns to about 10 microns.
  • FIG. 4 illustrates details of an exemplary embodiment of the wiring layer ( 42 a ) which comprises a multilayer metallization structure that provides high-density space transforming electrical connections between the micro bumps ( 44 ) and the conductive through vias ( 42 c ) as well as integration of passive components within the silicon space transformer substrate ( 42 ). More specifically, in FIG. 4 , the wiring layer ( 42 a ) comprises a plurality of metallization levels M 1 , M 2 , M 3 that are formed in one or more layers of dielectric/insulating material ( 47 ) to provide wiring such as for signal, voltage and ground interconnections.
  • the metallization layer M 1 is formed to provide contact pads that enable electrical connection between the wiring and the conductive through vias ( 42 c ),
  • the metallization layer M 2 provide ground wiring to provide ground redistribution of lower pitch ground contacts ( 45 a ) on the bottom-side of the silicon carrier ( 42 ) to tighter pitch ground contacts ( 44 a ) on the topside of the silicon space transformer substrate ( 42 ).
  • the metallization layer M 3 provides voltage wiring to provide power redistribution of lower pitch voltage contacts ( 45 b ) on the bottom-side of the silicon space transformer substrate ( 42 ) to tighter pitch voltage contacts ( 44 b ) on the topside of the silicon space transformer substrate ( 42 ).
  • the same metallization layers M 1 and/or M 2 or additional metallization layers may be used to form I/O wiring and or electrical shielding patterns.
  • trench-based decoupling capacitors ( 48 ) are integrally formed as part of the silicon substrate ( 42 b ) whereby chip ground and voltage connections ( 44 , 45 ) between the silicon carrier ( 42 ) and chip ( 41 ) and connected to the trench-based capacitors via interlevel contact plugs between the metallization level wiring and device terminals on the surface of the silicon substrate ( 42 b ).
  • chip itself may contain a vastly greater number of I/O and power/ground connections than may be effectively handled by standard first-level packaging at present.
  • the BEOL wiring and conductive through vias permit efficient area array signal, power and round interconnection through the thinned silicon space transformer packages.
  • FIGS. 1-4 are illustrative of semiconductor chip packages according to exemplary embodiments of the invention which may be constructed using silicon carrier fabrication technologies which follow CMOS back-end-of line design rules that enable low-cost fabrication of silicon carriers having high density wiring and through via interconnects which are sufficient to support high-density I/O SOP packaging solutions.
  • Silicon space transform chip package structures may be constructed using one or more thinned silicon space transformer substrate layers that are fabricated starting with a bulk silicon wafer having an initial bulk thickness of between 700 to 800 microns, which is thinned to less than about 150 microns thick and preferably, less than 70 to 1-10 microns.
  • silicon carrier packages for high density packaging of silicon chips, for example, are that the silicon package substrates layers (or carriers) and the thinned chip have the same or similar CTE (coefficient of thermal expansion).
  • CTE coefficient of thermal expansion
  • the expansion and contraction between the silicon carrier packages and silicon chips is matched, thereby minimizing the stresses and strains that may be generated in the contacts (e.g., solder balls) between chip and substrate, thereby allowing high-density micro bump interconnections to scale to smaller sizes.
  • silicon space transformer structures that are built with one or more thinned silicon substrate layers having integrally formed metallic wiring, passive/active components, through-silicon-vias, cavities, etc, may not be able to maintain planarity when freestanding due to local bending caused by thermal stresses resulting from CTE mismatches between the various materials and the ultra thin silicon layers.
  • CTE mismatches between the materials (dielectric and metal layers) forming the wiring layer ( 12 a ) and the silicon material layer ( 12 b ) of the space transformer ( 12 ) can cause local bending of the package as a result of thermal cycling and temperature excursions during fabrication when, for example, the chip ( 11 ) is being mounted on the package ( 12 ).
  • silicon space transformer package structures such as depicted in FIGS. 1-4 with one or more silicon package levels can be designed based on stress balancing techniques to minimizes the overall (global) bending of the overall package structure, minimize local bending along the region of the chip/silicon transformer package structure and/or minimize in-plane expansion between the different package layers, and thus reduce non-planarity of the structures during handling, processing and assembly. It is important to minimize thermal stressed and maintain planarity of the package structures during handling and assembly operations especially for thinned IC, thinned Si package(s) and module assembly with ceramic, organic or alternate base package and cooling hardware, so as to reduce costs, enhance yields and improve mechanical integrity of the components during fabrication and normal usage.
  • Stress balancing of package structures may be achieved any number of ways depending on the package architecture based on optimizing the thickness, materials, dimensions, thermal properties (e.g., CTE), mechanical properties (modulus), etc, of the wiring layers, the silicone substrate layers, the thinned IC chips, the IC chips, through vias, dielectric layers, and thermal footprints of the IC chips and/or the process conditions, etc, so as to achieve mechanical stress balance between Si package(s) or the Si package(s) and thinned IC or the Si package is), thinned IC and module (with cooling cap, spreader ceramic or organic package during fabrication, assembly, usages, etc.
  • thermal properties e.g., CTE
  • modulus mechanical properties
  • a silicon space transformer structure formed of a single layer of silicon such as depicted in FIG. 1 or FIG. 3 , for example, may be designed such that the frontside wiring layers and bottom side passivation layer/metal bond pads (or bottom side wiring layer are formed with certain dielectric and metallic materials such that the effective CTE and modulus (stiffness) of the metal/dielectric stacks/layers on the front and back sides of the silicon substrate are the same or similar, so as to maintain the planarity of the structure.
  • silicon space transformer packages with multiple levels of thin silicon substrate layers may be designed to have an effective thickness/stiffness that is matched to an organic substrate to which the silicon space transformer is mounted. With this design, despite possible in-plane expansion due to CTE mismatch between the organic substrate and the silicon substrate layers, this can reduce or eliminate local bending package structured in the footprint region of the stacked silicon space transformer package structure in the footprint region of package structure.
  • silicon space transformer package structures can be formed having cavities in which separate electrical and optical devices can be disposed for high-density packaging or which provide an optical channel to enable optical communications between optical components disposed on opposing sides of the silicon space transformer structures.
  • FIG. 5A illustrates a single layer silicon space transformer package structure ( 50 ) having a cavity ( 51 ) etched from topside to bottom side of the silicon substrate.
  • FIG. 5B illustrates a double layer silicon space transformer package structure ( 60 ) having a first silicon substrate layer 60 a and a second silicon substrate layer 60 b having respective cavities 61 a and 61 b that are aligned to each other when the layers ( 60 a ) and ( 60 b ) are stacked.
  • FIG. 5A illustrates a single layer silicon space transformer package structure ( 50 ) having a cavity ( 51 ) etched from topside to bottom side of the silicon substrate.
  • FIG. 5B illustrates a double layer silicon space transformer package structure ( 60 ) having a first silicon substrate layer 60 a and a second
  • Each annular trench ( 101 ) surrounds (encircles) an inner core (or post) ( 102 ) of substrate material.
  • the annular vias ( 101 ) are etched in a pattern over the wafer ( 100 ) except for a given area ( 101 a ) in which cavities will be subsequently formed for embedding of separate electronic devices (e.g., optoelectronic devices).
  • the annular trenches ( 101 ) can be formed using conventional methods including forming an etch mask on the surface of the wafer ( 100 ) and etching the wafer ( 100 ) using any suitable anisotropic etching process such as RIE (reactive ion etching).
  • the liner layer ( 103 ) may include added filler material such as poly-Si other material so that the liner layer ( 102 ) provides sufficient insulation characteristics while forming a controlled stress insulator layer having a CTE that is more closely matched to that of the silicon material of the substrate ( 100 ).
  • the liner layer ( 103 ) may further include an adhesion layer (or seed layer) formed on the barrier layer to that forms good adhesion to both the barrier layer metal and to a via conductor metal (e.g., tungsten) that is used to fill or plate the annular trenches ( 101 ).
  • a metallization process is performed to overfill the via annular trenches ( 101 ) with a desired conductive material ( 104 ) followed by a planarization process to remove excess metal at the top surface of the substrate ( 100 ).
  • the metallization and planarization process results in formation of a plurality of electrically isolated, close-ended conductive annular vias ( 105 ) as depicted in FIG. 6C , wherein each conductive annular via ( 105 ) comprises an annular ring of conductive material ( 104 ) and liner layer ( 103 ) surrounding an inner core ( 102 ) of silicon.
  • the annular trenches ( 101 ) may be filled by depositing a conformal layer of metallic material such as tungsten (W) and/or other conductive materials preferably having a CTE comparable to that of silicon.
  • the metal deposition may be performed using suitable methods such as CVD or PVD, for example, depending on the conductive material used and the diameter and depth of the annular via trenches ( 101 ).
  • planarization may be performed using a CMP (chemical mechanical polishing) process to planarize the surface of the substrate ( 100 ) and remove the excess layer of metal material ( 104 ) and metal seed layer of the liner ( 103 ) down to the insulating (barrier) layer of the liner ( 103 ) on the surface of the substrate ( 100 ).
  • CMP chemical mechanical polishing
  • the backside of the first substrate and frontside of the second substrate ( 200 ) may be bonded together via copper bonding the corresponding metallic pads ( 130 ) and ( 230 ) on the respective surfaces.
  • the second substrate ( 200 ) is subjected to a backside grind process to expose the bottom, closed-end of the conductive annular vias ( 205 ) and open the cavity ( 240 ), followed by formation of an insulating layer ( 240 ) and metal pads ( 250 ) (e.g., BLM or NiAu bond pads) on the backside surface of the second substrate ( 200 ).
  • the mechanical glass carrier ( 120 ) can be removed by laser release of adhesive with appropriate cleaning or thermal release or IR release depending on the use of such mechanical handler.
  • a plurality of blind annular vias ( 305 ) are formed to a depth d 2 below a top surface of the substrate ( 300 )
  • An insulating layer ( 325 ) and metal pads ( 330 ) are formed on the top surface of the substrate ( 300 ) where the metal pads ( 330 ) are formed on the open ends of the annular vias ( 305 ).
  • the second sub package layer L 2 is formed starting with a silicon substrate ( 400 ) of thickness t 4 .
  • the first substrate ( 300 ) is subjected to a backside grind process to expose the bottom, closed-end of the conductive annular vias ( 305 ), resulting in the structure schematically illustrated in FIG. 7B .
  • the thickness of the substrate ( 300 ) is reduced from t 3 to t 3 ′.
  • a BEOL process is performed using conventional methods to form a metal wiring layer ( 310 ) over the backside surface of the substrate ( 300 ) to form one or more levels of wiring as desired. Referring to FIG.
  • a photolithographic etch process is then performed to form a cavity ( 540 ) from the backside surface of the substrate ( 300 ) through the two substrate layers ( 300 ) and ( 400 ) to a depth just below the depth of the annular vias ( 405 ) below the frontside surface of the substrate ( 400 ).
  • the second substrate ( 400 ) is subjected to a backside grind process to expose the bottom, closed-end of the conductive annular vias ( 405 ) and open the cavity ( 540 ), followed by formation of an insulating layer ( 440 ) and metal pads ( 450 ) (e.g., BLM or NiAu bond pads) on the backside surface of the second substrate ( 400 ) .
  • a backside grind process to expose the bottom, closed-end of the conductive annular vias ( 405 ) and open the cavity ( 540 .
  • metal pads ( 450 ) e.g., BLM or NiAu bond pads
  • Each layer of silicon may be fabricated from a bulk silicon wafer having an initial bulk thickness of between 700 to 800 microns, which is thinned to less than about 150 microns thick and preferably, less than 70 to 1-10 microns thickness and designed and fabricated using stress balanced structures such that the non-planarity due to wiring, vias, circuits and assembly are reduced or minimized to aide in handling and assembly.
  • the space transformation may be realized using a multilevel wiring layer that includes signal, power and/or ground wiring.
  • the space transformation may be from an area array pitch to another area array pitch of same or different pitch, may be from perimeter array to area array or custom I/O footprint to another I/O footprint, and may be fan in, fan out or a combination.
  • passive functionality may be integrated within one or more silicon layers including, for example, decoupling capacitors, inductors, and/or resistors which can help to reduce or eliminate the need for integrated passive function on the chip and/discrete or integrated passives on the package and board.
  • the use of the multilevel BEOL wiring levels formed on one side or both sides and/or more than one thinned silicon sub package layers not only provides increased wiring such as for signal, voltage and ground interconnections, but also provide a mechanical benefited of added thickness for enhanced mechanical handling and reduced non-planarity during processing, manufacturing and/or assembly, which helps to provide planarity to the silicon space transformer structure such as by means of stress balancing, which prevents bending in instances where the thinned silicon substrate layers with wiring, passive components, through-silicon-vias, cavities and/or active tend to not maintain planarity when freestanding without the application of external forces or added balancing Si sub-package layers.
  • the silicon package structure can provide matched coefficient of thermal expansion between silicon chips and package substrates such as ceramic or organic laminates to reduce stress for low K dielectric chips and/or air gap chips as well as to reduce stress to conventional ceramic or organic packages.
  • the silicon space transformer package structures can be designed with silicon sub-package layers that are modular in build and function.
  • one silicon substrate level may be designed to provide standard space transformation wiring whereas another silicon substrate layer may provide integrated decoupling while yet another silicon substrate layer may be designed to provide power and ground wiring, such that when integrated, each separate silicon package layer can be manufactured in a low cost wafer manor and integrated using or reusing a sub-package for low cost and high volume production.
  • Another exemplary embodiment of the invention as applied to one application is to provide very high interconnection density to cache or memory chips and to processor, graphics or game chips so as to provide 10 ⁇ to 10,000 ⁇ increase data rates compared to traditional cache chips and other memory chips such as DRAM or SRAM.
  • the performance of the application may be increased, the power per I/O significantly reduced and the functionality of the device may be scaled significantly compared to current integration between processor and memory type chips.
  • the benefit from silicon packaging, chip stacking and increased I/O density on and off both the memory chips and processor type chips with reduced latency, reduced wire lengths, reduced power, and option to reduce the mux/demux for chip simplification and latency reduction are also key enablers possible with this invention.
  • Another benefit for this invention leverages this high I/O interconnection density for memory chips and chip stacks connected to processor, graphics and game chips with one or more multiprocessors cores and threads is included in this invention.
  • the design for memory chips to benefit from this design enhancement are also called out with nigh I/O density for connection in a chip stack or on silicon package with high I/O interconnection and wiring using one or more levels of Si interposer to processor like chips is also included in this invention for performance enhancement and relative power reductions.

Abstract

Apparatus and methods are provided for high density packaging of semiconductor chips using silicon space transformer chip level package structures, which allow high density chip interconnection and/or integration of multiple chips or chip stacks high I/O interconnection and heterogeneous chip or function integration.

Description

    GOVERNMENT LICENSE RIGHTS
  • This invention was made with Government support under Contract No. H98230-04-C-0920, NBCH3039004 awarded by the DARPA (Defense Advanced Projects Agency) The Government has certain rights in this invention.
  • TECHNICAL FIELD OF THE INVENTION
  • The present invention relates generally to microelectronic packaging of semiconductor chips and, more specifically, apparatus and methods for high density packaging of semiconductor chips using silicon space transformer chip level package structures.
  • BACKGROUND
  • Advances in semiconductor chip fabrication and packaging technologies have enabled the development of highly integrated semiconductor chips and compact chip package structures or electronic modules. For example, silicon integrated circuit chips can be fabricated with high integration density and functionality to form what is referred to as SoC (System on Chip). With SoC designs, the functionality of a complete system (e.g., computer) is integrated on a single silicon die. SoC solutions may not be practical or achievable for chip-level integration when given systems design requires the use of heterogeneous semiconductor technologies to fabricate the necessary system integrated circuits.
  • In addition, when fabricating thinned IC devices, packages, IC stacks or package stacks, the thinned components may be fragile to handle and lead to yield losses if broken or damaged and may become non planar due to stresses such as circuits, wiring or vias causing the thinned component to bend or bow. In some cases, the bow or bending can foe excessive and make handling or assembly difficult or impossible without added costs of mechanical handlers, temporary adhesives or figures and release processes.
  • In this regard, SIP (System In a Package) or SOP (System On a Package) techniques are used to integrate various die technologies (e.g., Si, GaAs, SiGe, SOI) to form a complete system which approximates SoC performance. By way of example, a SOP module can be constructed by mounting a plurality of semiconductor chips to a chip carrier substrate to form a first level (or chip level) package structure. In conventional packaging technologies, chip level carrier substrates are constructed using organic laminate build up or ceramic carrier substrate technologies. Typically, first level package having conductive through-vias (and other conductive wiring) which provide I/O and power interconnects between IC chips on the top-side of the carrier and I/O contacts on a next level packaging structure coupled to the bottom-side of the chip carrier.
  • As the number of circuits on a single chip is increased or as need rises to interconnect chips with much higher density I/O, or for miniaturization or for heterogeneous chip integration, or for integration of chips and stacked chips, the need arises for new packaging which can support higher wiring density and smaller form factors. As the number of circuits on a chip increase, higher density I/O packaging is typically needed or for heterogeneous chip or chip stack integration. However, there are disadvantages associated with organic and ceramic carrier technologies including, for example, high fabrication costs and inherent limitations the practical integration density, I/O density, power density, etc, that may be achieved using organic or ceramic carriers, as is known in the art. It is believed that inherent limitations and high fabrication costs associated with ceramic and organic carrier technologies may limit the ability or desire to use such carrier technologies to meet the increasing demands for higher density and higher performance and low cost packaging solutions.
  • SUMMARY OF THE INVENTION
  • Exemplary embodiments of the invention generally include apparatus and methods for high density packaging of semiconductor chips using silicon space transformer chip level package structures, which allow high density chip interconnection and/or integration of multiple chips or chip stacks nigh I/O interconnection and heterogeneous chip or function integration, and which allow packaging of thinned IC chips using thinned Si package(s) in ways that realize low cost handling and assembly, and reduce the non-planarity of the Si package(s), thinned IC or IC stack and/or module assembly.
  • In one exemplary embodiment of the invention, a silicon space transformer package structure includes a planar silicon substrate having a thickness of less than about 150 microns between first and second opposing planar surfaces. A plurality of conductive through-vias are formed in the planar silicon substrate to provide vertical electrical connections extending through the silicon substrate between the first and second opposing planar surfaces. A wiring layer is formed on the first planar surface of the silicon substrate, which includes a first pattern of electrical contacts and integrated circuit components and redistribution wiring. A second pattern of electrical contacts are formed on the second surface of the silicon substrate. The redistribution wiring and conductive-through vias provide space transform, electrical connections between the first pattern and second pattern of electrical contacts.
  • In various exemplary embodiments of the invention, the first pattern of electrical contacts may be an area array of contacts having a pitch P1 and the second pattern of electrical contacts may be an area array of contacts having a pitch P2, where P2>P1, or the first pattern of electrical contacts may a perimeter array of contacts having a pitch P1 and the second pattern of electrical contacts is an area array of contacts having a pitch P2, where P2>P1.
  • In another exemplary embodiment of the invention, the silicon space transformer package may further comprise a plurality of passive devices formed on the first planar surface of the silicone substrate and electrically connected to the wiring layer.
  • In another embodiment of the invention, the wiring layer of the silicone space transformer package may be a multilayer structure comprising three or more metallization levels. The wiring layer may comprise power and ground wiring levels.
  • The silicon space transformer package may further comprises an open cavity formed therein between the first and second opposing surfaces, in which separate electrical and optical devices can be disposed for high-density packaging or which provide an optical channel to enable optical communications between optical components disposed on opposing sides of the silicon space transformer structures.
  • In yet another exemplary embodiment of the invention, an electronic apparatus includes a first level package structure and a second level package structure. The first level package structure includes a silicon space transformer chip carrier structure and an IC (integrated circuit) chip flip chip mounted on a first surface of the silicon space transformer chip carrier structure using an first pattern of electrical contacts with pitch P1. The second level package substrate includes a second pattern of electrical contacts with pitch P2, wherein P2>P1, formed on a mounting surface thereof. The first level package structure is mounted to the mounting surface of the second level package substrate with the silicon space transformer chip carrier structure providing space transforming electrical interconnect ions between the first pattern of electrical contacts and the second pattern of electrical contacts on the mounting surface of the second level package structure.
  • In another exemplary embodiment of the invention, a method is provided for fabricating a semiconductor package structure beginning with a silicon substrate having a thickness t1 between first and second opposing planar surfaces. A pattern of conductive vias is formed to a depth d below the first surface of silicon substrate, which is less than the thickness t1 of the silicon substrate. A wiring layer is formed on the first surface of the silicon substrate, wherein the wiring layer comprises a first pattern of electrical contacts and redistribution wiring that provides electrical connections between the first pattern of electrical contacts and the conductive vias. A glass handler substrate is bonded to the wiring layer on the first surface of the silicon substrate. The second surface of the silicon substrate is then recessed to expose bottom portions of the blind conductive vias and reduce the thickness t1 of the silicone substrate to a thickness t1′, where t1′ is less than about 150 microns to about 1-10 um. An insulating layer is then formed on the recessed second surface of the silicon substrate with the bottom portions of the conductive vias exposed. Electrical contacts are then formed on the exposed bottom portions of the conductive vias to provide a second pattern of electrical contacts. The second pattern of electrical contacts are bonded to a third pattern of electrical contacts on a second package substrate layer and the mechanical glass handler substrate is removed.
  • The second package substrate layer may be a second silicon substrate having a thickness t2 between first and second opposing planar surfaces and a second pattern of conductive vias formed to a depth d2 below the first surface of second silicon substrate, which is less than the thickness t2 of the second silicon substrate, wherein the third pattern of electrical contacts are electrically connected to exposed end portions of respective conductive vias in the second pattern of conductive vias, wherein prior to removing the glass handler substrate, the method further includes recessing the second surface of the second silicon substrate to expose bottom portions of the second pattern of conductive vias and reduce the thickness t2 of the second silicone substrate to a thickness t2′ , where t2′ is less than about 150 microns to about 1-10 um. forming an insulating layer on the recessed second surface of the second silicon substrate with the bottom portions of the second pattern of conductive vias exposed, and forming electrical contacts on the exposed bottom portions of the conductive vias to provide a further pattern of electrical contacts.
  • In another exemplary embodiment, prior to bonding the second pattern of electrical contacts to the third pattern of electrical contacts on the second package substrate layer, the method further includes etching an open cavity through the silicon substrate from the recessed second surface to the first surface thereof, etching a closed end cavity in the first surface of the second silicon substrate down to a depth below the depth d2 of the second pattern of conductive vias, aligning the open cavity and closed end cavity when bonding the first and second silicon substrates; and opening the closed end cavity during recessing the second surface of the second silicon substrate.
  • These and other exemplary embodiments, aspects, features and advantages of the present invention will be described or become apparent from the following detailed description of exemplary embodiments, which is to be read in connection with the accompanying drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a schematic side-view of a semiconductor package that is constructed using a silicon space transformer package structure according to an exemplary embodiment of the invention.
  • FIG. 2 is a schematic side-view of a semiconductor package that is constructed using a silicon space transformer package structure according to another exemplary embodiment of the invention.
  • FIG. 3 is a schematic side-view of a semiconductor package that is constructed using a silicon space transformer package structure according to another exemplary embodiment of the invention.
  • FIG. 4 is a schematic side-view of a semiconductor package that is constructed using a silicon space transformer package structure according to another exemplary embodiment of the invention.
  • FIGS. 5A, 5B and 5C are schematic high-level illustrations of silicon space transformer package structures having open cavities for optical communications applications, according exemplary embodiments of the invention.
  • FIGS. 6A˜6J schematically illustrate a method for fabricating a silicon space transformer package structure according to an exemplary embodiment of the invention.
  • FIGS. 7A˜7E schematically illustrate a method for fabricating a silicon space transformer package structure according to an exemplary embodiment of the invention.
  • DETAILED DESCRIPTION OF EXEMPLARY EMBODIMENTS
  • Exemplary embodiments of the invention as discussed herein generally include apparatus and methods for high density packaging of semiconductor chips using silicon space transformer chip level package structures. For instance, FIGS. 1˜4 and 55C are high-level schematic illustrations of various chip package structures in which high-density chip level packaging may be realized using one or more thinned silicon space transformer substrate layers. As explained in further detail below, silicon space transformer package structures according to exemplary embodiments of the invention may utilize state of the art silicon manufacturing techniques which follow CMOS back-end-of line design rules to realize low-cost fabrication of silicon space transformer package structures having high density wiring and conductive through-via interconnects which provide space transformation using one or more thinned silicon space transformer substrate layers for high I/O density packaging of one or more IC chips. A silicon space transformer package structure may have conductive through-vias that permit electrical connections to extend (z-direction) through the one or more thin silicon space transformer substrate layers and multilevel wiring structures formed on surfaces of one or more of the thin silicon space transformer substrate layers to provide redistribution of electrical interconnections (x-y direction) between top-side and bottom-side I/O contacts on the one or more silicon space transformer substrate layers. The space transformation may be from an area array pitch to another area array pitch of same or different pitch, or from a perimeter array to an area array of contacts, or from a custom I/O footprint to another custom I/O footprint of I/O contact and such space transformation may be designed to provided fan in distribution wiring, fan out distribution wiring, or a combination thereof. The space transformation wiring may include signal, power and/or ground wiring.
  • Exemplary structures and methods for constructing semiconductor chip packages using silicon space transformer package structures will now be described more fully with reference to the accompanying drawings. It is to be understood that the thickness and dimensions of the semiconductor package components, structures, layers, regions, etc., as depicted in the figures are not drawn to scale, but are merely depicted for ease of illustration and exaggerated for clarity. It is to be further understood that when a layer is described as being “on” or “over” another layer or substrate, such layer may be directly on the other layer or substrate, or intervening layers may also be present. Moreover, elements that are similar or the same will be denoted by the same reference numeral throughout the drawings.
  • FIG. 1 is a schematic side-view of a semiconductor package (10) that is constructed using a silicon space transformer package structure according to an exemplary embodiment of the invention. The semiconductor package (10) generally comprises a stacked structure comprising an integrated circuit (IC) chip (11), a silicon space transformer substrate (12) and a package substrate (13). The thinned IC chip (11) is flip-chip mounted to the silicon space transformer substrate (12) using a high density pattern of solder bumps (e.g., micro C4s) (15), and the silicon space transformer substrate (12) is mounted to the package substrate (13) using a lower density pattern of solder C4bumps (15). The silicon space transformer substrate (12) comprises a wiring layer (12 a) formed on a top-side surface of a thinned silicon substrate (12 b) and a plurality of conductive through-vias (12 c) formed in the silicon substrate (12 b). The thinned silicon substrate (12 b) has a thickness of about 150 microns or less, preferably in a range of less than about 70 microns to about 1-10 microns. The wiring layer (12 a) comprises a footprint of contact pads corresponding to the micro bumps (14) and redistribution wiring, which provide electrical connections to the conductive through vias (12 c).
  • The wiring layer (12 a) and conductive through vias (12 c) provide space transforming interconnections between the top-side contacts (14) and bottom-side I/O contacts (15) of the silicon space transformer substrate (12). For example, the chip (11) may be formed having a perimeter array of I/O and power contacts/pads formed on an active surface of the chip (11), whereby the silicon space transformer substrate (12) provides a space transformation from the perimeter array of contacts (14) to an area array of contacts (15). In such case, the silicon space transformer substrate (12) can be the same size (footprint area) of the chip (11) whereby the wiring layer (12 a) and conductive through vias (12 c) can redistribute the perimeter array contacts (14) to the area array contacts (15).
  • The package substrate (13) may be an organic substrate, a ceramic substrate, a silicon substrate, etc. that provides a first level package structure, which can be electrically and mechanically mounted to a second level package such as a printed circuit board or printed wiring board, etc. The active surface of the silicon space transformer substrate (12) can include high-density top-die interconnect wiring (12 a) and can also serve to support local integrated passive elements and/or active circuit technology depending on the application design.
  • FIG. 2 is a schematic side-view of a semiconductor package (20) that is constructed using a silicon space transformer structure according to another exemplary embodiment of the invention. The semiconductor package (20) is similar to that of FIG. 1 in that the package (20) comprises a stacked structure including the integrated circuit (IC) chip (11), silicon space transformer substrate (12) and a package substrate (13) as depicted in FIG. 1. The exemplary electronic package (20) further includes a second silicon space transformer substrate (16) disposed between the first silicon space transformer substrate (12) and the package substrate (13). The second silicon space transformer substrate (16) may comprise a wiring layer (16 a) formed on a top surface of a thinned silicon substrate (16 b) and a plurality of conductive through-vias (16 c) formed in the silicon substrate (16 b), passive circuit components, active circuit components.
  • FIG. 2 is an exemplary embodiment of a semiconductor package where a silicon space transformer package structure comprises a stacked structure of first and second thinned silicon space transformer substrates (12) and (16). The stacked structure of silicon space transformer substrates (12) and (16) serves various functions. For instance, the additional wiring layer (16 a) and conductive through-vias (16 c) of the second silicon space transformer substrate (16) may provide additional space transforming interconnections between the bottom-side contacts (15) of the first space transformer substrate (12) and bottom-side I/O contacts (17) of the silicon space transformer substrate (16). Moreover, the addition of the second silicon space transformer substrate (16) provides added strength in that the chip (11) and stacked carriers (12) and (16) can be formed as a stand alone first level package structure (chip module) that can be mounted to the package substrate (13) as part of a second level package structure or system board.
  • FIG. 3 is a schematic side-view of a semiconductor package (30) that is constructed using a silicon space transformer structure according to another exemplary embodiment of the invention. The semiconductor package (30) is similar to that of FIG. 1 in that the package (30) comprises a stacked structure including the integrated circuit (IC) chip (31), silicon space transformer substrate (32) and a package substrate (33). The IC chip (31) is flip-chip mounted to the space transformer substrate (32) using a pattern of high density micro C4s (35), and the silicon space transformer substrate (32) is electrically and mechanically mounted to the package substrate (33) using a lower density array of C4s (35). The silicon space transformer substrate (32) comprises a wiring layer (32 a) formed on a top surface of a thinned silicon substrate (32 b) and a plurality of conductive through-vias (32 c) formed in the silicon substrate (32 b).
  • The semiconductor package (30) differs from the package (10) of FIG. 1 in that the silicon space transformer substrate (32) has a larger area footprint than the chip (31). In this regard, the chip (11) may be formed having an area array of I/O and power contacts/pads formed on an active surface of the chip (31), whereby the silicon space transformer substrate (32) provides a space transformation from the high-density area array of contacts (34) to a lower density area array of contacts (35). In such case, the footprint of the space transformer substrate (32) can be larger in area than the chip (11) to provide the necessary area to redistribute the area array contacts (34) to larger pitch area array of contacts (35). The package substrate (33) may be an organic substrate, a ceramic substrate, a silicon substrate, etc. that provides a first level package structure, which can be electrically and mechanically mounted to a second level package such as a printed circuit board or printed wiring board, etc.
  • FIG. 4 is a schematic side-view of a semiconductor package (40) that is constructed using a silicon space transformer structure according to another exemplary embodiment of the invention. Similar to the exemplary embodiments of FIGS. 1˜3 discussed above, the electronic package (40) generally comprises a stacked structure comprising an integrated circuit (IC) chip (41), a silicon space transformer substrate (42) and a package substrate (43). The IC chip (41) is flip-chip mounted to the space transformer substrate (42) using a high density array of micro C4s (44) , and the space transformer (42) is mounted to the package substrate (43) using a lower density array of C4s (45). The silicon space transformer substrate (42) comprises a wiring layer (42 a) formed on a top-side surface of a thinned silicon substrate (42 b) and a plurality of conductive through-vias (42 c) formed in the silicon substrate (42 b). The thinned silicon substrate (12 b) has a thickness of about 150 microns or less, preferably in a range of about 70 microns to about 10 microns.
  • FIG. 4 illustrates details of an exemplary embodiment of the wiring layer (42 a) which comprises a multilayer metallization structure that provides high-density space transforming electrical connections between the micro bumps (44) and the conductive through vias (42 c) as well as integration of passive components within the silicon space transformer substrate (42). More specifically, in FIG. 4, the wiring layer (42 a) comprises a plurality of metallization levels M1, M2, M3 that are formed in one or more layers of dielectric/insulating material (47) to provide wiring such as for signal, voltage and ground interconnections. For instance, the metallization layer M1 is formed to provide contact pads that enable electrical connection between the wiring and the conductive through vias (42 c), The metallization layer M2 provide ground wiring to provide ground redistribution of lower pitch ground contacts (45 a) on the bottom-side of the silicon carrier (42) to tighter pitch ground contacts (44 a) on the topside of the silicon space transformer substrate (42). The metallization layer M3 provides voltage wiring to provide power redistribution of lower pitch voltage contacts (45 b) on the bottom-side of the silicon space transformer substrate (42) to tighter pitch voltage contacts (44 b) on the topside of the silicon space transformer substrate (42). Moreover, the same metallization layers M1 and/or M2 or additional metallization layers may be used to form I/O wiring and or electrical shielding patterns.
  • Moreover, in the exemplary embodiment of FIG. 4, trench-based decoupling capacitors (48) are integrally formed as part of the silicon substrate (42 b) whereby chip ground and voltage connections (44, 45) between the silicon carrier (42) and chip (41) and connected to the trench-based capacitors via interlevel contact plugs between the metallization level wiring and device terminals on the surface of the silicon substrate (42 b). In this embodiment, chip itself may contain a vastly greater number of I/O and power/ground connections than may be effectively handled by standard first-level packaging at present. The BEOL wiring and conductive through vias permit efficient area array signal, power and round interconnection through the thinned silicon space transformer packages.
  • The structures of FIGS. 1-4 are illustrative of semiconductor chip packages according to exemplary embodiments of the invention which may be constructed using silicon carrier fabrication technologies which follow CMOS back-end-of line design rules that enable low-cost fabrication of silicon carriers having high density wiring and through via interconnects which are sufficient to support high-density I/O SOP packaging solutions. Silicon space transform chip package structures may be constructed using one or more thinned silicon space transformer substrate layers that are fabricated starting with a bulk silicon wafer having an initial bulk thickness of between 700 to 800 microns, which is thinned to less than about 150 microns thick and preferably, less than 70 to 1-10 microns.
  • One significant advantage in using silicon carrier packages for high density packaging of silicon chips, for example, is that the silicon package substrates layers (or carriers) and the thinned chip have the same or similar CTE (coefficient of thermal expansion). In this regard, during thermal cycling, the expansion and contraction between the silicon carrier packages and silicon chips is matched, thereby minimizing the stresses and strains that may be generated in the contacts (e.g., solder balls) between chip and substrate, thereby allowing high-density micro bump interconnections to scale to smaller sizes.
  • However, silicon space transformer structures that are built with one or more thinned silicon substrate layers having integrally formed metallic wiring, passive/active components, through-silicon-vias, cavities, etc, may not be able to maintain planarity when freestanding due to local bending caused by thermal stresses resulting from CTE mismatches between the various materials and the ultra thin silicon layers. For example, in the exemplary package structure of FIG. 1, CTE mismatches between the materials (dielectric and metal layers) forming the wiring layer (12 a) and the silicon material layer (12 b) of the space transformer (12) can cause local bending of the package as a result of thermal cycling and temperature excursions during fabrication when, for example, the chip (11) is being mounted on the package (12).
  • It is to foe appreciated that silicon space transformer package structures such as depicted in FIGS. 1-4 with one or more silicon package levels can be designed based on stress balancing techniques to minimizes the overall (global) bending of the overall package structure, minimize local bending along the region of the chip/silicon transformer package structure and/or minimize in-plane expansion between the different package layers, and thus reduce non-planarity of the structures during handling, processing and assembly. It is important to minimize thermal stressed and maintain planarity of the package structures during handling and assembly operations especially for thinned IC, thinned Si package(s) and module assembly with ceramic, organic or alternate base package and cooling hardware, so as to reduce costs, enhance yields and improve mechanical integrity of the components during fabrication and normal usage. Stress balancing of package structures may be achieved any number of ways depending on the package architecture based on optimizing the thickness, materials, dimensions, thermal properties (e.g., CTE), mechanical properties (modulus), etc, of the wiring layers, the silicone substrate layers, the thinned IC chips, the IC chips, through vias, dielectric layers, and thermal footprints of the IC chips and/or the process conditions, etc, so as to achieve mechanical stress balance between Si package(s) or the Si package(s) and thinned IC or the Si package is), thinned IC and module (with cooling cap, spreader ceramic or organic package during fabrication, assembly, usages, etc.
  • For instance, a silicon space transformer structure formed of a single layer of silicon such as depicted in FIG. 1 or FIG. 3, for example, may be designed such that the frontside wiring layers and bottom side passivation layer/metal bond pads (or bottom side wiring layer are formed with certain dielectric and metallic materials such that the effective CTE and modulus (stiffness) of the metal/dielectric stacks/layers on the front and back sides of the silicon substrate are the same or similar, so as to maintain the planarity of the structure. Moreover, silicon space transformer packages with multiple levels of thin silicon substrate layers may be designed to have an effective thickness/stiffness that is matched to an organic substrate to which the silicon space transformer is mounted. With this design, despite possible in-plane expansion due to CTE mismatch between the organic substrate and the silicon substrate layers, this can reduce or eliminate local bending package structured in the footprint region of the stacked silicon space transformer package structure in the footprint region of package structure.
  • In other exemplary embodiments of the invention, silicon space transformer package structures can be formed having cavities in which separate electrical and optical devices can be disposed for high-density packaging or which provide an optical channel to enable optical communications between optical components disposed on opposing sides of the silicon space transformer structures. FIG. 5A illustrates a single layer silicon space transformer package structure (50) having a cavity (51) etched from topside to bottom side of the silicon substrate. FIG. 5B illustrates a double layer silicon space transformer package structure (60) having a first silicon substrate layer 60 a and a second silicon substrate layer 60 b having respective cavities 61 a and 61 b that are aligned to each other when the layers (60 a) and (60 b) are stacked. FIG. 5C illustrates a double layer silicon space transformer package structure (70) having a first silicon substrate layer 70 a and a second silicon substrate layer 70 b having respective cavities 71 a and 71 b that are shaped differently, but aligned to each other when the layers (70 a) and (70 b) are stacked. The cavities in the exemplary embodiments of FIGS. 5A˜5C provide channels that permit light to pass for means of optical communications such as when using photo detectors to receive light or VCSEL chips to send light to corresponding optical waveguides, fibers or links along with other electronic circuit chips that may be mounted on the silicon space transformer package structure or a second level package substrate on which the transformer package structure is mounted.
  • Various methods for fabricating silicon space transformer carriers will now be discussed in further detail below. For example, FIGS. 6A˜6J are schematic diagrams that illustrate a method for fabricating a silicon space transformer package structure according to an exemplary embodiment of the invention. In particular, FIGS. 6A˜6J illustrate a method for constructing a silicon space transformer package structure comprising a plurality of silicon sub-package layers in which a glass handler is implemented for building and subsequent release/removal. FIG. 6A illustrates initial steps in the exemplary process where a semiconductor silicon wafer (100) of thickness t is etched to form a plurality of annular trenches (101) to a depth d below a front side surface of the wafer (100). Each annular trench (101) surrounds (encircles) an inner core (or post) (102) of substrate material. The annular vias (101) are etched in a pattern over the wafer (100) except for a given area (101 a) in which cavities will be subsequently formed for embedding of separate electronic devices (e.g., optoelectronic devices). The annular trenches (101) can be formed using conventional methods including forming an etch mask on the surface of the wafer (100) and etching the wafer (100) using any suitable anisotropic etching process such as RIE (reactive ion etching).
  • Referring to FIG. 6B, following the etching of the annular trenches (101), a barrier/adhesion layer (103) (or liner layer) is formed to line the exposed insulated sidewall and bottom surfaces of the annular trenches (101). The liner layer (103) may be deposited in one layer or stacked layers. For instance, a first layer of silicon oxide or silicon nitride may be deposited to provide a barrier layer. For example, the liner (103) may include an oxide that is grown or deposited using known techniques, such as, thermal oxidation, CVD (chemical vapor deposition), or a nitride that is formed by CVD, etc. In another embodiment. The liner layer (103) may include added filler material such as poly-Si other material so that the liner layer (102) provides sufficient insulation characteristics while forming a controlled stress insulator layer having a CTE that is more closely matched to that of the silicon material of the substrate (100). The liner layer (103) may further include an adhesion layer (or seed layer) formed on the barrier layer to that forms good adhesion to both the barrier layer metal and to a via conductor metal (e.g., tungsten) that is used to fill or plate the annular trenches (101). In particular, the liner layer (103) may have a seed layer formed by depositing one or more conformal layers of TaN, Ta, Ru, Ti, and /or TiN using PVD, CVD, ALD, etc. A single layer of TiN functions as both a barrier metal and adhesion layer. If a barrier metal other than TiN is utilized, an adhesion layer may be deposited including adhesion metals such as chromium, titanium or titanium tungsten, using known techniques.
  • After formation of the liner layer (103), a metallization process is performed to overfill the via annular trenches (101) with a desired conductive material (104) followed by a planarization process to remove excess metal at the top surface of the substrate (100). The metallization and planarization process results in formation of a plurality of electrically isolated, close-ended conductive annular vias (105) as depicted in FIG. 6C, wherein each conductive annular via (105) comprises an annular ring of conductive material (104) and liner layer (103) surrounding an inner core (102) of silicon. The annular trenches (101) may be filled by depositing a conformal layer of metallic material such as tungsten (W) and/or other conductive materials preferably having a CTE comparable to that of silicon. The metal deposition may be performed using suitable methods such as CVD or PVD, for example, depending on the conductive material used and the diameter and depth of the annular via trenches (101). Thereafter, planarization may be performed using a CMP (chemical mechanical polishing) process to planarize the surface of the substrate (100) and remove the excess layer of metal material (104) and metal seed layer of the liner (103) down to the insulating (barrier) layer of the liner (103) on the surface of the substrate (100).
  • Next, referring to FIG. 6D, a BEOL (back end of line) process is performed using conventional methods to form a metal wiring layer (110) comprising one or more levels of metallization (111˜113) embedded in insulating material (115) on the upper surface of the wafer (100) over the vias (105). The wiring layer (110) may include a first metal layer that forms contact pads (111) for each via (105), and metal layers to form wiring levels (112) and (113) which are interconnected by interlevel contact plugs. The insulating layer (115) would be formed a stack of ILD (interlayer dielectric) layers that are deposited for each metal layer. Portions of the third wiring layer (113) are exposed through openings in the upper layer of insulating material (115) to provide terminal pads that are coated with a solder-wettable layer (114) such as Ni/Au. The wiring layer (110) may be constructed using standard CMOS BEOL fabrication processes. For example, the metal layers (111), (112) and (113) and interlevel contact plugs may be formed of copper using single or dual damascene processing techniques.
  • Referring still to FIG. 6D, following formation of the wiring layer (110), an etch process is performed to form an opening in the insulating layer (115) down to the surface of the silicon substrate (100) in the surface region (101 a). The opening (116) in the insulating layer (115) defines the footprint of a cavity to be subsequently etched in the silicon substrate (100), in later processing as discussed below.
  • Next, referring to FIG. 6E, a handler substrate (120) having a glass substrate (121) coated with a layer of bonding/adhesive material (122) is bonded to the substrate (100) with the completed BEOL wiring (110). After the handier substrate (120) is laminated to the substrate (100), the backside of the silicon wafer (100) is subjected to a grinding and polishing process to expose the bottom ends of the annular vias (105) using known techniques. This process may be performed using conventional grinding, polishing and/or chemical etch processes. For instance, a backside grind and polish can be performed on the back surface of the wafer (100) to remove the silicon material within 10-20 microns of bottom of the vias (105). A wet etch can then be performed to expose the bottom portions of the liner (103) and recess the silicon material slightly past the bottoms of the vias (105). A blanket layer of oxide (125), or other insulating material is deposited and polished (via CMP) to expose the bottoms of the vias (150), such that the back surface of the silicon wafer (100) is thus completely insulated by the insulating layer (125) and but with the bottoms of the vias (105) exposed. Thereafter, metal pads (130) (e.g., Cr/Cu BLM) can be formed over the exposed bottom surfaces of the vias (105) using known techniques, resulting in the silicon carrier structure depicted in FIG. 6F. The insulation layer (125) insulates the contacts (130) from the silicon substrate (100).
  • Referring to FIG. 6G, a backside lithographic etch process is performed using known techniques to each a cavity (140) in the backside of the silicon substrate (100) that is aligned to the opening (116) in the insulating layer (115) of the layer (110) in region (101 a) of the substrate. FIG. 6G illustrates an intermediate stage of fabrication in which a first sub-package layer of a silicon space transformer package structure is formed and mechanically coupled to a glass handler (120). FIGS. 6F˜6J schematically illustrate subsequent processing steps in which a second sub-package layer is formed and mechanically/electrically mounted to the first sub-package layer to form the complete silicone space transformer package structure.
  • FIG. 6H schematically illustrates a second sub-package layer that is formed starting with a silicon substrate (200) of thickness t2. A plurality of blind annular vias (205) are formed to a depth d2 below a top surface of the substrate (200) having a pattern similar to the pattern of the annular vias (105) of the first sub-package layer. A cavity (240) is etched in the substrate (200) to a depth below the bottoms of the annular vias (205). An insulating layer (225) and metal pads (230) are formed on the top surface of the substrate (200) where the metal pads (230) are formed on the open ends of the annular vias (205). The second sub-package layer can be fabricated using the same or similar methods discussed with reference to FIGS. 6A˜6C and 6G.
  • Referring to FIG. 6I, the backside of the first substrate and frontside of the second substrate (200) may be bonded together via copper bonding the corresponding metallic pads (130) and (230) on the respective surfaces. Thereafter, using the same or similar techniques as described with reference to FIG 6F, the second substrate (200) is subjected to a backside grind process to expose the bottom, closed-end of the conductive annular vias (205) and open the cavity (240), followed by formation of an insulating layer (240) and metal pads (250) (e.g., BLM or NiAu bond pads) on the backside surface of the second substrate (200). Thereafter, the mechanical glass carrier (120) can be removed by laser release of adhesive with appropriate cleaning or thermal release or IR release depending on the use of such mechanical handler.
  • FIGS. 7A˜7K are schematic diagrams that illustrate a method for fabricating a silicon space transformer package structure according to another exemplary embodiment of the invention. In particular, FIGS. 7A˜7E illustrate a method for constructing a silicon space transformer package structure comprising a plurality of silicon sub-package layers in which a silicon wafer is used as a mechanical handlers for building the silicon space transformer package structure. FIG. 7A schematically illustrates an intermediate stage of fabrication where a first sub-package layer L1 and second sub-package layer L2 are bonded frontside face-to-frontside face. The first sub package layer L1 is formed starting with a silicon substrate (300) of thickness t3. A plurality of blind annular vias (305) are formed to a depth d2 below a top surface of the substrate (300) An insulating layer (325) and metal pads (330) are formed on the top surface of the substrate (300) where the metal pads (330) are formed on the open ends of the annular vias (305). The second sub package layer L2 is formed starting with a silicon substrate (400) of thickness t4. A plurality of blind annular vias (405) are formed to a depth d2 below a top surface of the substrate (400) An insulating layer (425) and metal pads (430) are formed on the top surface of the substrate (400) where the metal pads (430) are formed on the open ends of the annular vias (405). In the exemplary embodiment, the annular vias (305) and (405) in the first and second sub-package layers L1 and L2 are formed to have the same layout and pattern, although other patterns may be implemented based on the application. The first and second sub-package layers L1 and L2 can be fabricated using the same or similar methods discussed with reference to FIGS. 6A˜6C and 6G. The frontside of the first substrate (300) and frontside of the second substrate (400) may be bonded together via copper bonding the corresponding metallic pads (330) and (430) on the respective surfaces, resulting in the structure of FIG. 7A.
  • Thereafter, using the same or similar techniques as described with reference to FIG 6F, the first substrate (300) is subjected to a backside grind process to expose the bottom, closed-end of the conductive annular vias (305), resulting in the structure schematically illustrated in FIG. 7B. IN this process, the thickness of the substrate (300) is reduced from t3 to t3′. Next, as depicted in FIG. 7C, a BEOL process is performed using conventional methods to form a metal wiring layer (310) over the backside surface of the substrate (300) to form one or more levels of wiring as desired. Referring to FIG. 7D, a photolithographic etch process is then performed to form a cavity (540) from the backside surface of the substrate (300) through the two substrate layers (300) and (400) to a depth just below the depth of the annular vias (405) below the frontside surface of the substrate (400).
  • Thereafter, using the same or similar techniques as described with reference to FIG. 6F, the second substrate (400) is subjected to a backside grind process to expose the bottom, closed-end of the conductive annular vias (405) and open the cavity (540), followed by formation of an insulating layer (440) and metal pads (450) (e.g., BLM or NiAu bond pads) on the backside surface of the second substrate (400) .
  • The methods described above are illustrative of exemplary embodiments of the invention for constructing semiconductor chip packages using silicon carrier fabrication technologies which follow CMOS back-end-of line design rules to enable low-cost fabrication of silicon carriers having high density wiring and through via interconnects which are sufficient to support high-density I/O SOP packaging solutions. Silicon space transform chip package structures may be constructed using one or more thinned silicon space transformer substrate layers having through-silicon-vias which permit electrical connections to extend through the one or more silicon substrate layers and high density wiring layers in electrical contact with the conductive through vias to provide space transformation nigh I/O density packaging of one or more thinned IC chips. Each layer of silicon may be fabricated from a bulk silicon wafer having an initial bulk thickness of between 700 to 800 microns, which is thinned to less than about 150 microns thick and preferably, less than 70 to 1-10 microns thickness and designed and fabricated using stress balanced structures such that the non-planarity due to wiring, vias, circuits and assembly are reduced or minimized to aide in handling and assembly.
  • The space transformation may be realized using a multilevel wiring layer that includes signal, power and/or ground wiring. The space transformation may be from an area array pitch to another area array pitch of same or different pitch, may be from perimeter array to area array or custom I/O footprint to another I/O footprint, and may be fan in, fan out or a combination. In other embodiments described above, passive functionality may be integrated within one or more silicon layers including, for example, decoupling capacitors, inductors, and/or resistors which can help to reduce or eliminate the need for integrated passive function on the chip and/discrete or integrated passives on the package and board.
  • The use of the multilevel BEOL wiring levels formed on one side or both sides and/or more than one thinned silicon sub package layers not only provides increased wiring such as for signal, voltage and ground interconnections, but also provide a mechanical benefited of added thickness for enhanced mechanical handling and reduced non-planarity during processing, manufacturing and/or assembly, which helps to provide planarity to the silicon space transformer structure such as by means of stress balancing, which prevents bending in instances where the thinned silicon substrate layers with wiring, passive components, through-silicon-vias, cavities and/or active tend to not maintain planarity when freestanding without the application of external forces or added balancing Si sub-package layers. The silicon package structure can provide matched coefficient of thermal expansion between silicon chips and package substrates such as ceramic or organic laminates to reduce stress for low K dielectric chips and/or air gap chips as well as to reduce stress to conventional ceramic or organic packages.
  • The silicon space transformer package structures can be designed with silicon sub-package layers that are modular in build and function. For example, one silicon substrate level may be designed to provide standard space transformation wiring whereas another silicon substrate layer may provide integrated decoupling while yet another silicon substrate layer may be designed to provide power and ground wiring, such that when integrated, each separate silicon package layer can be manufactured in a low cost wafer manor and integrated using or reusing a sub-package for low cost and high volume production.
  • Another exemplary embodiment of the invention as applied to one application is to provide very high interconnection density to cache or memory chips and to processor, graphics or game chips so as to provide 10× to 10,000× increase data rates compared to traditional cache chips and other memory chips such as DRAM or SRAM. In this way the performance of the application may be increased, the power per I/O significantly reduced and the functionality of the device may be scaled significantly compared to current integration between processor and memory type chips. The benefit from silicon packaging, chip stacking and increased I/O density on and off both the memory chips and processor type chips with reduced latency, reduced wire lengths, reduced power, and option to reduce the mux/demux for chip simplification and latency reduction are also key enablers possible with this invention. The change specifically from I/O density of <100 I/O per mm2 to I/O density of >500 I/O or >1000 I/O per mm2 for memory chips, processor chips, graphics chips, game chips and other IC's. Another benefit for this invention leverages this high I/O interconnection density for memory chips and chip stacks connected to processor, graphics and game chips with one or more multiprocessors cores and threads is included in this invention. The design for memory chips to benefit from this design enhancement are also called out with nigh I/O density for connection in a chip stack or on silicon package with high I/O interconnection and wiring using one or more levels of Si interposer to processor like chips is also included in this invention for performance enhancement and relative power reductions.
  • Although exemplary embodiments have been described herein with reference to the accompanying drawings for purposes of illustration, it is to be understood that the present invention is not limited to those precise embodiments, and that various other changes and modifications may be affected herein by one skilled in the art without departing from the scope of the invention.

Claims (28)

1. A silicon space transformer package structure, comprising:
a planar silicon substrate having a thickness of less than about 150 microns between first ana second opposing planar surfaces;
a plurality of conductive through-vias formed in the planar silicon substrate to provide vertical electrical connections extending through the silicon substrate between the first and second opposing planar surfaces;
a wiring layer formed on the first planar surface of the silicon substrate, the first wiring layer comprising a first pattern of electrical contacts and integrated circuit components and redistribution wiring;
a second pattern of electrical contacts formed on the second surface of the silicon substrate
wherein the redistribution wiring and conductive-through vias provide space transform electrical connections between the first pattern and second pattern of electrical contacts.
2. The silicon space transformer package structure of claim 1, wherein the first pattern of electrical contacts is an area array of contacts having a pitch P1 and wherein the second pattern of electrical contacts is an area array of contacts having a pitch P2, where P2>P1.
3. The silicon space transformer package structure of claim 1, wherein the first pattern of electrical contacts is a perimeter array of contacts having a pitch P1 and wherein the second pattern of electrical contacts is an area array of contacts having a pitch P2, where P2>P1.
4. The silicon space transformer package structure of claim 1, further comprising a plurality of passive devices formed on the first planar surface of the silicone substrate and electrically connected to the wiring layer.
5. The silicon space transformer package structure claim 1, wherein the wiring layer is a multilayer structure comprising three or more metallization levels.
6. The silicon space transformer package structure of claim 5, wherein the wiring layer comprise power and ground wiring levels.
7. The silicon space transformer package structure of claim 1, wherein the planar silicon substrate comprises an open cavity formed therein between the first and second opposing surfaces.
8. The silicon space transformer package structure of claim 1, further comprising:
a second planar silicon substrate having a thickness of less than about 150 microns between first and second opposing planar surfaces thereof;
a plurality of conductive through-vias formed in the second planar silicon substrate to provide vertical electrical connections extending through the second silicon substrate between the first and second opposing planar surfaces thereof
a wiring layer formed on the first planar surface of the second silicon substrate, the wiring layer comprising a third pattern of electrical contacts and redistribution wiring;
a fourth pattern of electrical contacts formed on the second surface of the second silicon substrate,
wherein the first and second planar silicon substrates are mechanically bonded together with electrical contacts between the second pattern of electrical contacts on the second surface of the first silicon substrate and the third pattern of electrical contacts on the first surface of the second silicon substrate.
9. The silicon space transformer package structure of claim 8, wherein the second silicon substrate provides a space transformation between the second pattern of electrical contacts and the fourth pattern of electrical contacts.
10. An electronic apparatus, comprising:
a first level package structure comprising a silicon space transformer chip carrier structure and an IC (integrated circuit) chip flip chip mounted on a first surface of the silicon space transformer chip carrier structure using an first pattern of electrical contacts with pitch P1; and
a second level package substrate comprising a second pattern of electrical contacts with pitch P2, wherein P2>P1, formed on a mounting surface thereof,
wherein the first level package structure is mounted to the mounting surface of the second level package substrate with the silicon space transformer chip carrier structure providing space transforming electrical interconnections between the first pattern of electrical contacts and the second pattern of electrical contacts on the mounting surface of the second level package structure.
11. The electronic apparatus of claim 10, wherein the silicon space transformer chip carrier structure comprises:
a first planar silicon substrate having a thickness of less than about 150 microns between first and second opposing planar surfaces;
a plurality of conductive through-vias formed in the first planar silicon substrate to provide vertical electrical connections extending through the first silicon substrate between the first and second opposing planar surfaces;
a wiring layer formed on the first planar surface of the silicon substrate, the first wiring layer comprising the first pattern of electrical contacts and redistribution wiring;
wherein the redistribution wiring and conductive-through vias provide space transform electrical connections between the first pattern and second pattern of electrical contacts.
12. The electronic apparatus of claim 10, wherein the first pattern of electrical contacts is an area array of contacts having a pitch P1 and wherein the second pattern of electrical contacts is an area array of contacts having a pitch P2, where P2>P1.
13. The electronic apparatus of claim 10, wherein the first pattern of electrical contacts is a perimeter array of contacts having a pitch P1 and wherein the second pattern of electrical contacts is an area array of contacts having a pitch F2, where P2>P1.
14. The electronic apparatus of claim 10, further comprising a plurality of passive devices formed on the first planar surface of the first silicone substrate and electrically connected to the wiring layer.
15. The electronic apparatus of claim 10, wherein the wiring layer is a multilayer structure comprising three or more metallization levels.
16. The electronic apparatus of claim 15, wherein the wiring layer comprise power and ground wiring levels.
17. The electronic apparatus of claim 10, wherein the planar silicon substrate comprises an open cavity formed therein between the first surface thereof and the mounting surface of the second level package substrate and an electronic device disposed in the open cavity and mounted on the second level package substrate.
18. The electronic apparatus of claim 10, wherein the silicon space transformer carrier structure comprises a stack of two or more planar silicon substrates each having a thickness of less than about 150 microns to about 1-10 um.
19. The electronic apparatus of claim 10, wherein the first pattern of electrical contacts of the silicon space transformer carrier structure provide an array of pads for interconnection to one or more integrated circuit (IC) chips with I/O interconnection densities greater than about 500 I/O per mm2.
20. The electronic apparatus of claim 19, wherein the I/O interconnection densities are greater than about 1000 I/O per mm2.
21. The electronic chips apparatus of claim 19, wherein the one or more IC chips include a memory chip, a chip stack, a processor chip, a graphics chip, a game chip, an image sensing chip or a combination of such chips, which are separately mounted on the silicon space transformer carrier structure or mounted in a stacked configuration on the silicon space transformer carrier structure.
22. The electronic apparatus of claim 18, wherein each of the planar silicon substrates have conductive through-vias formed therein to provide vertical electrical connections extending between top and bottom sides of the stack of planar silicone substrates.
23. A method for fabricating a semiconductor package structure, comprising:
providing a silicon substrate having a thickness t1 between first and second opposing planar surfaces;
forming a pattern of conductive vias to a depth d below the first surface of silicon substrate, which is less than the thickness t1 of the silicon substrate;
forming a wiring layer on the first surface of the silicon substrate, wherein the wiring layer comprises a first pattern of electrical contacts and redistribution wiring that provides electrical connections between the first pattern of electrical contacts and the conductive vias;
bonding a glass handler substrate to the wiring layer on the first surface of the silicon substrate;
recessing the second surface of the silicon substrate to expose bottom portions of the blind conductive vias and reduce the thickness t1 of the silicone substrate to a thickness t1′, where t1′ is less than about 150 microns to about 1-10 um;
forming an insulating layer on the recessed second surface of the silicon substrate with the bottom portions of the conductive vias exposed; and
forming electrical contacts on the exposed bottom portions of the conductive vias to provide a second pattern of electrical contacts;
bonding the second pattern of electrical contacts to a third pattern of electrical contacts on a second package substrate layer; and
removing the mechanical glass handler substrate.
24. The method of claim 23, wherein the second package substrate layer comprises an organic laminate substrate.
25. The method of claim 24, further comprising etching an open cavity through the silicon substrate from the recessed second surface to the first surface thereof prior to bonding the second pattern of electrical contacts to the third pattern of electrical contacts on the second package substrate layer.
26. The method of claim 23, wherein the second package substrate layer comprises a second silicon substrate having a thickness t2 between first and second opposing planar surfaces and a second pattern of conductive vias formed to a depth d2 below the first surface of second silicon substrate, which is less than the thickness t2 of the second silicon substrate, wherein the third pattern of electrical contacts are electrically connected to exposed end portions of respective conductive vias in the second pattern of conductive vias, the method further comprising:
prior to removing the glass handler substrate;
recessing the second surface of the second silicon substrate to expose bottom portions of the second pattern of conductive vias and reduce the thickness t2 of the second silicone substrate to a thickness t2′, where t2′ is less than about 150 microns to about 1-10 um;
forming an insulating layer on the recessed second surface of the second silicon substrate with the bottom portions of the second pattern of conductive vias exposed; and
forming electrical contacts on the exposed bottom portions of the conductive vias to provide a further pattern of electrical contacts.
27. The method of claim 26, wherein prior to bonding the second pattern of electrical contacts to the third pattern of electrical contacts on the second package substrate layer, the method further comprising:
etching an open cavity through the silicon substrate from the recessed second surface to the first surface thereof;
etching a closed end cavity in the first surface of the second silicon substrate down to a depth below the depth d2 of the second pattern of conductive vias;
aligning the open cavity and closed end cavity when bonding the first and second silicon substrates; and
opening the closed end cavity during recessing the second surface of the second silicon substrate.
28. The method of claim 23, wherein forming a pattern of conductive vias in the first surface of the first silicon substrate comprises:
etching a pattern of annular trenches in the first surface of the first silicone substrate to the depth d1 below the first surface of the substrate, each annular trench surrounding an inner core of silicon substrate material;
forming a liner layer on the exposed sidewall surfaces of the annular trenches and
filling the annular trenches with a metallic material.
US11/748,818 2007-05-15 2007-05-15 Apparatus and Methods for Constructing Semiconductor Chip Packages with Silicon Space Transformer Carriers Abandoned US20080284037A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US11/748,818 US20080284037A1 (en) 2007-05-15 2007-05-15 Apparatus and Methods for Constructing Semiconductor Chip Packages with Silicon Space Transformer Carriers
US12/538,223 US8012796B2 (en) 2007-05-15 2009-08-10 Apparatus and methods for constructing semiconductor chip packages with silicon space transformer carriers
US12/543,827 US9159602B2 (en) 2007-05-15 2009-08-19 Apparatus and methods for constructing semiconductor chip packages with silicon space transformer carriers
US13/430,195 US8592932B2 (en) 2007-05-15 2012-03-26 Apparatus and methods for constructing semiconductor chip packages with silicon space transformer carriers

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/748,818 US20080284037A1 (en) 2007-05-15 2007-05-15 Apparatus and Methods for Constructing Semiconductor Chip Packages with Silicon Space Transformer Carriers

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US12/538,223 Division US8012796B2 (en) 2007-05-15 2009-08-10 Apparatus and methods for constructing semiconductor chip packages with silicon space transformer carriers
US12/543,827 Division US9159602B2 (en) 2007-05-15 2009-08-19 Apparatus and methods for constructing semiconductor chip packages with silicon space transformer carriers

Publications (1)

Publication Number Publication Date
US20080284037A1 true US20080284037A1 (en) 2008-11-20

Family

ID=40026705

Family Applications (4)

Application Number Title Priority Date Filing Date
US11/748,818 Abandoned US20080284037A1 (en) 2007-05-15 2007-05-15 Apparatus and Methods for Constructing Semiconductor Chip Packages with Silicon Space Transformer Carriers
US12/538,223 Expired - Fee Related US8012796B2 (en) 2007-05-15 2009-08-10 Apparatus and methods for constructing semiconductor chip packages with silicon space transformer carriers
US12/543,827 Active 2030-12-19 US9159602B2 (en) 2007-05-15 2009-08-19 Apparatus and methods for constructing semiconductor chip packages with silicon space transformer carriers
US13/430,195 Active 2027-05-17 US8592932B2 (en) 2007-05-15 2012-03-26 Apparatus and methods for constructing semiconductor chip packages with silicon space transformer carriers

Family Applications After (3)

Application Number Title Priority Date Filing Date
US12/538,223 Expired - Fee Related US8012796B2 (en) 2007-05-15 2009-08-10 Apparatus and methods for constructing semiconductor chip packages with silicon space transformer carriers
US12/543,827 Active 2030-12-19 US9159602B2 (en) 2007-05-15 2009-08-19 Apparatus and methods for constructing semiconductor chip packages with silicon space transformer carriers
US13/430,195 Active 2027-05-17 US8592932B2 (en) 2007-05-15 2012-03-26 Apparatus and methods for constructing semiconductor chip packages with silicon space transformer carriers

Country Status (1)

Country Link
US (4) US20080284037A1 (en)

Cited By (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060049525A1 (en) * 2004-09-09 2006-03-09 Megic Corporation Post passivation interconnection process and structures
US20080128910A1 (en) * 2004-09-09 2008-06-05 Megica Corporation Post Passivation Interconnection Process And Structures
US20080251940A1 (en) * 2007-04-12 2008-10-16 Megica Corporation Chip package
US20090057901A1 (en) * 2001-09-17 2009-03-05 Megica Corporation Structure of high performance combo chip and processing method
US20090104769A1 (en) * 2005-05-18 2009-04-23 Megica Corporation Semiconductor chip with coil element over passivation layer
US20090129422A1 (en) * 2007-11-21 2009-05-21 Mohammed Edris High-volume on-wafer heterogeneous packaging of optical interconnects
US7538432B1 (en) * 2008-04-22 2009-05-26 International Business Machines Corporation Temporary structure to reduce stress and warpage in a flip chip organic package
US20090290282A1 (en) * 2008-05-21 2009-11-26 International Business Machines Corporation Modular chip stack and packaging technology with voltage segmentation, regulation, integrated decoupling capacitance and cooling structure and process
US20090303770A1 (en) * 2008-06-04 2009-12-10 Elpida Memory, Inc. Memory chip and semiconductor device
US7670874B2 (en) 2007-02-16 2010-03-02 John Trezza Plated pillar package formation
US7687400B2 (en) 2005-06-14 2010-03-30 John Trezza Side stacking apparatus and method
US7687397B2 (en) 2006-06-06 2010-03-30 John Trezza Front-end processed wafer having through-chip connections
US20100081236A1 (en) * 2008-10-01 2010-04-01 Samsung Electronics Co., Ltd Method of manufacturing semiconductor device with embedded interposer
US20100140808A1 (en) * 2007-10-30 2010-06-10 International Business Machines Corporation Power Distribution In A Vertically Integrated Circuit
US20100164062A1 (en) * 2008-12-31 2010-07-01 Industrial Technology Research Institute Method of manufacturing through-silicon-via and through-silicon-via structure
US20100200949A1 (en) * 2009-02-12 2010-08-12 International Business Machines Corporation Method for tuning the threshold voltage of a metal gate and high-k device
US7781886B2 (en) 2005-06-14 2010-08-24 John Trezza Electronic chip contact structure
US7785931B2 (en) 2005-06-14 2010-08-31 John Trezza Chip-based thermo-stack
US7785987B2 (en) 2005-06-14 2010-08-31 John Trezza Isolating chip-to-chip contact
US20100246152A1 (en) * 2009-03-30 2010-09-30 Megica Corporation Integrated circuit chip using top post-passivation technology and bottom structure technology
US7960269B2 (en) 2005-07-22 2011-06-14 Megica Corporation Method for forming a double embossing structure
US7964973B2 (en) 2004-08-12 2011-06-21 Megica Corporation Chip structure
US7973401B2 (en) 2005-05-03 2011-07-05 Megica Corporation Stacked chip package with redistribution lines
US20110169133A1 (en) * 2010-01-12 2011-07-14 Shinko Electric Industries Co., Ltd. Wiring substrate, method for manufacturing wiring substrate, and semiconductor package including wiring substrate
US7989958B2 (en) 2005-06-14 2011-08-02 Cufer Assett Ltd. L.L.C. Patterned contact
US8022544B2 (en) 2004-07-09 2011-09-20 Megica Corporation Chip structure
US8021921B2 (en) 2002-10-25 2011-09-20 Megica Corporation Method of joining chips utilizing copper pillar
US20110266674A1 (en) * 2010-04-28 2011-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Laser Etch Via Formation
WO2012018415A1 (en) * 2010-08-03 2012-02-09 Xilinx, Inc. Air through-silicon via structure
US8148806B2 (en) 2000-05-19 2012-04-03 Megica Corporation Multiple chips bonded to packaging structure with low noise and multiple selectable functions
US8178967B2 (en) 2001-09-17 2012-05-15 Megica Corporation Low fabrication cost, high performance, high reliability chip scale package
US8193636B2 (en) 2007-03-13 2012-06-05 Megica Corporation Chip assembly with interconnection by metal bump
CN102621360A (en) * 2011-01-27 2012-08-01 台湾积体电路制造股份有限公司 Probe card wiring structure
US8294279B2 (en) 2005-01-25 2012-10-23 Megica Corporation Chip package with dam bar restricting flow of underfill
US20130063918A1 (en) * 2011-09-14 2013-03-14 Invensas Corp. Low cte interposer
US8421227B2 (en) 2006-06-28 2013-04-16 Megica Corporation Semiconductor chip structure
EP2610906A1 (en) * 2011-12-29 2013-07-03 3D Plus Method for collective production of 3D electronic modules comprising only valid PCBs
US8481418B2 (en) 2002-05-01 2013-07-09 Megica Corporation Low fabrication cost, high performance, high reliability chip scale package
US20130270664A1 (en) * 2007-11-07 2013-10-17 University Of Washington Through Its Center For Commercialization Free-standing two-sided devices
US8618648B1 (en) 2012-07-12 2013-12-31 Xilinx, Inc. Methods for flip chip stacking
US20140008783A1 (en) * 2010-04-14 2014-01-09 Stats Chippac, Ltd. Semiconductor Device and Method of Forming Electrical Interconnection Between Semiconductor Die and Substrate with Continuous Body of Solder Tape
WO2014011281A1 (en) * 2012-07-12 2014-01-16 Xilinx, Inc. Methods for flip chip stacking
US20140078704A1 (en) * 2012-09-20 2014-03-20 International Business Machines Corporation Functional glass handler wafer with through vias
CN103839899A (en) * 2012-11-20 2014-06-04 矽品精密工业股份有限公司 Semiconductor package and fabrication method thereof
US20140235027A1 (en) * 2008-02-01 2014-08-21 International Business Machines Corporation Silicon carrier space transformer and temporary chip attach burn-in vehicle for high density connections
US20140306331A1 (en) * 2013-04-11 2014-10-16 Infineon Technologies Austria Ag Chip and chip arrangement
US20140320252A1 (en) * 2013-04-29 2014-10-30 Skyworks Solutions, Inc. Low loss impedance transformers implemented as integrated passive devices and related methods thereof
US20140339705A1 (en) * 2013-05-17 2014-11-20 Nvidia Corporation Iintegrated circuit package using silicon-on-oxide interposer substrate with through-silicon vias
US20140339706A1 (en) * 2013-05-17 2014-11-20 Nvidia Corporation Integrated circuit package with an interposer formed from a reusable carrier substrate
US8901733B2 (en) 2001-02-15 2014-12-02 Qualcomm Incorporated Reliable metal bumps on top of I/O pads after removal of test probe marks
FR3007403A1 (en) * 2013-06-20 2014-12-26 Commissariat Energie Atomique METHOD FOR PRODUCING A MECHANICALLY AUTONOMOUS MICROELECTRONIC DEVICE
CN104253111A (en) * 2013-06-27 2014-12-31 英特尔公司 Silicon space transformer for IC packaging
US20150069577A1 (en) * 2013-09-11 2015-03-12 Xilinx, Inc. Removal of electrostatic charges from interposer for die attachment
US20150130077A1 (en) * 2010-09-17 2015-05-14 Tessera, Inc. Staged via formation from both sides of chip
US20150147834A1 (en) * 2010-04-05 2015-05-28 Tsmc Solid State Lighting Ltd. Novel semiconductor package with through silicon vias
EP2812918A4 (en) * 2012-02-08 2015-09-30 Gtat Corp Method for three-dimensional packaging of electronic devices
US9190396B2 (en) * 2012-04-10 2015-11-17 Nvidia Corporation Low-impedance power delivery for a packaged die
US20160035707A1 (en) * 2013-03-06 2016-02-04 Win Semiconductors Corp. Stacked structure of semiconductor chips having via holes and metal bumps
US9257406B2 (en) * 2011-05-28 2016-02-09 Banpil Photonics, Inc. On-chip interconnects with reduced capacitance and method of fabrication thereof
US20160099197A1 (en) * 2014-10-02 2016-04-07 Hitachi Metals, Ltd. Semiconductor package and circuit substrate for the semiconductor package
US20160197060A1 (en) * 2013-12-31 2016-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package with multiple plane i/o structure
US9548288B1 (en) * 2014-12-22 2017-01-17 Apple Inc. Integrated circuit die decoupling system with reduced inductance
JP2017084961A (en) * 2015-10-28 2017-05-18 株式会社村田製作所 Mounting structure of integrated circuit element
KR20170097005A (en) * 2014-12-18 2017-08-25 인텔 코포레이션 Ground via clustering for crosstalk mitigation
US9761561B2 (en) * 2015-03-18 2017-09-12 Globalfoundries Singapore Pte. Ltd. Edge structure for backgrinding asymmetrical bonded wafer
US10015916B1 (en) 2013-05-21 2018-07-03 Xilinx, Inc. Removal of electrostatic charges from an interposer via a ground pad thereof for die attach for formation of a stacked die
US10056154B2 (en) 2016-09-14 2018-08-21 Micron Technology, Inc. Apparatuses and methods for flexible fuse transmission
US10141289B2 (en) 2013-04-01 2018-11-27 Samsung Electronics Co., Ltd. Semiconductor packages having package-on-package structures
US10177121B1 (en) 2015-06-14 2019-01-08 Darryl G. Walker Package including a plurality of stacked semiconductor devices, an interposer and interface connections
CN110010581A (en) * 2018-01-05 2019-07-12 意法半导体(格勒诺布尔2)公司 Insulated contact spacer
US10381103B2 (en) 2017-08-18 2019-08-13 Micron Technology, Inc. Apparatuses and methods for latching redundancy repair addresses to avoid address bits overwritten at a repair block
US20190310433A1 (en) * 2018-04-06 2019-10-10 The Regents Of The University Of California Optical-electrical interposers
US10443531B2 (en) 2017-08-18 2019-10-15 Micron Technology, Inc. Apparatuses and methods for storing redundancy repair information for memories
CN111052374A (en) * 2017-09-29 2020-04-21 英特尔公司 Multi-stage distributed clamper
US10820409B1 (en) 2019-12-20 2020-10-27 Graphcore Limited Method of manufacturing a computer device
US11018082B2 (en) * 2018-07-30 2021-05-25 Dyi-chung Hu Space transformer and manufacturing method thereof
US20220102328A1 (en) * 2020-03-30 2022-03-31 Nanya Technology Corporation Method of manufacturing a semiconductor package including a first sub-package stacked atop a second sub-package
US20220122946A1 (en) * 2020-10-20 2022-04-21 Innolux Corporation Electronic device
WO2022246599A1 (en) * 2021-05-24 2022-12-01 华为技术有限公司 Packaging structure and manufacturing method therefor, and electronic device

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8198716B2 (en) * 2007-03-26 2012-06-12 Intel Corporation Die backside wire bond technology for single or stacked die package
US8110930B2 (en) * 2007-06-19 2012-02-07 Intel Corporation Die backside metallization and surface activated bonding for stacked die packages
SG150395A1 (en) * 2007-08-16 2009-03-30 Micron Technology Inc Stacked microelectronic devices and methods for manufacturing stacked microelectronic devices
US8339474B2 (en) * 2008-08-20 2012-12-25 Freescale Semiconductor, Inc. Gain controlled threshold in denoising filter for image signal processing
KR101009103B1 (en) * 2008-10-27 2011-01-18 삼성전기주식회사 A dual face package and a fabricating method for the same
US8227889B2 (en) * 2008-12-08 2012-07-24 United Microelectronics Corp. Semiconductor device
US8847376B2 (en) 2010-07-23 2014-09-30 Tessera, Inc. Microelectronic elements with post-assembly planarization
US8283754B2 (en) * 2010-08-13 2012-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. Seal ring structure with metal pad
KR101251916B1 (en) * 2010-08-27 2013-04-08 에스케이하이닉스 주식회사 Semiconductor integrated circuit
US8492260B2 (en) 2010-08-30 2013-07-23 Semionductor Components Industries, LLC Processes of forming an electronic device including a feature in a trench
KR20120031697A (en) * 2010-09-27 2012-04-04 삼성전자주식회사 Package stack structures and methods of fabricating the same
US8405998B2 (en) 2010-10-28 2013-03-26 International Business Machines Corporation Heat sink integrated power delivery and distribution for integrated circuits
US8427833B2 (en) 2010-10-28 2013-04-23 International Business Machines Corporation Thermal power plane for integrated circuits
US8253234B2 (en) 2010-10-28 2012-08-28 International Business Machines Corporation Optimized semiconductor packaging in a three-dimensional stack
FR2980917B1 (en) * 2011-09-30 2013-09-27 St Microelectronics Crolles 2 METHOD FOR PRODUCING AN ELECTRICALLY CONDUCTIVE THROUGH BOND
US8809998B2 (en) 2011-10-26 2014-08-19 International Business Machines Corporation Semiconductor device including in wafer inductors, related method and design structure
US8779599B2 (en) 2011-11-16 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Packages including active dies and dummy dies and methods for forming the same
US8587132B2 (en) * 2012-02-21 2013-11-19 Broadcom Corporation Semiconductor package including an organic substrate and interposer having through-semiconductor vias
US8981533B2 (en) 2012-09-13 2015-03-17 Semiconductor Components Industries, Llc Electronic device including a via and a conductive structure, a process of forming the same, and an interposer
US9059322B2 (en) 2012-09-24 2015-06-16 International Business Machines Corporation Semiconductor-on-insulator (SOI) deep trench capacitor
US8618651B1 (en) * 2012-11-01 2013-12-31 Nvidia Corporation Buried TSVs used for decaps
US9331054B2 (en) * 2013-03-14 2016-05-03 Mediatek Inc. Semiconductor package assembly with decoupling capacitor
US9515035B2 (en) 2014-12-19 2016-12-06 International Business Machines Corporation Three-dimensional integrated circuit integration
US9922970B2 (en) * 2015-02-13 2018-03-20 Qualcomm Incorporated Interposer having stacked devices
US9613931B2 (en) 2015-04-30 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out stacked system in package (SIP) having dummy dies and methods of making the same
US9812354B2 (en) 2015-05-15 2017-11-07 Semiconductor Components Industries, Llc Process of forming an electronic device including a material defining a void
US9941189B2 (en) 2015-12-21 2018-04-10 International Business Machines Corporation Counter-flow expanding channels for enhanced two-phase heat removal
US9847275B2 (en) 2015-12-21 2017-12-19 International Business Machines Corporation Distribution and stabilization of fluid flow for interlayer chip cooling
US20170330677A1 (en) * 2016-05-11 2017-11-16 Cascade Microtech, Inc. Space transformers, planarization layers for space transformers, methods of fabricating space transformers, and methods of planarizing space transformers
CN107492533B (en) * 2016-06-12 2020-03-10 中芯国际集成电路制造(上海)有限公司 Packaging structure and packaging method thereof
US10120020B2 (en) 2016-06-16 2018-11-06 Formfactor Beaverton, Inc. Probe head assemblies and probe systems for testing integrated circuit devices
US9837305B1 (en) 2016-07-05 2017-12-05 International Business Machines Corporation Forming deep airgaps without flop over
US9899313B2 (en) * 2016-07-11 2018-02-20 International Business Machines Corporation Multi terminal capacitor within input output path of semiconductor package interconnect
US10103534B2 (en) * 2016-10-27 2018-10-16 General Electric Company Low inductance busbar systems and methods
US9893035B1 (en) * 2016-11-07 2018-02-13 Nanya Technology Corporation Stacked package structure and manufacturing method thereof
US10056528B1 (en) * 2017-03-31 2018-08-21 Intel Corporation Interposer structures, semiconductor assembly and methods for forming interposer structures
US10665554B2 (en) * 2017-10-30 2020-05-26 Taiwan Semiconductor Manufacturing Company Ltd. Magnetic structure for transmission lines in a package system
US10903157B2 (en) * 2019-03-08 2021-01-26 Skc Co., Ltd. Semiconductor device having a glass substrate core layer
TWI717896B (en) * 2019-11-12 2021-02-01 力成科技股份有限公司 High heat dissipation stacked semiconductor package structure and packing method of the same
US11444067B2 (en) * 2019-12-16 2022-09-13 Micron Technology, Inc. Stacked interposer structures, microelectronic device assemblies including same, and methods of fabrication, and related electronic systems
US11329035B2 (en) 2020-04-16 2022-05-10 International Business Machines Corporation Tetherless chip module

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030132529A1 (en) * 2001-11-02 2003-07-17 Yeo Yong Kee Enhanced chip scale package for flip chips
US6661088B1 (en) * 1999-09-27 2003-12-09 Kabushiki Kaisha Toshiba Semiconductor integrated circuit device having interposer and method of manufacturing the same
US20050046002A1 (en) * 2003-08-26 2005-03-03 Kang-Wook Lee Chip stack package and manufacturing method thereof
US20050105860A1 (en) * 2003-02-24 2005-05-19 Ngk Spark Plug Co., Ltd. Optical device mounted substrate assembly
US20060057836A1 (en) * 2004-09-10 2006-03-16 Agency For Science, Technology And Research Method of stacking thin substrates by transfer bonding
US20060202322A1 (en) * 2003-09-24 2006-09-14 Ibiden Co., Ltd. Interposer, and multilayer printed wiring board
US20060263937A1 (en) * 2004-06-30 2006-11-23 Shinko Electric Industries Co., Ltd. Interposer, method of fabricating the same, and semiconductor device using the same
US20060284304A1 (en) * 2005-06-15 2006-12-21 Endicott Interconnect Technologies, Inc. Wirebond electronic package with enhanced chip pad design, method of making same, and information handling system utilizing same
US20070035030A1 (en) * 2005-08-11 2007-02-15 International Business Machines Corporation Techniques for providing decoupling capacitance
US20080179083A1 (en) * 2007-01-30 2008-07-31 Mcdata Corporation Electrical terminal footprints for a printed circuit board

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE1933731C3 (en) 1968-07-05 1982-03-25 Honeywell Information Systems Italia S.p.A., Caluso, Torino Method for producing a semiconductor integrated circuit
KR900008647B1 (en) 1986-03-20 1990-11-26 후지쓰 가부시끼가이샤 A method for manufacturing three demensional i.c.
US5138438A (en) 1987-06-24 1992-08-11 Akita Electronics Co. Ltd. Lead connections means for stacked tab packaged IC chips
US5198888A (en) 1987-12-28 1993-03-30 Hitachi, Ltd. Semiconductor stacked device
US5039628A (en) 1988-02-19 1991-08-13 Microelectronics & Computer Technology Corporation Flip substrate for chip mount
US5024966A (en) 1988-12-21 1991-06-18 At&T Bell Laboratories Method of forming a silicon-based semiconductor optical device mount
US5506755A (en) 1992-03-11 1996-04-09 Kabushiki Kaisha Toshiba Multi-layer substrate
US5854534A (en) 1992-08-05 1998-12-29 Fujitsu Limited Controlled impedence interposer substrate
US5391917A (en) 1993-05-10 1995-02-21 International Business Machines Corporation Multiprocessor module packaging
JPH07193184A (en) 1993-12-27 1995-07-28 Fujitsu Ltd Multi-chip module and manufacture thereof
GB2288286A (en) 1994-03-30 1995-10-11 Plessey Semiconductors Ltd Ball grid array arrangement
KR0134648B1 (en) 1994-06-09 1998-04-20 김광호 Multi-chip package
TW373308B (en) 1995-02-24 1999-11-01 Agere Systems Inc Thin packaging of multi-chip modules with enhanced thermal/power management
US5608262A (en) 1995-02-24 1997-03-04 Lucent Technologies Inc. Packaging multi-chip modules without wire-bond interconnection
US5618752A (en) 1995-06-05 1997-04-08 Harris Corporation Method of fabrication of surface mountable integrated circuits
US5646067A (en) 1995-06-05 1997-07-08 Harris Corporation Method of bonding wafers having vias including conductive material
US6013948A (en) 1995-11-27 2000-01-11 Micron Technology, Inc. Stackable chip scale semiconductor package with mating contacts on opposed surfaces
US5763947A (en) 1996-01-31 1998-06-09 International Business Machines Corporation Integrated circuit chip package having configurable contacts and a removable connector
US6046499A (en) 1996-03-27 2000-04-04 Kabushiki Kaisha Toshiba Heat transfer configuration for a semiconductor device
DE19632200C2 (en) 1996-08-09 2002-09-05 Bosch Gmbh Robert Multichip module
US5798563A (en) 1997-01-28 1998-08-25 International Business Machines Corporation Polytetrafluoroethylene thin film chip carrier
JP2914342B2 (en) 1997-03-28 1999-06-28 日本電気株式会社 Cooling structure of integrated circuit device
US5942795A (en) 1997-07-03 1999-08-24 National Semiconductor Corporation Leaded substrate carrier for integrated circuit device and leaded substrate carrier device assembly
US5869894A (en) 1997-07-18 1999-02-09 Lucent Technologies Inc. RF IC package
US6002178A (en) 1997-11-12 1999-12-14 Lin; Paul T. Multiple chip module configuration to simplify testing process and reuse of known-good chip-size package (CSP)
US5998292A (en) 1997-11-12 1999-12-07 International Business Machines Corporation Method for making three dimensional circuit integration
US6052287A (en) 1997-12-09 2000-04-18 Sandia Corporation Silicon ball grid array chip carrier
US6064113A (en) 1998-01-13 2000-05-16 Lsi Logic Corporation Semiconductor device package including a substrate having bonding fingers within an electrically conductive ring surrounding a die area and a combined power and ground plane to stabilize signal path impedances
US6034427A (en) 1998-01-28 2000-03-07 Prolinx Labs Corporation Ball grid array structure and method for packaging an integrated circuit chip
US6049465A (en) 1998-09-25 2000-04-11 Advanced Micro Devices, Inc. Signal carrying means including a carrier substrate and wire bonds for carrying signals between the cache and logic circuitry of a microprocessor
US6081026A (en) 1998-11-13 2000-06-27 Fujitsu Limited High density signal interposer with power and ground wrap
US6268660B1 (en) 1999-03-05 2001-07-31 International Business Machines Corporation Silicon packaging with through wafer interconnects
US6221769B1 (en) 1999-03-05 2001-04-24 International Business Machines Corporation Method for integrated circuit power and electrical connections via through-wafer interconnects
US6791133B2 (en) 2002-07-19 2004-09-14 International Business Machines Corporation Interposer capacitor built on silicon wafer and joined to a ceramic substrate
US7030481B2 (en) 2002-12-09 2006-04-18 Internation Business Machines Corporation High density chip carrier with integrated passive devices
US7276787B2 (en) 2003-12-05 2007-10-02 International Business Machines Corporation Silicon chip carrier with conductive through-vias and method for fabricating same
US7215032B2 (en) * 2005-06-14 2007-05-08 Cubic Wafer, Inc. Triaxial through-chip connection
US7488680B2 (en) 2005-08-30 2009-02-10 International Business Machines Corporation Conductive through via process for electronic device carriers

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6661088B1 (en) * 1999-09-27 2003-12-09 Kabushiki Kaisha Toshiba Semiconductor integrated circuit device having interposer and method of manufacturing the same
US20030132529A1 (en) * 2001-11-02 2003-07-17 Yeo Yong Kee Enhanced chip scale package for flip chips
US20050105860A1 (en) * 2003-02-24 2005-05-19 Ngk Spark Plug Co., Ltd. Optical device mounted substrate assembly
US20050046002A1 (en) * 2003-08-26 2005-03-03 Kang-Wook Lee Chip stack package and manufacturing method thereof
US20060202322A1 (en) * 2003-09-24 2006-09-14 Ibiden Co., Ltd. Interposer, and multilayer printed wiring board
US20060263937A1 (en) * 2004-06-30 2006-11-23 Shinko Electric Industries Co., Ltd. Interposer, method of fabricating the same, and semiconductor device using the same
US20060057836A1 (en) * 2004-09-10 2006-03-16 Agency For Science, Technology And Research Method of stacking thin substrates by transfer bonding
US20060284304A1 (en) * 2005-06-15 2006-12-21 Endicott Interconnect Technologies, Inc. Wirebond electronic package with enhanced chip pad design, method of making same, and information handling system utilizing same
US20070035030A1 (en) * 2005-08-11 2007-02-15 International Business Machines Corporation Techniques for providing decoupling capacitance
US20080179083A1 (en) * 2007-01-30 2008-07-31 Mcdata Corporation Electrical terminal footprints for a printed circuit board

Cited By (165)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8148806B2 (en) 2000-05-19 2012-04-03 Megica Corporation Multiple chips bonded to packaging structure with low noise and multiple selectable functions
US8901733B2 (en) 2001-02-15 2014-12-02 Qualcomm Incorporated Reliable metal bumps on top of I/O pads after removal of test probe marks
US8178967B2 (en) 2001-09-17 2012-05-15 Megica Corporation Low fabrication cost, high performance, high reliability chip scale package
US7960212B2 (en) 2001-09-17 2011-06-14 Megica Corporation Structure of high performance combo chip and processing method
US7960842B2 (en) 2001-09-17 2011-06-14 Megica Corporation Structure of high performance combo chip and processing method
US20090057901A1 (en) * 2001-09-17 2009-03-05 Megica Corporation Structure of high performance combo chip and processing method
US7919873B2 (en) 2001-09-17 2011-04-05 Megica Corporation Structure of high performance combo chip and processing method
US9369175B2 (en) 2001-09-17 2016-06-14 Qualcomm Incorporated Low fabrication cost, high performance, high reliability chip scale package
US8124446B2 (en) 2001-09-17 2012-02-28 Megica Corporation Structure of high performance combo chip and processing method
US8481418B2 (en) 2002-05-01 2013-07-09 Megica Corporation Low fabrication cost, high performance, high reliability chip scale package
US8021921B2 (en) 2002-10-25 2011-09-20 Megica Corporation Method of joining chips utilizing copper pillar
US8421222B2 (en) 2002-10-25 2013-04-16 Megica Corporation Chip package having a chip combined with a substrate via a copper pillar
US8022544B2 (en) 2004-07-09 2011-09-20 Megica Corporation Chip structure
US8519552B2 (en) 2004-07-09 2013-08-27 Megica Corporation Chip structure
US8159074B2 (en) 2004-08-12 2012-04-17 Megica Corporation Chip structure
US7964973B2 (en) 2004-08-12 2011-06-21 Megica Corporation Chip structure
US20110204510A1 (en) * 2004-08-12 2011-08-25 Megica Corporation Chip structure and method for fabricating the same
US20060049525A1 (en) * 2004-09-09 2006-03-09 Megic Corporation Post passivation interconnection process and structures
US20080128910A1 (en) * 2004-09-09 2008-06-05 Megica Corporation Post Passivation Interconnection Process And Structures
US8018060B2 (en) 2004-09-09 2011-09-13 Megica Corporation Post passivation interconnection process and structures
US8008775B2 (en) 2004-09-09 2011-08-30 Megica Corporation Post passivation interconnection structures
US8294279B2 (en) 2005-01-25 2012-10-23 Megica Corporation Chip package with dam bar restricting flow of underfill
US8426958B2 (en) 2005-05-03 2013-04-23 Megica Corporation Stacked chip package with redistribution lines
US7973401B2 (en) 2005-05-03 2011-07-05 Megica Corporation Stacked chip package with redistribution lines
US7985653B2 (en) 2005-05-18 2011-07-26 Megica Corporation Semiconductor chip with coil element over passivation layer
US20090104769A1 (en) * 2005-05-18 2009-04-23 Megica Corporation Semiconductor chip with coil element over passivation layer
US8362588B2 (en) 2005-05-18 2013-01-29 Megica Corporation Semiconductor chip with coil element over passivation layer
US7785987B2 (en) 2005-06-14 2010-08-31 John Trezza Isolating chip-to-chip contact
US7781886B2 (en) 2005-06-14 2010-08-24 John Trezza Electronic chip contact structure
US8154131B2 (en) 2005-06-14 2012-04-10 Cufer Asset Ltd. L.L.C. Profiled contact
US7785931B2 (en) 2005-06-14 2010-08-31 John Trezza Chip-based thermo-stack
US7932584B2 (en) 2005-06-14 2011-04-26 Cufer Asset Ltd. L.L.C. Stacked chip-based system and method
US7989958B2 (en) 2005-06-14 2011-08-02 Cufer Assett Ltd. L.L.C. Patterned contact
US9324629B2 (en) 2005-06-14 2016-04-26 Cufer Asset Ltd. L.L.C. Tooling for coupling multiple electronic chips
US7687400B2 (en) 2005-06-14 2010-03-30 John Trezza Side stacking apparatus and method
US7960269B2 (en) 2005-07-22 2011-06-14 Megica Corporation Method for forming a double embossing structure
US7687397B2 (en) 2006-06-06 2010-03-30 John Trezza Front-end processed wafer having through-chip connections
US8421227B2 (en) 2006-06-28 2013-04-16 Megica Corporation Semiconductor chip structure
US7670874B2 (en) 2007-02-16 2010-03-02 John Trezza Plated pillar package formation
US8193636B2 (en) 2007-03-13 2012-06-05 Megica Corporation Chip assembly with interconnection by metal bump
US20080251940A1 (en) * 2007-04-12 2008-10-16 Megica Corporation Chip package
US7964961B2 (en) 2007-04-12 2011-06-21 Megica Corporation Chip package
US20110210441A1 (en) * 2007-04-12 2011-09-01 Megica Corporation Chip package
US8105940B2 (en) * 2007-10-30 2012-01-31 International Business Machines Corporation Power distribution in a vertically integrated circuit
US20100140808A1 (en) * 2007-10-30 2010-06-10 International Business Machines Corporation Power Distribution In A Vertically Integrated Circuit
US20150145095A1 (en) * 2007-11-07 2015-05-28 University Of Washington Through Its Center For Commercialization Free-standing two-sided devices
US8963268B2 (en) * 2007-11-07 2015-02-24 University Of Washington Through Its Center For Commercialization Free-standing two-sided devices
US20130270664A1 (en) * 2007-11-07 2013-10-17 University Of Washington Through Its Center For Commercialization Free-standing two-sided devices
US7767486B2 (en) * 2007-11-21 2010-08-03 Intel Corporation High-volume on-wafer heterogeneous packaging of optical interconnects
US20090129422A1 (en) * 2007-11-21 2009-05-21 Mohammed Edris High-volume on-wafer heterogeneous packaging of optical interconnects
US20140235027A1 (en) * 2008-02-01 2014-08-21 International Business Machines Corporation Silicon carrier space transformer and temporary chip attach burn-in vehicle for high density connections
US9159616B2 (en) * 2008-02-01 2015-10-13 International Business Machines Corporation Silicon carrier space transformer and temporary chip attach burn-in vehicle for high density connections
US7538432B1 (en) * 2008-04-22 2009-05-26 International Business Machines Corporation Temporary structure to reduce stress and warpage in a flip chip organic package
US20130008023A1 (en) * 2008-05-21 2013-01-10 International Business Machines Corporation Modular chip stack and packaging technology with voltage segmentation, regulation, integrated decoupling capacitance, and cooling structure and process
US8395902B2 (en) * 2008-05-21 2013-03-12 International Business Machines Corporation Modular chip stack and packaging technology with voltage segmentation, regulation, integrated decoupling capacitance and cooling structure and process
US20090290282A1 (en) * 2008-05-21 2009-11-26 International Business Machines Corporation Modular chip stack and packaging technology with voltage segmentation, regulation, integrated decoupling capacitance and cooling structure and process
US20090303770A1 (en) * 2008-06-04 2009-12-10 Elpida Memory, Inc. Memory chip and semiconductor device
US20100081236A1 (en) * 2008-10-01 2010-04-01 Samsung Electronics Co., Ltd Method of manufacturing semiconductor device with embedded interposer
US20100164062A1 (en) * 2008-12-31 2010-07-01 Industrial Technology Research Institute Method of manufacturing through-silicon-via and through-silicon-via structure
US20100200949A1 (en) * 2009-02-12 2010-08-12 International Business Machines Corporation Method for tuning the threshold voltage of a metal gate and high-k device
US20120181661A1 (en) * 2009-02-12 2012-07-19 International Business Machines Corporation Method for tuning the trheshold voltage of a metal gate and high-k device
US8921198B2 (en) * 2009-02-12 2014-12-30 International Business Machines Corporation Method and structure for forming a deep trench capacitor
KR101307490B1 (en) 2009-03-30 2013-12-11 메기가 코포레이션 Integrated circuit chip using top post-passivation technology and bottom structure technology
TWI478313B (en) * 2009-03-30 2015-03-21 Qualcomm Inc Integrated circuit chip using top post-passivation technology and bottom structure technology
US9612615B2 (en) * 2009-03-30 2017-04-04 Qualcomm Incorporated Integrated circuit chip using top post-passivation technology and bottom structure technology
US8456856B2 (en) 2009-03-30 2013-06-04 Megica Corporation Integrated circuit chip using top post-passivation technology and bottom structure technology
US20130242500A1 (en) * 2009-03-30 2013-09-19 Megica Corporation Integrated circuit chip using top post-passivation technology and bottom structure technology
CN105140136A (en) * 2009-03-30 2015-12-09 高通股份有限公司 Integrated circuit chip using top post-passivation technology and bottom structure technology
CN102379037A (en) * 2009-03-30 2012-03-14 米辑电子股份有限公司 Integrated circuit chip using top post-passivation technology and bottom structure technology
US20100246152A1 (en) * 2009-03-30 2010-09-30 Megica Corporation Integrated circuit chip using top post-passivation technology and bottom structure technology
WO2010114687A1 (en) * 2009-03-30 2010-10-07 Megica Corporation Integrated circuit chip using top post-passivation technology and bottom structure technology
US8686548B2 (en) * 2010-01-12 2014-04-01 Shinko Electric Industries Co., Ltd. Wiring substrate, method for manufacturing wiring substrate, and semiconductor package including wiring substrate
US20110169133A1 (en) * 2010-01-12 2011-07-14 Shinko Electric Industries Co., Ltd. Wiring substrate, method for manufacturing wiring substrate, and semiconductor package including wiring substrate
US20150147834A1 (en) * 2010-04-05 2015-05-28 Tsmc Solid State Lighting Ltd. Novel semiconductor package with through silicon vias
US20140008783A1 (en) * 2010-04-14 2014-01-09 Stats Chippac, Ltd. Semiconductor Device and Method of Forming Electrical Interconnection Between Semiconductor Die and Substrate with Continuous Body of Solder Tape
US20110266674A1 (en) * 2010-04-28 2011-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Laser Etch Via Formation
US8519538B2 (en) * 2010-04-28 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Laser etch via formation
US8519542B2 (en) 2010-08-03 2013-08-27 Xilinx, Inc. Air through-silicon via structure
WO2012018415A1 (en) * 2010-08-03 2012-02-09 Xilinx, Inc. Air through-silicon via structure
US20180114743A1 (en) * 2010-09-17 2018-04-26 Tessera, Inc. Staged via formation from both sides of chip
US10354942B2 (en) * 2010-09-17 2019-07-16 Tessera, Inc. Staged via formation from both sides of chip
US9847277B2 (en) * 2010-09-17 2017-12-19 Tessera, Inc. Staged via formation from both sides of chip
US20160284627A1 (en) * 2010-09-17 2016-09-29 Tessera, Inc. Staged via formation from both sides of chip
US20150130077A1 (en) * 2010-09-17 2015-05-14 Tessera, Inc. Staged via formation from both sides of chip
US9362203B2 (en) * 2010-09-17 2016-06-07 Tessera, Inc. Staged via formation from both sides of chip
CN102621360A (en) * 2011-01-27 2012-08-01 台湾积体电路制造股份有限公司 Probe card wiring structure
US20120194210A1 (en) * 2011-01-27 2012-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Probe card wiring structure
US8841931B2 (en) * 2011-01-27 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Probe card wiring structure
US9257406B2 (en) * 2011-05-28 2016-02-09 Banpil Photonics, Inc. On-chip interconnects with reduced capacitance and method of fabrication thereof
US20180082935A1 (en) * 2011-09-14 2018-03-22 Invensas Corporation Low cte interposer
US10319673B2 (en) * 2011-09-14 2019-06-11 Invensas Corporation Low CTE interposer
US9401288B2 (en) 2011-09-14 2016-07-26 Invensas Corporation Low CTE interposer
WO2013066504A1 (en) * 2011-09-14 2013-05-10 Invensas Corporation Low cte interposer
KR101895021B1 (en) * 2011-09-14 2018-09-04 인벤사스 코포레이션 A method for making an interconnection component
US20130063918A1 (en) * 2011-09-14 2013-03-14 Invensas Corp. Low cte interposer
KR20140081819A (en) * 2011-09-14 2014-07-01 인벤사스 코포레이션 Low cte interposer
US8780576B2 (en) * 2011-09-14 2014-07-15 Invensas Corporation Low CTE interposer
US9837344B2 (en) 2011-09-14 2017-12-05 Invensas Corporation Low CTE interposer
EP2610906A1 (en) * 2011-12-29 2013-07-03 3D Plus Method for collective production of 3D electronic modules comprising only valid PCBs
EP2812918A4 (en) * 2012-02-08 2015-09-30 Gtat Corp Method for three-dimensional packaging of electronic devices
US9831225B2 (en) * 2012-04-10 2017-11-28 Nvidia Corporation Low-impedance power delivery for a packaged die
US20160148915A1 (en) * 2012-04-10 2016-05-26 Nvidia Corporation Low-impedance power delivery for a packaged die
US9190396B2 (en) * 2012-04-10 2015-11-17 Nvidia Corporation Low-impedance power delivery for a packaged die
US9508563B2 (en) 2012-07-12 2016-11-29 Xilinx, Inc. Methods for flip chip stacking
WO2014011281A1 (en) * 2012-07-12 2014-01-16 Xilinx, Inc. Methods for flip chip stacking
CN104520988A (en) * 2012-07-12 2015-04-15 吉林克斯公司 Methods for flip chip stacking
US8618648B1 (en) 2012-07-12 2013-12-31 Xilinx, Inc. Methods for flip chip stacking
US20140078704A1 (en) * 2012-09-20 2014-03-20 International Business Machines Corporation Functional glass handler wafer with through vias
CN103681618A (en) * 2012-09-20 2014-03-26 国际商业机器公司 Functional glass handler wafer with through vias
US9059161B2 (en) * 2012-09-20 2015-06-16 International Business Machines Corporation Composite wiring board with electrical through connections
CN103839899A (en) * 2012-11-20 2014-06-04 矽品精密工业股份有限公司 Semiconductor package and fabrication method thereof
US20160035707A1 (en) * 2013-03-06 2016-02-04 Win Semiconductors Corp. Stacked structure of semiconductor chips having via holes and metal bumps
US9704829B2 (en) * 2013-03-06 2017-07-11 Win Semiconductor Corp. Stacked structure of semiconductor chips having via holes and metal bumps
US10141289B2 (en) 2013-04-01 2018-11-27 Samsung Electronics Co., Ltd. Semiconductor packages having package-on-package structures
US20140306331A1 (en) * 2013-04-11 2014-10-16 Infineon Technologies Austria Ag Chip and chip arrangement
US10263594B2 (en) 2013-04-29 2019-04-16 Skyworks Solutions, Inc. Impedance matching circuit for radio-frequency amplifier
US20140320252A1 (en) * 2013-04-29 2014-10-30 Skyworks Solutions, Inc. Low loss impedance transformers implemented as integrated passive devices and related methods thereof
US20140339706A1 (en) * 2013-05-17 2014-11-20 Nvidia Corporation Integrated circuit package with an interposer formed from a reusable carrier substrate
US20140339705A1 (en) * 2013-05-17 2014-11-20 Nvidia Corporation Iintegrated circuit package using silicon-on-oxide interposer substrate with through-silicon vias
US10015916B1 (en) 2013-05-21 2018-07-03 Xilinx, Inc. Removal of electrostatic charges from an interposer via a ground pad thereof for die attach for formation of a stacked die
US9674939B2 (en) 2013-06-20 2017-06-06 Commissariat A L'energie Atomique Et Aux Energies Alternatives Method for producing a mechanically autonomous microelectronic device
EP2816597A3 (en) * 2013-06-20 2015-07-22 Commissariat A L'energie Atomique Et Aux Energies Alternatives Method for manufacturing a mechanically self-contained microelectronic device
JP2015026829A (en) * 2013-06-20 2015-02-05 コミッサリア ア レネルジー アトミーク エ オ ゼネルジ ザルタナテイヴ Method for manufacturing mechanical autonomous type microelectronic device
FR3007403A1 (en) * 2013-06-20 2014-12-26 Commissariat Energie Atomique METHOD FOR PRODUCING A MECHANICALLY AUTONOMOUS MICROELECTRONIC DEVICE
CN104253111A (en) * 2013-06-27 2014-12-31 英特尔公司 Silicon space transformer for IC packaging
US20150069577A1 (en) * 2013-09-11 2015-03-12 Xilinx, Inc. Removal of electrostatic charges from interposer for die attachment
US9960227B2 (en) * 2013-09-11 2018-05-01 Xilinx, Inc. Removal of electrostatic charges from interposer for die attachment
US20160197060A1 (en) * 2013-12-31 2016-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. Package with multiple plane i/o structure
US9806064B2 (en) * 2013-12-31 2017-10-31 Taiwan Semiconductor Manufacturing Company, Ltd. Package with multiple plane I/O structure
US9773738B2 (en) * 2014-10-02 2017-09-26 Hitachi Metals, Ltd. Circuit substrate for semiconductor package with multiple circuit substrate units and semiconductor package therefor
US20160099197A1 (en) * 2014-10-02 2016-04-07 Hitachi Metals, Ltd. Semiconductor package and circuit substrate for the semiconductor package
US11244890B2 (en) 2014-12-18 2022-02-08 Intel Corporation Ground via clustering for crosstalk mitigation
US10854539B2 (en) 2014-12-18 2020-12-01 Intel Corporation Ground via clustering for crosstalk mitigation
KR102494739B1 (en) * 2014-12-18 2023-02-01 인텔 코포레이션 Semiconductor package, package substrate, and method of fabricating ic package assembly
US11742275B2 (en) 2014-12-18 2023-08-29 Intel Corporation Ground via clustering for crosstalk mitigation
JP2017539090A (en) * 2014-12-18 2017-12-28 インテル コーポレイション Clustering ground vias to reduce crosstalk
US11901280B2 (en) 2014-12-18 2024-02-13 Intel Corporation Ground via clustering for crosstalk mitigation
EP3483932A3 (en) * 2014-12-18 2019-08-28 INTEL Corporation Ground via clustering for crosstalk mitigation
KR20170097005A (en) * 2014-12-18 2017-08-25 인텔 코포레이션 Ground via clustering for crosstalk mitigation
US9548288B1 (en) * 2014-12-22 2017-01-17 Apple Inc. Integrated circuit die decoupling system with reduced inductance
US9761561B2 (en) * 2015-03-18 2017-09-12 Globalfoundries Singapore Pte. Ltd. Edge structure for backgrinding asymmetrical bonded wafer
US10177121B1 (en) 2015-06-14 2019-01-08 Darryl G. Walker Package including a plurality of stacked semiconductor devices, an interposer and interface connections
US10262975B1 (en) 2015-06-14 2019-04-16 Darryl G. Walker Package including a plurality of stacked semiconductor devices, an interposer and interface connections
JP2017084961A (en) * 2015-10-28 2017-05-18 株式会社村田製作所 Mounting structure of integrated circuit element
TWI693521B (en) * 2016-09-14 2020-05-11 美商美光科技公司 Apparatuses and methods for flexible fuse transmission
US10056154B2 (en) 2016-09-14 2018-08-21 Micron Technology, Inc. Apparatuses and methods for flexible fuse transmission
US10443531B2 (en) 2017-08-18 2019-10-15 Micron Technology, Inc. Apparatuses and methods for storing redundancy repair information for memories
US10867692B2 (en) 2017-08-18 2020-12-15 Micron Technology, Inc. Apparatuses and methods for latching redundancy repair addresses at a memory
US11015547B2 (en) 2017-08-18 2021-05-25 Micron Technology, Inc. Apparatuses and methods for storing redundancy repair information for memories
US10381103B2 (en) 2017-08-18 2019-08-13 Micron Technology, Inc. Apparatuses and methods for latching redundancy repair addresses to avoid address bits overwritten at a repair block
CN111052374A (en) * 2017-09-29 2020-04-21 英特尔公司 Multi-stage distributed clamper
CN110010581A (en) * 2018-01-05 2019-07-12 意法半导体(格勒诺布尔2)公司 Insulated contact spacer
US10859776B2 (en) * 2018-04-06 2020-12-08 The Regents Of The University Of California Optical-electrical interposers
US20190310433A1 (en) * 2018-04-06 2019-10-10 The Regents Of The University Of California Optical-electrical interposers
US11018082B2 (en) * 2018-07-30 2021-05-25 Dyi-chung Hu Space transformer and manufacturing method thereof
GB2590643A (en) * 2019-12-20 2021-07-07 Graphcore Ltd Method of manufacturing a computer device
WO2021123015A1 (en) * 2019-12-20 2021-06-24 Graphcore Limited Computer device and method of manufacturing the same
GB2590643B (en) * 2019-12-20 2022-08-03 Graphcore Ltd Method of manufacturing a computer device
US10820409B1 (en) 2019-12-20 2020-10-27 Graphcore Limited Method of manufacturing a computer device
JP7379666B2 (en) 2019-12-20 2023-11-14 グラフコアー リミテッド Computer device and its manufacturing method
US11889615B2 (en) 2019-12-20 2024-01-30 Graphcore Limited Method of manufacturing a computer device
US20220102328A1 (en) * 2020-03-30 2022-03-31 Nanya Technology Corporation Method of manufacturing a semiconductor package including a first sub-package stacked atop a second sub-package
US11646299B2 (en) * 2020-03-30 2023-05-09 Nanya Technology Corporation Method of manufacturing a semiconductor package including a first sub-package stacked atop a second sub-package
US20220122946A1 (en) * 2020-10-20 2022-04-21 Innolux Corporation Electronic device
WO2022246599A1 (en) * 2021-05-24 2022-12-01 华为技术有限公司 Packaging structure and manufacturing method therefor, and electronic device

Also Published As

Publication number Publication date
US20100013073A1 (en) 2010-01-21
US9159602B2 (en) 2015-10-13
US20090311828A1 (en) 2009-12-17
US8592932B2 (en) 2013-11-26
US20120181648A1 (en) 2012-07-19
US8012796B2 (en) 2011-09-06

Similar Documents

Publication Publication Date Title
US8592932B2 (en) Apparatus and methods for constructing semiconductor chip packages with silicon space transformer carriers
TWI681466B (en) Semiconductor structure and method of forming integrated circuit package
US9698080B2 (en) Conductor structure for three-dimensional semiconductor device
US8405226B2 (en) Semiconductor device and method of making semiconductor device
US8810008B2 (en) Semiconductor element-embedded substrate, and method of manufacturing the substrate
KR101729378B1 (en) Semiconductor devices and methods of manufacture thereof
CN110739229A (en) Manufacturing method of chip packaging body structure
US20110171781A1 (en) Method of fabricating a 3-d device
US11728276B2 (en) Semiconductor devices having integrated optical components
US7781887B2 (en) Semiconductor device including an interconnect
TWI566372B (en) Device with integrated passive component
US20220254747A1 (en) Semiconductor package and method for manufacturing the same
KR20210053233A (en) Semiconductor packages and method of manufacture
KR20210018775A (en) Semiconductor devices and methods of manufacture
TW202117952A (en) Semiconductor packages and method of manufacture
US11646270B2 (en) Multi-chip package and manufacturing method thereof
US11688667B2 (en) Semiconductor package including a pad pattern
TWI790702B (en) Semiconductor package and method of manufacturing semiconductor package
TW202322303A (en) Semiconductor package and method of forming the same
KR20210035037A (en) Semiconductor devices and methods of manufacture
US20240030187A1 (en) Semiconductor package and method of manufacturing semiconductor package
US11646269B2 (en) Recessed semiconductor devices, and associated systems and methods
US20230260915A1 (en) Semiconductor structure and method of making same
CN115566014A (en) Integrated circuit packaging structure and preparation method
TW202245281A (en) Semiconductor device and method of manufacturing the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTERNATIONAL BUSINESS MACHINES CORPORATION, NEW Y

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:ANDRY, PAUL S;COTTE, JOHN M;KNICKERBOCKER, JOHN U;AND OTHERS;REEL/FRAME:019296/0370;SIGNING DATES FROM 20070514 TO 20070515

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO PAY ISSUE FEE