US20110003402A1 - Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics - Google Patents

Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics Download PDF

Info

Publication number
US20110003402A1
US20110003402A1 US12/749,213 US74921310A US2011003402A1 US 20110003402 A1 US20110003402 A1 US 20110003402A1 US 74921310 A US74921310 A US 74921310A US 2011003402 A1 US2011003402 A1 US 2011003402A1
Authority
US
United States
Prior art keywords
film
silylating agent
recited
low
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/749,213
Inventor
Nirupama Chakrapani
Matthew E. Colburn
Christos D. Dimitrakopoulos
Dirk Pfeiffer
Sampath Purushothaman
Satyanarayana V. Nitta
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US12/749,213 priority Critical patent/US20110003402A1/en
Publication of US20110003402A1 publication Critical patent/US20110003402A1/en
Assigned to GLOBALFOUNDRIES U.S. 2 LLC reassignment GLOBALFOUNDRIES U.S. 2 LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTERNATIONAL BUSINESS MACHINES CORPORATION
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES U.S. 2 LLC, GLOBALFOUNDRIES U.S. INC.
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • This invention pertains to interconnect wiring networks on very high performance microelectronic chips used in computers, microprocessors, microcontrollers, sensors, communication devices and the like.
  • inventive structures described herein pertain to significantly reducing the signal propagation delay associated with these wires.
  • inventive methods detailed and claimed provide the chemistry and processing required to recover the dielectric properties of low dielectric constant dielectrics after they have been rendered hydrophilic by required plasma exposures and to the chemistry and method required to increase the mechanical strength, and maintain the low dielectric constant of porous organosilicate dielectrics after they have been deposited and during the process of building an interconnect structure comprising these films.
  • This invention further pertains to methods which enable the successful integration of these materials into such chips.
  • High performance microprocessor, microcontroller and communication chips require very high speed interconnects between the active transistor devices which are used to perform the various functions such as logical operations, storing and retrieving data, providing control signals, and the like.
  • the signal propagation delay in the interconnects is dependent on the RC product wherein, R denotes the resistance of the interconnect wires and C represents the overall capacitance of the interconnect scheme in which the wires are embedded.
  • Use of copper instead of aluminum as the interconnect wiring material has allowed the reduction of the resistance contribution to the RC product.
  • the current focus in the microelectronics industry is to reduce interconnect capacitance by the use of lower dielectric constant (k) insulators in building the multilayered interconnect structures on chips.
  • FIGS. 1 a to 1 g One prior art method of creating interconnect wiring network on such small a scale is the dual damascene (DD) process schematically shown in FIGS. 1 a to 1 g .
  • DD dual damascene
  • IMD inter metal dielectric
  • the via level dielectric 1110 and the line level dielectric 1120 are shown separately for clarity of the process flow description. In general, these two layers can be made of the same or different insulating films and in the former case applied as a single monolithic layer.
  • a hard mask layer or a layered stack 1130 is optionally employed to facilitate etch selectivity and to serve as a polish stop.
  • the wiring interconnect network consists of two types of features: line features that traverse a distance across the chip, and the via features which connect lines in different levels of interconnects in a multilevel stack together.
  • both layers are made from an inorganic glass such as silicon dioxide (SiO 2 ) or a fluorinated silica glass (FSG) film deposited by plasma enhanced chemical vapor deposition (PECVD).
  • SiO 2 silicon dioxide
  • FSG fluorinated silica glass
  • PECVD plasma enhanced chemical vapor deposition
  • the position of the lines 1150 and the vias 1170 are defined lithographically in photoresist layers 1500 and 1510 respectively, and transferred into the hard mask and IMD layers using reactive ion etching processes.
  • the process sequence shown in FIGS. 1 a through 1 d is called a “line-first” approach.
  • lithography is used to define a via pattern 1170 in the photoresist layer 1510 and the pattern is transferred into the dielectric material to generate a via opening 1180 , as illustrated in FIG. 1 d .
  • the dual damascene trench and via structure 1190 is shown in FIG. 1 e after the photoresist has been stripped.
  • the recessed structure 1190 is then coated with a conducting liner material or material stack 1200 that serves to protect the conductor metal lines and vias and serve as an adhesion layer between the conductor and the IMD.
  • This recess is then filled with a conducting fill material 1210 over the surface of the patterned substrate.
  • the fill is most commonly accomplished by electroplating of copper although other methods such as chemical vapor deposition (CVD) and other materials such as aluminum or gold can also be used.
  • the fill and liner materials are then chemical-mechanical polished (CMP) to be coplanar with the surface of the hard mask and the structure at this stage is shown in FIG. 1 f .
  • a capping material 1220 is deposited as a blanket film, as is depicted in FIG.
  • organosilicates have a silica like backbone with hydrogen and/or organic groups such as alkyl or aryl groups attached directly to the Si atoms in the network.
  • Their elemental compositions generally consist of Si, C, O, and H in various ratios. The C and H are most often present in the form of methyl groups (—CH 3 ). The primary function of these methyl groups is to add hydrophobicity to the materials.
  • a secondary function is to create free volume in these films and reduce their polarizability.
  • the k value can be further reduced to 2.2 (ultra low k) and even below 2.0 (extremely low k) by introduction of porosity in these insulators.
  • these ultra low k and extreme low k materials will be referred to collectively as very low k materials in this document.
  • Another method to prevent the low k material from losing its hydrophobicity and its dielectric properties is the use of fluorinated or non-fluorinated organic polymer based low k materials such as Dow Chemical's SiLKTM dielectric, Honeywell's FlareTM and other polyimides, benzocyclobutene, polybenzoxazoles, aromatic thermoset polymers based on polyphenylene ethers; and chemical vapor deposited polymers such as poly paraxylylene which are not susceptible to damage during traditional process plasma exposures associated with the dual damascene processing.
  • these materials do not possess the other properties required of a low k dielectric film such as a low thermal expansion and small pore sizes.
  • organosilicate-based porous materials are very fragile mechanically due to their low elastic modulus, fracture toughness and hardness which often lead to failures in CMP, dicing and packaging operations.
  • the mechanical strength of these resins depends on both the void volume as well as their chemical structure. Their mechanical strength decreases with increasing porosity as well as increasing cage-like structure of the siloxane backbone. Since it is imperative that a low dielectric constant be maintained, it is very difficult to decrease the void volume while maintaining the same mechanical strength.
  • HMDS and TMCS are monofunctional silylating agents with the ability to attack only a single isolated silanol group per molecule on the surface and pore wall of the low k material.
  • organosilicate based low k materials have two distinct types of silanols which are classified as follows (Gun'ko et al., J.
  • the first type of silanol is the non-hydrogen bonded silanol which in itself consists of, (1) completely non-interacting single silanols (also called isolated silanols) which do not have any neighboring silanols nearby, (2) very weakly interacting silanols, and (3) weakly and non-interacting geminal silanols (also called disilanol).
  • the second type of silanol is the hydrogen bonded silanol. Most monofunctional silylation agents attack and replace the isolated silanols readily, but generally do not attack the other two types of non-hydrogen bonded silanols as readily.
  • the method by which the cage-network ratio is altered in this invention is also by silylation which introduces new network forming siloxane bonds into the film and hence improves mechanical properties without a significant increase in dielectric constant.
  • silylation reaction it is necessary for the organosilicate film to have an abundance of silanols. Providing these silanols prior to silylation and ensuring that the silylation reaction occurs to a sufficient extent to strengthen this film is also an object of this invention.
  • An advantage of this invention is that the material choice for ultra low k intermetal dielectrics need not be constrained by a consideration of the effects of plasma and wet cleaning damage to these materials because they can be restored to their original properties after they have been damaged by employing the silylation methods taught in the present invention. Further, the availability of a reliable method to recover the properties of films damaged by plasma exposures allows more process options in the reactive ion etch (RIE) and resist strip operations required in the dual damascene build and can in turn result in more robust and lower cost processing. Finally, this invention provides a method to increase the mechanical robustness of the porous organosilicate films to be used as IMD's.
  • RIE reactive ion etch
  • the invention is directed to a method for restoring properties of a low k or very low k dielectric constant organosilicate film having hydrogen atoms or alkyl or aryl groups attached to silicon atoms, and used in a low very low dielectric constant as an insulating layer in a semiconductor chip, or chip carrier, or a semiconductor wafer wherein the organosilicate film has undergone processing tending to degrade its the properties.
  • the method comprises applying to the film a silylating agent comprising an aminosilane, so as to render the film hydrophobic.
  • the aminosilane may have the general formula (R 2 N) X SiR′ Y where X and Y are integers from 1 to 2 and 2 to 1 respectively, and where R and R′ are selected from the group consisting of hydrogen, alkyl, aryl, allyl, phenyl and a vinyl moiety.
  • the aminosilane is bis(dimethylamino)dimethylsilane.
  • the aminosilane may have the general formula (R 2 N) X SiR′ Y R′′ Z where X, Y and Z are integers from 1 to 3, 3 to 1 and 1 to 3 respectively, and where R, R′, and R′′ are any hydrogen, alkyl, or aryl, allyl, phenyl or vinyl moiety.
  • the invention is also directed to the same general method comprising applying to the film a silylating agent, so as to render the film hydrophobic, said silylating agent having the form R X H Y Si-A where X and Y are integers from 0 to 2 and 3 to 1, respectively and where R, is any hydrogen, alkyl, or aryl, allyl, phenyl or vinyl moiety and where A is a silazane, chloro, amino or alkoxy moiety.
  • the silylating agent may comprise amino, chloro and alkoxy terminated monofunctional terminated silylating agent, wherein methyl moieties on the silylating agent are at least partially replaced by hydrogen analogues.
  • the silylating agent may also comprise a polymeric siloxane with amino, alkoxy, chloro or silazane terminated end groups.
  • the end groups of the polymeric siloxanes may comprise mono or di alkyl, aryl, vinyl or hydrogen moieties.
  • the siloxane may comprise amino terminated polydimethylsiloxane.
  • the silylating agent also may have the general formula R X H Y Si Z A where X, and Y, are integers from 0 to 5, and 6 to 1 respectively and Z is equal to, 1 to 2 and where R is a hydrogen, alkyl, aryl, allyl, phenyl or vinyl moiety, and A is a silazane, chloro, amino or alkoxy moiety.
  • the processing may include etching of the film, and removing a photoresist material from the film, wherein the silylating agent is applied after the etching and the removing.
  • the etching and removing may be performed by exposing the film to a plasma.
  • Single damascene or a dual damascene processing may be used, and the applying of the silylating agent may be performed after definition of at least one of an interconnect line and a via, and prior to deposition of an electrical conductor. Applying of the silylating agent is performed prior to deposition of a conductive liner.
  • the silylating agent may be applied by one of spin coating a liquid, immersing the substrate in a liquid, spray coating the substrate with the liquid, in a vapor phase, or dissolved in super critical carbon dioxide, preferably with a co-solvent selected from the group comprising at least one of alkanes, alkenes, ketones, ethers, and esters.
  • the silylating agent is applied in an absence of moisture.
  • the film may be annealed, preferably at a temperature of at least 350° C., or as high as 450° C. for a period in excess of one minute. The annealing may be performed before or after applying the silylating agent.
  • the silylating agent is preferably applied at a temperature of at least 25° C. The annealing is performed to facilitate at least one of condensing unsilylated silanols in the film, and forming additional siloxane bonds.
  • the silylating agent may be dissolved in a solvent, including a non-polar organic solvent with low surface tension selected from the group comprising alkanes, alkenes, ketones, ethers, esters, or any combinations thereof.
  • a solvent including a non-polar organic solvent with low surface tension selected from the group comprising alkanes, alkenes, ketones, ethers, esters, or any combinations thereof.
  • the solvent has a low enough surface tension so as to penetrate pores in the film.
  • the silylating agent may preferably have a concentration of between two percent and ten percent by weight in the solvent, but may also have a concentration of as low as one half percent or greater by weight in the solvent.
  • the silylating agent may be applied for a period of time between one minute and one hour, at room temperature or higher. Agitation or ultrasonification may be utilized when the silylating agent is applied.
  • the film may be rinsed to remove excess silylating agent.
  • the film may be baked, preferably at a temperature of up to 450° C.
  • the silylating agent may be applied in a vapor phase, at temperatures between room temperature and 450° C., for a duration of thirty seconds to one hour, or of substantially 250° C., for a duration of five minutes.
  • the silylating agent may be applied in super critical carbon dioxide, at temperatures between 25° C. and 450° C., at a pressure between 1000 and 10,000 psi, for a duration of thirty seconds to one hour. It may also be applied in super critical carbon dioxide or vapor media at temperature in excess of 75° C. for times in excess of 30 seconds.
  • the silylating agent is preferably difunctional. It may comprise comprises (Bis) dimethylaminodimethylsilane or (Bis) dimethylaminomethylsilane.
  • the step of applying the silylating agent follows treatment of the film with one of ultraviolet radiation, exposure to ozone, or exposure to a mildly oxidizing plasma or combinations thereof that introduces silanols into the film.
  • the method may be carried out in a chemical vapor deposition chamber, or an atomic layer deposition chamber.
  • the properties that are restored by the method in accordance with the invention include at least one of hydrophobicity, elastic modulus, low dielectric constant, fracture toughness and hardness.
  • the interconnect structure in which such a restored film is integrated may additionally include one or more intermetal dielectrics selected from the group consisting of silicon dioxide, fluorinated tetraethyl orthosilicate, fluorinated silica glass, fluorinated or non-fluorinated organic polymers, thermoset polymers, and chemical vapor deposited polymers.
  • the thermoset polymers may be based on polyphenylene ethers.
  • the chemical vapor deposited polymer may be poly paraxylylene.
  • the additional intermetal dielectrics may be an organic polymers selected from the group of polyimides, benzocyclobutene, polybenzoxazoles, aromatic.
  • the invention is also directed to an article of manufacture comprising an insulating material having a plurality of electrical conductors formed therein; and an intermetal dielectric including an organosilicate film having hydrogen atoms or alkyl or aryl groups attached to silicon atoms; a surface of the organosilicate film comprising a product of the reaction between one of the silylating agents mentioned in the methods set forth above, and the organosilicate film.
  • the article may be configured as a semiconductor chip, a semiconductor chip carrier or a semiconductor wafer.
  • the surface may be an external surface of the film or that of pores within the film.
  • FIG. 1 a to FIG. 1 g illustrate process flow for a standard dual damascene integration scheme
  • FIG. 2 is a schematic diagram illustrating the effect of plasma exposure and silylation on the chemistry of the very low k material
  • FIG. 3 a is a schematic diagram showing how mono functional silylating agents capture only one isolated silanol and block the neighboring silanol;
  • FIG. 3 b is a schematic showing how the di functional analog of the agent used in 3a is successful at capturing two neighboring silanols simultaneously.
  • FIG. 4 a shows a series of FTIR spectra illustrating the effect of mono, di and tri functional silylation agents
  • FIG. 4 b is an enlarged portion of FIG. 4 a.
  • FIG. 5 provides comparisons of FTIR spectra and contact angle data of pristine, plasma damaged, BDMADMS treated and BDMADMS treated and annealed IMD.
  • FIG. 6 is a graph of infrared absorbence as a function of wave number for pristine, plasma damaged, BDMADMS treated and BDMADMS treated and annealed porous organosilicate IMD.
  • invention 1 pertains to the use of a novel class of silylating agents which are very effective silylating agents for recovery of dielectric properties. Further, embodiment 1 of this invention also pertains to a method by which these silylation agents are introduced into the process to ensure that the external surface, as well as the bulk (including all the interior pore walls), of the porous low k material are rendered hydrophobic. Finally, a second embodiment of this invention discloses specific molecular variations on moieties such as silazanes used in the prior art to render them more effective as silylating agents.
  • the silylating agents of this invention are introduced into the single or dual damascene process for building an interconnect structure after the definition of the interconnect line and via and prior to the deposition of the conductive liner and fill materials which comprise the interconnect metal. Specifically, the silylating agents are introduced after the resist is stripped following the reactive ion etch (RIE) of the low k material. If a dual damascene scheme such as the one depicted in FIG. 1 is used, the silylating agent of the present invention is introduced between process steps of FIG. 1 e and FIG. 1 f .
  • the silylating agents detailed in this invention can be used in interconnect structures which have dense or porous organosilicates at either the line or the via level or both.
  • porous organosilicates can be used in structures when porous organosilicates are used in combination with other organosilicates or with materials such as SiO 2 , FSG, fluorinated tetraethyl orthosilicate (FTEOS), or fluorinated or non-fluorinated organic polymers. While the other materials listed may be part of the structure, they are generally not prone to damage of the kind described herein during processing and are thus not amenable to the silylation treatment, as such.
  • the schematic in FIG. 2 demonstrates how the silylating agents used in this invention succeed in restoring the methyl moieties in the low k organosilicate films following their removal during typical process plasma exposures.
  • the group of the silylating agent which leaves the reaction site (the “leaving group”) is the group that reacts with and deprotonates the silanol forming a new siloxane bond.
  • the reactivity of the leaving group determines the efficacy of the silylation reaction.
  • a class of silylating agents which go by the general formula (R 2 N) X SiR′ Y where X and Y are integers from 1 to 2 and 2 to 1 respectively, are introduced after the definition of line and via that will subsequently hold the interconnect metal.
  • R and R′ could be any hydrogen, alkyl, aryl, phenyl, allyl or vinyl moiety that could render the film hydrophobic.
  • These silylating agents are generally called aminosilanes and they will be referred to as such in the remainder of this document. They are termed monofunctional or difunctional depending upon the value of x being 1 or 2 respectively.
  • the aminosilanes are introduced by a spin-on process, in liquid phase, in the vapor phase (in a furnace or in a CVD chamber), or supercritical carbon dioxide media, but in all cases, it is very important to handle the silylating agent in the total absence of ambient moisture, since any moisture that might be present could reduce the efficacy of the silylation reaction. Further, a combination of a silylation followed by an anneal or an anneal followed by a silylation or high temperature (preferably greater than 350 C) silylation is preferred to silylation by itself, as this results in the greatest decrease of silanol content in the film. The anneal step also condenses any remaining unsilylated silanols in the film and enables the formation of additional siloxane bonds which strengthen the film.
  • the aminosilanes When used in a liquid medium, they should preferably be dissolved in any non-polar organic solvent that has a low surface tension so that the pores can be penetrated effectively.
  • solvents include but are not limited to, hexanes, heptanes, xylenes, and the like. It is desirable but not necessary for the solvent to have a low volatility as measured by its flash point and boiling point.
  • concentration of the aminosilanes necessary for effective silylation can be as low as 0.5% by weight of the solution or the aminosilane can be used as such in its undiluted liquid form.
  • the desired range for the most effective silylation is typically 2% to 10% in solution.
  • the solution can either be spin coated on to the porous low k film or used in a wet chemical tank in which the wafers with the interconnect features defined in the porous low k film are immersed for a period ranging from 1 minute to 1 hour or more.
  • the temperature for the silylation can either be room temperature or higher. Agitation or ultrasonification during the immersion is not necessary to facilitate the reaction but in some applications may help enhance the rate of the reaction.
  • the wafers can be rinsed off in the pure solvent and then baked on a hot plate or in a furnace to a temperature up to 450 C.
  • Liquid phase silylation can also be carried out by using the solution defined in the paragraph above and spin coating or spray coating this solution.
  • a vapor phase silylation is carried out with the aminosilanes, it is important for the carrier gas to be inert and non-oxidizing and for the chamber to be moisture free. In case the chamber is not free of moisture, the di and tri functional amino silanes will tend to oligomerize and form either monolayers or films respectively. The formation of monolayers and films is not desirable because the reactivity of the silylating agents with the film in general will be slowed down; further the treatment will also be limited to the top surface and the pores in the bulk of the film will not be rendered hydrophobic. Vapor phase silylation can be carried out at temperatures ranging from room temperature to 450° C. for a duration ranging from 30 seconds to an hour or more.
  • a preferable time and temperature for the vapor phase silylation is 5 minutes at 250° C.
  • an optional hot plate bake or a furnace cure up to a temperature of 450° C. can be employed.
  • the vapor phase treatment of dielectric films can be performed in free standing furnaces, flow through chambers or in processing chambers used in semiconductor industry for chemical vapor deposition (CVD) or atomic layer deposition (ALD). The last two options are particularly attractive since these chambers are designed to handle the creation of a base vacuum to substantially exclude moisture, the introduction of vapor species and substrate heating and because the dielectric can be silylated in situ just prior to the interconnect metal deposition steps which can be readily done using CVD or ALD using appropriate vapor precursors.
  • aminosilanes When aminosilanes are introduced in a supercritical (SC) carbon dioxide (CO 2 ) medium, they can either be introduced by themselves or in combination with any suitable co-solvent.
  • SC CO 2 based silylation can be as follows: Temperature: 25° C. to 450° C., Pressure: 1,000 to 10,000 psi, Time: 30 seconds to 1 hour or more.
  • difunctional aminosilane such as (Bis)dimethylaminodimethylsilane (BDMADMS) or (Bis)dimethylaminomethylsilane in either SC CO 2 or vapor media at temperature in excess of 75° C. for times in excess of 30 s followed by a 400° C. anneal for periods in excess of 1 minute are preferred.
  • Difunctional silylating agents are generally more effective than their monofunctional counterparts since they have a capacity to capture two neighboring non-hydrogen bonded silanols, especially geminal silanols simultaneously as shown in FIG. 3 b ( FIG. 3 b shows two neighboring isolated silanols).
  • Monofunctional silylating agents are generally unable to capture two neighboring silanols due to the three methyl moieties sterically hindering another monofunctional silylating agent from reacting readily with the neighboring silanol as shown in FIG. 3 a .
  • Trifunctional silylating agents have a tendency to cross-link and form films that do not penetrate the pores of a low k film. Additionally, due to the fact that it is not possible for trifunctional silylating agents to capture three silanols simultaneously, there is a possibility for additional silanol formation on the unreacted ends of the silylating agent.
  • FIG. 4 shows the comparison between mono, di and trifunctional chlorine terminated silylating agents where the silylation is performed in a moisture free environment in the liquid phase. From the FTIR spectra in FIG. 4 , it can be seen that the difunctional agent shows the optimum combination of an increase in the methyl content of the film and a decrease in silanol content. A similar effect can be achieved with amino terminated silylation agents with the added benefit that the byproduct of the reaction is not corrosive.
  • liquid phase silylation by BDMADMS followed by an anneal at 400° C. recovers the hydrophobicity and the methyl content of a porous low k film.
  • Tables 1A and 1B show a comparison of contact angles achieved by the preferred agent of this invention, BDMADMS, and that of the silylation agent HMDS used in the prior art. As can be seen from table 1A, BDMADMS is more effective in recovering the contact angle. Table 1B shows that the effect of BDMADMS is not diminished after 4 weeks of exposure to the ambient whilst the contact angle of the HMDS silylated low k material decreases showing a progressive deterioration in dielectric properties. Table 2 shows that BDMADMS recovers the k of the porous low k film after it increases post exposure to a typical process plasma. Similarly, the dielectric loss as well as the dielectric breakdown strength recover back to their original values for films treated with BDMADMS.
  • silylation changes the structural morphology of the organosilicate and renders the backbone more network like rather than cage like, with the result that the mechanical properties are enhanced. This is due to the fact that the silylation reaction forms new network forming siloxane bonds which enhance the mechanical strength of the films.
  • the infrared peak at a wave number of about 1067 (1/cm) which signifies the extent of the network structure in the film shows a marked increase in height with the silylation treatment as seen in the FTIR spectra in FIG. 6 .
  • Table 3 Reference is made to Table 3.
  • the silylation reaction is followed by a furnace anneal to condense any remaining silanols and form new siloxane bonds that further enhance the mechanical strength.
  • Embodiment 1 shows the efficacy of difunctional silylation agents in general and BDMADMS in particular.
  • Embodiment 1 also shows that monofunctional silylating agents such as HMDS and TMCS are not as effective as their difunctional counterparts due to the steric hindrance presented by the three methyl moieties on the silylating agent.
  • monofunctional silylating agents such as HMDS and TMCS are not as effective as their difunctional counterparts due to the steric hindrance presented by the three methyl moieties on the silylating agent.
  • TMDS tetramethyldisilazane
  • silylating agents with the general formula R X H Y Si-A where X and Y are integers from 0 to 2 and 3 to 1 respectively can be used as effective silylating agents.
  • the silylation reaction is followed by a furnace anneal to condense any remaining silanols and form new siloxane bonds that further enhance the mechanical strength.
  • polymeric siloxanes with amino, alkoxy, chloro or silazanes terminated end groups with mono or di alkyl, aryl, vinyl or hydrogen moieties on them can be used to form monolayers on the top surface of the low k film and recover surface hydrophobicity.
  • a siloxane is amino terminated polydimethylsiloxane. It is important to ensure that the molecular weight is low enough so that the silylating agent flows into the gaps created by the etch process to form trench and via in the organosilicate for the formation of the interconnect structure. As described in the embodiments above, the silylation reaction is followed by a furnace anneal to condense any remaining silanols and form new siloxane bonds that further enhance the mechanical strength.
  • the silylating agent can also be introduced immediately after the film is deposited. The efficacy in this case depends on how many silanols are present in the film after deposition.
  • the silylation agent can also be introduced following a treatment such as UV/Ozone, or a mildly oxidizing plasma exposure that introduces silanols into the film.
  • the silylation is followed by a thermal anneal. Silylating agents described in any of the above three embodiments can be employed in this manner.
  • the silylation agent can be either co-deposited or introduced into the chamber along with the precursor for the CVD dielectric.

Abstract

Often used to reduce the RC delay in integrated circuits are dielectric films of porous organosilicates which have a silica like backbone with alkyl or aryl groups (to add hydrophobicity to the materials and create free volume) attached directly to the Si atoms in the network. Si—R bonds rarely survive an exposure to plasmas or chemical treatments commonly used in processing; this is especially the case in materials with an open cell pore structure. When Si—R bonds are broken, the materials lose hydrophobicity, due to formation of hydrophilic silanols and low dielectric constant is compromised. A method by which the hydrophobicity of the materials is recovered using a novel class of silylation agents which may have the general formula (R2N)XSiR′Y where X and Y are integers from 1 to 3 and 3 to 1 respectively, and where R and R′ are selected from the group of hydrogen, alkyl, aryl, allyl and a vinyl moiety. Mechanical strength of porous organosilicates is also improved as a result of the silylation treatment.

Description

  • This application is a divisional of application Ser. No. 11/676,447 filed on Feb. 19, 2007, and issued as U.S. Pat. No. 7,687,913, which is a divisional of application Ser. No. 10/853,771 filed on May 25, 2004, and issued as U.S. Pat. No. 7,179,758, which in turn claims priority under 35 U.S.C. §119(e) from Provisional Application Ser. No. 60/499,856 which was filed on Sep. 3, 2003.
  • FIELD OF THE INVENTION
  • This invention pertains to interconnect wiring networks on very high performance microelectronic chips used in computers, microprocessors, microcontrollers, sensors, communication devices and the like. In particular, the inventive structures described herein pertain to significantly reducing the signal propagation delay associated with these wires. The inventive methods detailed and claimed provide the chemistry and processing required to recover the dielectric properties of low dielectric constant dielectrics after they have been rendered hydrophilic by required plasma exposures and to the chemistry and method required to increase the mechanical strength, and maintain the low dielectric constant of porous organosilicate dielectrics after they have been deposited and during the process of building an interconnect structure comprising these films. This invention further pertains to methods which enable the successful integration of these materials into such chips.
  • BACKGROUND OF THE INVENTION
  • High performance microprocessor, microcontroller and communication chips require very high speed interconnects between the active transistor devices which are used to perform the various functions such as logical operations, storing and retrieving data, providing control signals, and the like. With progress in the transistor device technology leading to the present ultra large scale integration, the overall speed of operation of these advanced chips is beginning to be limited by the signal propagation delay in the interconnection wires between the individual devices on the chips. The signal propagation delay in the interconnects is dependent on the RC product wherein, R denotes the resistance of the interconnect wires and C represents the overall capacitance of the interconnect scheme in which the wires are embedded. Use of copper instead of aluminum as the interconnect wiring material has allowed the reduction of the resistance contribution to the RC product. The current focus in the microelectronics industry is to reduce interconnect capacitance by the use of lower dielectric constant (k) insulators in building the multilayered interconnect structures on chips.
  • One prior art method of creating interconnect wiring network on such small a scale is the dual damascene (DD) process schematically shown in FIGS. 1 a to 1 g. Referring to FIG. 1 a, In the standard DD process, an inter metal dielectric (IMD), shown as two layers 1110, 1120 is coated on the substrate 1100. The via level dielectric 1110 and the line level dielectric 1120 are shown separately for clarity of the process flow description. In general, these two layers can be made of the same or different insulating films and in the former case applied as a single monolithic layer. A hard mask layer or a layered stack 1130 is optionally employed to facilitate etch selectivity and to serve as a polish stop. The wiring interconnect network consists of two types of features: line features that traverse a distance across the chip, and the via features which connect lines in different levels of interconnects in a multilevel stack together. Historically, both layers are made from an inorganic glass such as silicon dioxide (SiO2) or a fluorinated silica glass (FSG) film deposited by plasma enhanced chemical vapor deposition (PECVD).
  • Referring to FIG. 1 b and FIG. 1 c, in the dual damascene process, the position of the lines 1150 and the vias 1170 are defined lithographically in photoresist layers 1500 and 1510 respectively, and transferred into the hard mask and IMD layers using reactive ion etching processes. The process sequence shown in FIGS. 1 a through 1 d is called a “line-first” approach. After the trench formation, lithography is used to define a via pattern 1170 in the photoresist layer 1510 and the pattern is transferred into the dielectric material to generate a via opening 1180, as illustrated in FIG. 1 d. The dual damascene trench and via structure 1190 is shown in FIG. 1 e after the photoresist has been stripped.
  • As shown in FIG. 1 f, the recessed structure 1190 is then coated with a conducting liner material or material stack 1200 that serves to protect the conductor metal lines and vias and serve as an adhesion layer between the conductor and the IMD. This recess is then filled with a conducting fill material 1210 over the surface of the patterned substrate. The fill is most commonly accomplished by electroplating of copper although other methods such as chemical vapor deposition (CVD) and other materials such as aluminum or gold can also be used. The fill and liner materials are then chemical-mechanical polished (CMP) to be coplanar with the surface of the hard mask and the structure at this stage is shown in FIG. 1 f. A capping material 1220 is deposited as a blanket film, as is depicted in FIG. 1 g to passivate the exposed metal surface and to serve as a diffusion barrier between the metal and any additional IMD layers to be deposited over them. Silicon nitride, silicon carbide, and silicon carbonitride films deposited by PECVD are typically used as the capping material 1220. This process sequence is repeated for each level of the interconnects on the device. Since two interconnect features are simultaneously defined to form a conductor inlaid within an insulator by a single polish step, this process is designated a dual damascene process. In order to lower the capacitance, it is necessary to use lower k dielectrics such as PECVD or spin-on organo-silicates which have k values in the 2.5 to 3.1 range instead of the PECVD silicon dioxide based dielectrics (k=3.6 to 4.1). These organosilicates have a silica like backbone with hydrogen and/or organic groups such as alkyl or aryl groups attached directly to the Si atoms in the network. Their elemental compositions generally consist of Si, C, O, and H in various ratios. The C and H are most often present in the form of methyl groups (—CH3). The primary function of these methyl groups is to add hydrophobicity to the materials. A secondary function is to create free volume in these films and reduce their polarizability. The k value can be further reduced to 2.2 (ultra low k) and even below 2.0 (extremely low k) by introduction of porosity in these insulators. For the purpose of brevity, these ultra low k and extreme low k materials will be referred to collectively as very low k materials in this document.
  • Although a tunable range of k values is possible with this set of very low k materials, there are several difficulties in integrating these materials with copper interconnects by the dual damascene process described above or by any other variation of the dual damascene process. The chief difficulty is that the organosilicate-based materials are very sensitive to plasma exposures because of the relative ease of oxidation or cleavage of the Si-organic group linkage (for example, Si-methyl) which results in formation of silanol (Si—OH) groups in the film through a potential reaction with moisture in the ambient environment. Silanols absorb water and hence increase the dielectric constant and the dielectric loss factor of the film significantly, thus negating the performance benefits expected from the very low k films. They also increase the electrical leakage in the film and thus create a potentially unreliable interconnect structure. Since reactive ion etch and plasma etch are key steps required in the formation of the dual damascene trench and via structure as described above, and in the removal of photoresists used in patterning the very low k materials, it is very difficult, if not impossible, to avoid plasma damage of this class of films during a prior art dual damascene integration.
  • Several attempts have been made to minimize the loss of hydrophobicity in the low k films using non-oxidizing resist strip plasmas consisting of some or all of He, H2, N2, CO etc. However, it must be noted that none of these plasma chemistries completely succeed in preventing the loss of hydrophobicity of the very low k materials. This is especially the case for porous low k materials which have a very large surface area and are easily susceptible to damage during the resist strip processes.
  • Another method to prevent the low k material from losing its hydrophobicity and its dielectric properties is the use of fluorinated or non-fluorinated organic polymer based low k materials such as Dow Chemical's SiLK™ dielectric, Honeywell's Flare™ and other polyimides, benzocyclobutene, polybenzoxazoles, aromatic thermoset polymers based on polyphenylene ethers; and chemical vapor deposited polymers such as poly paraxylylene which are not susceptible to damage during traditional process plasma exposures associated with the dual damascene processing. However, these materials do not possess the other properties required of a low k dielectric film such as a low thermal expansion and small pore sizes.
  • Another problem facing the successful integration of organosilicate-based porous materials is that they are very fragile mechanically due to their low elastic modulus, fracture toughness and hardness which often lead to failures in CMP, dicing and packaging operations. The mechanical strength of these resins depends on both the void volume as well as their chemical structure. Their mechanical strength decreases with increasing porosity as well as increasing cage-like structure of the siloxane backbone. Since it is imperative that a low dielectric constant be maintained, it is very difficult to decrease the void volume while maintaining the same mechanical strength. Several methods (Padhi et al., J. Electrochem. Soc., 150 (1), G10-G14, (2003), and United States patent application publication US2004/0087135 A1 of Canaperi et al., assigned to the same assignee as that of the present invention) have been proposed to handle porous organosilicate materials with weak mechanical strengths but most of these methods are difficult to implement due to the fact that these methods either involve a nonstandard process flow or a nonstandard tool. Therefore they are expensive to implement in production.
  • In the literature on porous silica based films, (For example, Prakash et al., Nature, 374, 439, (1995)), surface modification to introduce hydrophobic end groups during film formation is accomplished by means of a wet chemical treatment wherein the silylating agent (Tri-methyl chloro silane-TMCS) is introduced into the porous network by means of a low surface tension carrier solvent. Such a reaction, called silylation, is feasible for films that are in the process of forming since there is a great deal of free volume and an abundance of silanols that would otherwise condense and bridge. Thus far, it is not clear whether a similar reaction can be performed on fully formed films which, even after exposure to process chemistries that damage the film, have fewer silanols than the films that are in the process of forming. There have been studies published by Chang et. al., (J. Electrochem Soc., 149, 8, F81-F84, 2002) where an attempt has been made to recover the hydrophobicity and the carbon content of the porous OSG film after damage using hexamethy disilazane (HMDS) as the silylating agent. However, it is clear from their results that HMDS in any medium is unable to recover, completely, the properties of the porous OSG film. Similarly, TMCS is not completely effective at recovering the dielectric properties either. Both HMDS and TMCS are monofunctional silylating agents with the ability to attack only a single isolated silanol group per molecule on the surface and pore wall of the low k material. However, organosilicate based low k materials have two distinct types of silanols which are classified as follows (Gun'ko et al., J. Colloid and Interface Sci 228, 157-170 (2000)): The first type of silanol is the non-hydrogen bonded silanol which in itself consists of, (1) completely non-interacting single silanols (also called isolated silanols) which do not have any neighboring silanols nearby, (2) very weakly interacting silanols, and (3) weakly and non-interacting geminal silanols (also called disilanol). The second type of silanol is the hydrogen bonded silanol. Most monofunctional silylation agents attack and replace the isolated silanols readily, but generally do not attack the other two types of non-hydrogen bonded silanols as readily. The primary reason for this is that steric hindrance prevents the simultaneous capture of more than one silanol with a monofunctional silylating agent readily. Additionally, it is also important to use a silylating agent with the most reactive functionality to readily silylate the surface and pore walls of the low k material without releasing a byproduct of the reaction that is corrosive.
  • Hu et al., (J. of Electrochem. Soc., 150 (4) F61-F66 (2003)) have also published a study where they examine the efficacy of dimethyldichloro silane (DMDCS) as a silylating agent to recover the properties of low k materials. However, in their study, they report that dimethyldichloro silane forms a monolayer on the top surface of the film and does not penetrate the bulk of the porous low k material. Thus, unless the appropriate silylating medium as well as conditions for the silylation are used, it is difficult to recover the bulk dielectric properties of the low k material. Additionally, the byproduct of any chlorine based silylating agent such as dimethyldichloro silane and TMCS is hydrogen chloride, which is corrosive and cannot be used in interconnect structures that contain copper.
  • SUMMARY OF THE INVENTION
  • It is therefore an aspect of the present invention to provide a low cost, non-disruptive method to increase the mechanical strength by altering the cage to network ratio of the porous organosilicate resin after the deposition and cure.
  • It is therefore an object of this invention to provide a class of silylating agents as well as a process flow to utilize them by means of which the hydrophobicity of the materials is recovered completely post process exposure without yielding a corrosive byproduct.
  • It is a further object of this invention to provide a method by which the silylating agents of this invention can be introduced such that they penetrate the bulk of the porous low k material and recover the properties.
  • It is a further object of the invention to alter the chemistry of resins post deposition and pore formation to increase the mechanical strength and overcome some of the chief obstacles facing the successful integration of porous organosilicates.
  • The method by which the cage-network ratio is altered in this invention is also by silylation which introduces new network forming siloxane bonds into the film and hence improves mechanical properties without a significant increase in dielectric constant. However, for the silylation reaction to work, it is necessary for the organosilicate film to have an abundance of silanols. Providing these silanols prior to silylation and ensuring that the silylation reaction occurs to a sufficient extent to strengthen this film is also an object of this invention.
  • An advantage of this invention is that the material choice for ultra low k intermetal dielectrics need not be constrained by a consideration of the effects of plasma and wet cleaning damage to these materials because they can be restored to their original properties after they have been damaged by employing the silylation methods taught in the present invention. Further, the availability of a reliable method to recover the properties of films damaged by plasma exposures allows more process options in the reactive ion etch (RIE) and resist strip operations required in the dual damascene build and can in turn result in more robust and lower cost processing. Finally, this invention provides a method to increase the mechanical robustness of the porous organosilicate films to be used as IMD's.
  • Thus, the invention is directed to a method for restoring properties of a low k or very low k dielectric constant organosilicate film having hydrogen atoms or alkyl or aryl groups attached to silicon atoms, and used in a low very low dielectric constant as an insulating layer in a semiconductor chip, or chip carrier, or a semiconductor wafer wherein the organosilicate film has undergone processing tending to degrade its the properties. The method comprises applying to the film a silylating agent comprising an aminosilane, so as to render the film hydrophobic. The aminosilane may have the general formula (R2N)XSiR′Y where X and Y are integers from 1 to 2 and 2 to 1 respectively, and where R and R′ are selected from the group consisting of hydrogen, alkyl, aryl, allyl, phenyl and a vinyl moiety. Preferably, the aminosilane is bis(dimethylamino)dimethylsilane.
  • The aminosilane may have the general formula (R2N)XSiR′YR″Z where X, Y and Z are integers from 1 to 3, 3 to 1 and 1 to 3 respectively, and where R, R′, and R″ are any hydrogen, alkyl, or aryl, allyl, phenyl or vinyl moiety.
  • The invention is also directed to the same general method comprising applying to the film a silylating agent, so as to render the film hydrophobic, said silylating agent having the form RXHYSi-A where X and Y are integers from 0 to 2 and 3 to 1, respectively and where R, is any hydrogen, alkyl, or aryl, allyl, phenyl or vinyl moiety and where A is a silazane, chloro, amino or alkoxy moiety. The silylating agent may comprise amino, chloro and alkoxy terminated monofunctional terminated silylating agent, wherein methyl moieties on the silylating agent are at least partially replaced by hydrogen analogues. The silylating agent may also comprise a polymeric siloxane with amino, alkoxy, chloro or silazane terminated end groups. The end groups of the polymeric siloxanes may comprise mono or di alkyl, aryl, vinyl or hydrogen moieties. The siloxane may comprise amino terminated polydimethylsiloxane.
  • The silylating agent also may have the general formula RXHYSiZA where X, and Y, are integers from 0 to 5, and 6 to 1 respectively and Z is equal to, 1 to 2 and where R is a hydrogen, alkyl, aryl, allyl, phenyl or vinyl moiety, and A is a silazane, chloro, amino or alkoxy moiety.
  • In accordance with the invention, the processing may include etching of the film, and removing a photoresist material from the film, wherein the silylating agent is applied after the etching and the removing. The etching and removing may be performed by exposing the film to a plasma. Single damascene or a dual damascene processing may be used, and the applying of the silylating agent may be performed after definition of at least one of an interconnect line and a via, and prior to deposition of an electrical conductor. Applying of the silylating agent is performed prior to deposition of a conductive liner.
  • The silylating agent may be applied by one of spin coating a liquid, immersing the substrate in a liquid, spray coating the substrate with the liquid, in a vapor phase, or dissolved in super critical carbon dioxide, preferably with a co-solvent selected from the group comprising at least one of alkanes, alkenes, ketones, ethers, and esters. Significantly, the silylating agent is applied in an absence of moisture. The film may be annealed, preferably at a temperature of at least 350° C., or as high as 450° C. for a period in excess of one minute. The annealing may be performed before or after applying the silylating agent. The silylating agent is preferably applied at a temperature of at least 25° C. The annealing is performed to facilitate at least one of condensing unsilylated silanols in the film, and forming additional siloxane bonds.
  • The silylating agent may be dissolved in a solvent, including a non-polar organic solvent with low surface tension selected from the group comprising alkanes, alkenes, ketones, ethers, esters, or any combinations thereof. Preferably, the solvent has a low enough surface tension so as to penetrate pores in the film. The silylating agent may preferably have a concentration of between two percent and ten percent by weight in the solvent, but may also have a concentration of as low as one half percent or greater by weight in the solvent.
  • The silylating agent may be applied for a period of time between one minute and one hour, at room temperature or higher. Agitation or ultrasonification may be utilized when the silylating agent is applied. The film may be rinsed to remove excess silylating agent. The film may be baked, preferably at a temperature of up to 450° C.
  • The silylating agent may be applied in a vapor phase, at temperatures between room temperature and 450° C., for a duration of thirty seconds to one hour, or of substantially 250° C., for a duration of five minutes. The silylating agent may be applied in super critical carbon dioxide, at temperatures between 25° C. and 450° C., at a pressure between 1000 and 10,000 psi, for a duration of thirty seconds to one hour. It may also be applied in super critical carbon dioxide or vapor media at temperature in excess of 75° C. for times in excess of 30 seconds.
  • The silylating agent is preferably difunctional. It may comprise comprises (Bis) dimethylaminodimethylsilane or (Bis) dimethylaminomethylsilane.
  • The step of applying the silylating agent follows treatment of the film with one of ultraviolet radiation, exposure to ozone, or exposure to a mildly oxidizing plasma or combinations thereof that introduces silanols into the film. The method may be carried out in a chemical vapor deposition chamber, or an atomic layer deposition chamber.
  • The properties that are restored by the method in accordance with the invention include at least one of hydrophobicity, elastic modulus, low dielectric constant, fracture toughness and hardness. The interconnect structure in which such a restored film is integrated may additionally include one or more intermetal dielectrics selected from the group consisting of silicon dioxide, fluorinated tetraethyl orthosilicate, fluorinated silica glass, fluorinated or non-fluorinated organic polymers, thermoset polymers, and chemical vapor deposited polymers. The thermoset polymers may be based on polyphenylene ethers. The chemical vapor deposited polymer may be poly paraxylylene. The additional intermetal dielectrics may be an organic polymers selected from the group of polyimides, benzocyclobutene, polybenzoxazoles, aromatic.
  • The invention is also directed to an article of manufacture comprising an insulating material having a plurality of electrical conductors formed therein; and an intermetal dielectric including an organosilicate film having hydrogen atoms or alkyl or aryl groups attached to silicon atoms; a surface of the organosilicate film comprising a product of the reaction between one of the silylating agents mentioned in the methods set forth above, and the organosilicate film. The article may be configured as a semiconductor chip, a semiconductor chip carrier or a semiconductor wafer. The surface may be an external surface of the film or that of pores within the film.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • These and other aspects, features, and advantages of the present invention will become apparent upon further consideration of the following detailed description of the invention when read in conjunction with the drawing figures, in which:
  • FIG. 1 a to FIG. 1 g illustrate process flow for a standard dual damascene integration scheme;
  • FIG. 2 is a schematic diagram illustrating the effect of plasma exposure and silylation on the chemistry of the very low k material;
  • FIG. 3 a is a schematic diagram showing how mono functional silylating agents capture only one isolated silanol and block the neighboring silanol;
  • FIG. 3 b is a schematic showing how the di functional analog of the agent used in 3a is successful at capturing two neighboring silanols simultaneously.
  • FIG. 4 a shows a series of FTIR spectra illustrating the effect of mono, di and tri functional silylation agents;
  • FIG. 4 b is an enlarged portion of FIG. 4 a.
  • FIG. 5 provides comparisons of FTIR spectra and contact angle data of pristine, plasma damaged, BDMADMS treated and BDMADMS treated and annealed IMD.
  • FIG. 6 is a graph of infrared absorbence as a function of wave number for pristine, plasma damaged, BDMADMS treated and BDMADMS treated and annealed porous organosilicate IMD.
  • DESCRIPTION OF THE INVENTION
  • Variations described for the present invention can be realized in any combination desirable for each particular application. Thus particular limitations, and/or embodiment enhancements described herein, which may have particular advantages to the particular application need not be used for all applications. Also, it should be realized that not all limitations need be implemented in methods, systems and/or apparatus including one or more concepts of the present invention.
  • The primary embodiment of this invention (hereinafter “embodiment 1”) pertains to the use of a novel class of silylating agents which are very effective silylating agents for recovery of dielectric properties. Further, embodiment 1 of this invention also pertains to a method by which these silylation agents are introduced into the process to ensure that the external surface, as well as the bulk (including all the interior pore walls), of the porous low k material are rendered hydrophobic. Finally, a second embodiment of this invention discloses specific molecular variations on moieties such as silazanes used in the prior art to render them more effective as silylating agents.
  • In embodiment 1 of this invention, the silylating agents of this invention are introduced into the single or dual damascene process for building an interconnect structure after the definition of the interconnect line and via and prior to the deposition of the conductive liner and fill materials which comprise the interconnect metal. Specifically, the silylating agents are introduced after the resist is stripped following the reactive ion etch (RIE) of the low k material. If a dual damascene scheme such as the one depicted in FIG. 1 is used, the silylating agent of the present invention is introduced between process steps of FIG. 1 e and FIG. 1 f. The silylating agents detailed in this invention can be used in interconnect structures which have dense or porous organosilicates at either the line or the via level or both. Further, they can be used in structures when porous organosilicates are used in combination with other organosilicates or with materials such as SiO2, FSG, fluorinated tetraethyl orthosilicate (FTEOS), or fluorinated or non-fluorinated organic polymers. While the other materials listed may be part of the structure, they are generally not prone to damage of the kind described herein during processing and are thus not amenable to the silylation treatment, as such.
  • The schematic in FIG. 2 demonstrates how the silylating agents used in this invention succeed in restoring the methyl moieties in the low k organosilicate films following their removal during typical process plasma exposures. The group of the silylating agent which leaves the reaction site (the “leaving group”) is the group that reacts with and deprotonates the silanol forming a new siloxane bond. Thus, the reactivity of the leaving group determines the efficacy of the silylation reaction.
  • In embodiment 1 of this invention, a class of silylating agents which go by the general formula (R2N)XSiR′Y where X and Y are integers from 1 to 2 and 2 to 1 respectively, are introduced after the definition of line and via that will subsequently hold the interconnect metal. In the above formula, R and R′ could be any hydrogen, alkyl, aryl, phenyl, allyl or vinyl moiety that could render the film hydrophobic. These silylating agents are generally called aminosilanes and they will be referred to as such in the remainder of this document. They are termed monofunctional or difunctional depending upon the value of x being 1 or 2 respectively. The aminosilanes are introduced by a spin-on process, in liquid phase, in the vapor phase (in a furnace or in a CVD chamber), or supercritical carbon dioxide media, but in all cases, it is very important to handle the silylating agent in the total absence of ambient moisture, since any moisture that might be present could reduce the efficacy of the silylation reaction. Further, a combination of a silylation followed by an anneal or an anneal followed by a silylation or high temperature (preferably greater than 350 C) silylation is preferred to silylation by itself, as this results in the greatest decrease of silanol content in the film. The anneal step also condenses any remaining unsilylated silanols in the film and enables the formation of additional siloxane bonds which strengthen the film.
  • When the aminosilanes are used in a liquid medium, they should preferably be dissolved in any non-polar organic solvent that has a low surface tension so that the pores can be penetrated effectively. Examples of such solvents include but are not limited to, hexanes, heptanes, xylenes, and the like. It is desirable but not necessary for the solvent to have a low volatility as measured by its flash point and boiling point. The concentration of the aminosilanes necessary for effective silylation can be as low as 0.5% by weight of the solution or the aminosilane can be used as such in its undiluted liquid form. The desired range for the most effective silylation is typically 2% to 10% in solution. The solution can either be spin coated on to the porous low k film or used in a wet chemical tank in which the wafers with the interconnect features defined in the porous low k film are immersed for a period ranging from 1 minute to 1 hour or more. The temperature for the silylation can either be room temperature or higher. Agitation or ultrasonification during the immersion is not necessary to facilitate the reaction but in some applications may help enhance the rate of the reaction. Following the silylation, the wafers can be rinsed off in the pure solvent and then baked on a hot plate or in a furnace to a temperature up to 450 C.
  • Liquid phase silylation can also be carried out by using the solution defined in the paragraph above and spin coating or spray coating this solution.
  • When a vapor phase silylation is carried out with the aminosilanes, it is important for the carrier gas to be inert and non-oxidizing and for the chamber to be moisture free. In case the chamber is not free of moisture, the di and tri functional amino silanes will tend to oligomerize and form either monolayers or films respectively. The formation of monolayers and films is not desirable because the reactivity of the silylating agents with the film in general will be slowed down; further the treatment will also be limited to the top surface and the pores in the bulk of the film will not be rendered hydrophobic. Vapor phase silylation can be carried out at temperatures ranging from room temperature to 450° C. for a duration ranging from 30 seconds to an hour or more. A preferable time and temperature for the vapor phase silylation is 5 minutes at 250° C. Following vapor phase silylation, an optional hot plate bake or a furnace cure up to a temperature of 450° C. can be employed. The vapor phase treatment of dielectric films can be performed in free standing furnaces, flow through chambers or in processing chambers used in semiconductor industry for chemical vapor deposition (CVD) or atomic layer deposition (ALD). The last two options are particularly attractive since these chambers are designed to handle the creation of a base vacuum to substantially exclude moisture, the introduction of vapor species and substrate heating and because the dielectric can be silylated in situ just prior to the interconnect metal deposition steps which can be readily done using CVD or ALD using appropriate vapor precursors.
  • When aminosilanes are introduced in a supercritical (SC) carbon dioxide (CO2) medium, they can either be introduced by themselves or in combination with any suitable co-solvent. The temperature, pressure and time ranges for the SC CO2 based silylation can be as follows: Temperature: 25° C. to 450° C., Pressure: 1,000 to 10,000 psi, Time: 30 seconds to 1 hour or more.
  • The use of a difunctional aminosilane such as (Bis)dimethylaminodimethylsilane (BDMADMS) or (Bis)dimethylaminomethylsilane in either SC CO2 or vapor media at temperature in excess of 75° C. for times in excess of 30 s followed by a 400° C. anneal for periods in excess of 1 minute are preferred. Difunctional silylating agents are generally more effective than their monofunctional counterparts since they have a capacity to capture two neighboring non-hydrogen bonded silanols, especially geminal silanols simultaneously as shown in FIG. 3 b (FIG. 3 b shows two neighboring isolated silanols). Monofunctional silylating agents are generally unable to capture two neighboring silanols due to the three methyl moieties sterically hindering another monofunctional silylating agent from reacting readily with the neighboring silanol as shown in FIG. 3 a. Trifunctional silylating agents have a tendency to cross-link and form films that do not penetrate the pores of a low k film. Additionally, due to the fact that it is not possible for trifunctional silylating agents to capture three silanols simultaneously, there is a possibility for additional silanol formation on the unreacted ends of the silylating agent.
  • FIG. 4 shows the comparison between mono, di and trifunctional chlorine terminated silylating agents where the silylation is performed in a moisture free environment in the liquid phase. From the FTIR spectra in FIG. 4, it can be seen that the difunctional agent shows the optimum combination of an increase in the methyl content of the film and a decrease in silanol content. A similar effect can be achieved with amino terminated silylation agents with the added benefit that the byproduct of the reaction is not corrosive.
  • As shown in FIG. 5, liquid phase silylation by BDMADMS followed by an anneal at 400° C. recovers the hydrophobicity and the methyl content of a porous low k film.
  • Tables 1A and 1B show a comparison of contact angles achieved by the preferred agent of this invention, BDMADMS, and that of the silylation agent HMDS used in the prior art. As can be seen from table 1A, BDMADMS is more effective in recovering the contact angle. Table 1B shows that the effect of BDMADMS is not diminished after 4 weeks of exposure to the ambient whilst the contact angle of the HMDS silylated low k material decreases showing a progressive deterioration in dielectric properties. Table 2 shows that BDMADMS recovers the k of the porous low k film after it increases post exposure to a typical process plasma. Similarly, the dielectric loss as well as the dielectric breakdown strength recover back to their original values for films treated with BDMADMS.
  • TABLE 1A
    Immediately After Silylation
    CONTACT ANGLE
    TREATMENT (degrees)
    Pristine Film 104
    Post Plasma Exposure 0.5
    Post HMDS silylation + 400° C. anneal 85
    Post BDMADMS silylation + 400° C. anneal 107.8
  • TABLE 1B
    Four Weeks After Silylation
    CONTACT ANGLE
    TREATMENT (degrees)
    Pristine Film 104
    Post Plasma Exposure 0
    Post HMDS silylation + 400° C. anneal 81.3
    Post BDMADMS silylation + 400° C. anneal 107.7
  • TABLE 2
    DIELECTRIC
    FILM/TREATMENT CONSTANT
    Pristine Film 2.1
    Post Plasma Exposure + 400° C. anneal 2.42
    Post BDMADMS silylation + 400° C. anneal 1.95
  • It can be seen from FIG. 6 that silylation changes the structural morphology of the organosilicate and renders the backbone more network like rather than cage like, with the result that the mechanical properties are enhanced. This is due to the fact that the silylation reaction forms new network forming siloxane bonds which enhance the mechanical strength of the films. The infrared peak at a wave number of about 1067 (1/cm) which signifies the extent of the network structure in the film shows a marked increase in height with the silylation treatment as seen in the FTIR spectra in FIG. 6. Reference is made to Table 3.
  • TABLE 3
    Chlorosilane Aminosilane Alkoxysilane
    LEAVING GROUP HCL NHR2 ROH
    DISSOCIATION ENERGY 117 98 123
    Me3Si—X (kcal/mol)
  • As described above, the silylation reaction is followed by a furnace anneal to condense any remaining silanols and form new siloxane bonds that further enhance the mechanical strength.
  • Embodiment 2
  • Embodiment 1 shows the efficacy of difunctional silylation agents in general and BDMADMS in particular. Embodiment 1 also shows that monofunctional silylating agents such as HMDS and TMCS are not as effective as their difunctional counterparts due to the steric hindrance presented by the three methyl moieties on the silylating agent. However, through appropriately replacing the methyl moieties on the silylating agent with the smaller hydrogen moiety, it is possible to overcome this issue. For example, in place of HMDS, the use of tetramethyldisilazane (TMDS) will result in reduced steric hindrance and a more effective silylation reaction. Similarly greater success in silylation can be demonstrated with amino, chloro and alkoxy terminated mono functional silylation agents where the methyl moieties are at least partially replaced by their hydrogen analogs. Thus, silylating agents with the general formula RXHYSi-A where X and Y are integers from 0 to 2 and 3 to 1 respectively can be used as effective silylating agents. As described in the embodiment above, the silylation reaction is followed by a furnace anneal to condense any remaining silanols and form new siloxane bonds that further enhance the mechanical strength.
  • Embodiment 3
  • For applications where it is not necessary to penetrate the pores of the porous low k film, polymeric siloxanes with amino, alkoxy, chloro or silazanes terminated end groups with mono or di alkyl, aryl, vinyl or hydrogen moieties on them can be used to form monolayers on the top surface of the low k film and recover surface hydrophobicity. One example of such a siloxane is amino terminated polydimethylsiloxane. It is important to ensure that the molecular weight is low enough so that the silylating agent flows into the gaps created by the etch process to form trench and via in the organosilicate for the formation of the interconnect structure. As described in the embodiments above, the silylation reaction is followed by a furnace anneal to condense any remaining silanols and form new siloxane bonds that further enhance the mechanical strength.
  • Embodiment 4
  • The silylating agent can also be introduced immediately after the film is deposited. The efficacy in this case depends on how many silanols are present in the film after deposition. In this embodiment, the silylation agent can also be introduced following a treatment such as UV/Ozone, or a mildly oxidizing plasma exposure that introduces silanols into the film. As in previous embodiments, the silylation is followed by a thermal anneal. Silylating agents described in any of the above three embodiments can be employed in this manner. In the case of CVD deposited films, the silylation agent can be either co-deposited or introduced into the chamber along with the precursor for the CVD dielectric.
  • It is noted that the foregoing has outlined some of the more pertinent objects and embodiments of the present invention. The concepts of this invention may be used for many applications. Thus, although the description is made for particular arrangements and methods, the intent and concept of the invention is suitable and applicable to other arrangements and applications. It will be clear to those skilled in the art that other modifications to the disclosed embodiments can be effected without departing from the spirit and scope of the invention. The described embodiments ought to be construed to be merely illustrative of some of the more prominent features and applications of the invention. Other beneficial results can be realized by applying the disclosed invention in a different manner or modifying the invention in ways known to those familiar with the art. Thus, it should be understood that the embodiments has been provided as an example and not as a limitation. The scope of the invention is defined by the appended claims.

Claims (20)

1. A method for restoring properties of an organosilicate film having hydrogen atoms or alkyl or aryl groups attached to silicon atoms, in a low or very low dielectric constant insulating layer in a semiconductor chip, chip carrier, or a semiconductor wafer wherein said organosilicate film has undergone processing tending to degrade its properties, the method comprising:
applying to the film a silylating agent, so as to render the film hydrophobic, said silylating agent having the form RXHYSi-A where X and Y are integers from 0 to 2 and 3 to 1, respectively and where R, is any hydrogen, alkyl, aryl, allyl, phenyl or vinyl moiety and where A is an amino, chloro or alkoxy moiety.
2. A method as recited in 1, wherein the processing includes etching of the film, and removing a photoresist material from the film, wherein the silylating agent is applied after the etching and the removing.
3. A method as recited in claim 2, wherein the etching and removing are performed by exposing the film to a plasma.
4. A method as recited in claims 1 wherein a single damascene or a dual damascene processing is used, and said applying of said silylating agent is performed after definition of at least one of an interconnect line and a via, and prior to deposition of an electrical conductor.
5. A method as recited in claim 13, wherein said applying of said silylating agent is performed prior to deposition of a conductive liner.
6. A method for restoring properties of an organosilicate film having hydrogen atoms or alkyl or aryl groups attached to silicon atoms, in a low or very low dielectric constant insulating layer in a semiconductor chip, chip carrier, or a semiconductor wafer wherein said organosilicate film has undergone processing tending to degrade its properties, the method comprising:
applying to the film a silylating agent, so as to render the film hydrophobic, said silylating agent comprising monofunctional terminating groups selected from amino, chloro or alkoxy groups and wherein methyl moieties on said silylating agent are at least partially replaced by hydrogen analogues.
7. A method as recited in 6, wherein the processing includes etching of the film, and removing a photoresist material from the film, wherein the silylating agent is applied after the etching and the removing.
8. A method as recited in claim 7, wherein the etching and removing are performed by exposing the film to a plasma.
9. A method as recited in claims 6 wherein a single damascene or a dual damascene processing is used, and said applying of said silylating agent is performed after definition of at least one of an interconnect line and a via, and prior to deposition of an electrical conductor.
10. A method for restoring properties of an organosilicate film having hydrogen atoms or alkyl or aryl groups attached to silicon atoms, in a low or very low dielectric constant insulating layer in a semiconductor chip, chip carrier, or a semiconductor wafer wherein said organosilicate film has undergone processing tending to degrade its properties, the method comprising:
applying to the film a silylating agent, so as to render the film hydrophobic, said silylating agent comprising a polymeric siloxane with amino, alkoxy, chloro or silazane terminated end groups.
11. A method as recited in claim 10, wherein said end groups of the polymeric siloxanes comprise mono or di alkyl, aryl, vinyl or hydrogen moieties.
12. A method as recited in claim 10, wherein said siloxane comprises amino terminated polydimethylsiloxane.
13. A method as recited in claim 10, wherein the processing includes etching of the film, and removing a photoresist material from the film, wherein the silylating agent is applied after the etching and the removing.
14. A method as recited in claim 13, wherein the etching and removing are performed by exposing the film to a plasma.
15. A method as recited in claim 10, wherein a single damascene or a dual damascene processing is used, and said applying of said silylating agent is performed after definition of at least one of an interconnect line and a via, and prior to deposition of an electrical conductor.
16. A method for restoring properties of an organosilicate film having hydrogen atoms or alkyl or aryl groups attached to silicon atoms, in a low or very low dielectric constant insulating layer in a semiconductor chip, chip carrier, or a semiconductor wafer wherein said organosilicate film has undergone processing tending to degrade its properties, the method comprising:
applying to the film a silylating agent, so as to render the film hydrophobic, the silylating agent having the general formula RXHYSiZA where X, and Y, and Z are integers from 0 to 5, and 6 to 1 and Z is equal to 2, respectively and where R is a hydrogen, alkyl, aryl, allyl, phenyl or vinyl moiety, and A is a silazane.
17. A method as recited in 16, wherein the processing includes etching of the film, and removing a photoresist material from the film, wherein the silylating agent is applied after the etching and the removing.
18. A method as recited in claim 17, wherein the etching and removing are performed by exposing the film to a plasma.
19. A method as recited in claim 16, wherein a single damascene or a dual damascene processing is used, and said applying of said silylating agent is performed after definition of at least one of an interconnect line and a via, and prior to deposition of an electrical conductor.
20. A method as recited in claim 13, wherein said applying of said silylating agent is performed prior to deposition of a conductive liner.
US12/749,213 2003-09-03 2010-03-29 Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics Abandoned US20110003402A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/749,213 US20110003402A1 (en) 2003-09-03 2010-03-29 Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US49985603P 2003-09-03 2003-09-03
US10/853,771 US7179758B2 (en) 2003-09-03 2004-05-25 Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
US11/676,447 US7687913B2 (en) 2003-09-03 2007-02-19 Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
US12/749,213 US20110003402A1 (en) 2003-09-03 2010-03-29 Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/676,447 Division US7687913B2 (en) 2003-09-03 2007-02-19 Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics

Publications (1)

Publication Number Publication Date
US20110003402A1 true US20110003402A1 (en) 2011-01-06

Family

ID=34576578

Family Applications (3)

Application Number Title Priority Date Filing Date
US10/853,771 Expired - Fee Related US7179758B2 (en) 2003-09-03 2004-05-25 Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
US11/676,447 Expired - Fee Related US7687913B2 (en) 2003-09-03 2007-02-19 Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
US12/749,213 Abandoned US20110003402A1 (en) 2003-09-03 2010-03-29 Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US10/853,771 Expired - Fee Related US7179758B2 (en) 2003-09-03 2004-05-25 Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
US11/676,447 Expired - Fee Related US7687913B2 (en) 2003-09-03 2007-02-19 Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics

Country Status (1)

Country Link
US (3) US7179758B2 (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110250750A1 (en) * 2009-01-15 2011-10-13 Panasonic Corporation Method for fabricating semiconductor device
US8999734B2 (en) 2009-03-10 2015-04-07 American Air Liquide, Inc. Cyclic amino compounds for low-k silylation
JP2015088666A (en) * 2013-10-31 2015-05-07 富士通株式会社 Method of manufacturing semiconductor device, semiconductor device
US9260571B2 (en) 2012-05-24 2016-02-16 Lawrence Livermore National Security, Llc Hybrid polymer networks as ultra low ‘k’ dielectric layers
US9936606B1 (en) * 2017-01-30 2018-04-03 Fujitsu Limited Liquid immersion cooler
US10442983B2 (en) 2017-07-20 2019-10-15 Saudi Arabian Oil Company Mitigation of condensate banking using surface modification
US11485900B2 (en) 2019-01-23 2022-11-01 Saudi Arabian Oil Company Mitigation of condensate and water banking using functionalized nanoparticles

Families Citing this family (279)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100797202B1 (en) * 2000-06-23 2008-01-23 허니웰 인터내셔널 인코포레이티드 A method of imparting hydrophobic properties to a damaged silica dielectric film and a method of treating a damaged silica dielectric film
US7541200B1 (en) * 2002-01-24 2009-06-02 Novellus Systems, Inc. Treatment of low k films with a silylating agent for damage repair
CN1742363B (en) * 2003-01-25 2010-10-13 霍尼韦尔国际公司 Repair and restoration of damaged dielectric materials and films
US7709371B2 (en) * 2003-01-25 2010-05-04 Honeywell International Inc. Repairing damage to low-k dielectric materials using silylating agents
US7179758B2 (en) * 2003-09-03 2007-02-20 International Business Machines Corporation Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
US8475666B2 (en) * 2004-09-15 2013-07-02 Honeywell International Inc. Method for making toughening agent materials
JP5057647B2 (en) * 2004-07-02 2012-10-24 東京エレクトロン株式会社 Semiconductor device manufacturing method and semiconductor device manufacturing apparatus
US8084400B2 (en) * 2005-10-11 2011-12-27 Intermolecular, Inc. Methods for discretized processing and process sequence integration of regions of a substrate
US20060292846A1 (en) * 2004-09-17 2006-12-28 Pinto Gustavo A Material management in substrate processing
US7749881B2 (en) * 2005-05-18 2010-07-06 Intermolecular, Inc. Formation of a masking layer on a dielectric region to facilitate formation of a capping layer on electrically conductive regions separated by the dielectric region
US20060060301A1 (en) * 2004-09-17 2006-03-23 Lazovsky David E Substrate processing using molecular self-assembly
US8882914B2 (en) * 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US7390739B2 (en) * 2005-05-18 2008-06-24 Lazovsky David E Formation of a masking layer on a dielectric region to facilitate formation of a capping layer on electrically conductive regions separated by the dielectric region
US7387973B2 (en) * 2004-09-30 2008-06-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving low-K dielectrics by supercritical fluid treatments
WO2006058034A2 (en) * 2004-11-22 2006-06-01 Intermolecular, Inc. Molecular self-assembly in substrate processing
US7879710B2 (en) * 2005-05-18 2011-02-01 Intermolecular, Inc. Substrate processing including a masking layer
US20060128163A1 (en) * 2004-12-14 2006-06-15 International Business Machines Corporation Surface treatment of post-rie-damaged p-osg and other damaged materials
US7678712B2 (en) * 2005-03-22 2010-03-16 Honeywell International, Inc. Vapor phase treatment of dielectric materials
US20070054501A1 (en) * 2005-08-23 2007-03-08 Battelle Memorial Institute Process for modifying dielectric materials
US20070048981A1 (en) * 2005-09-01 2007-03-01 International Business Machines Corporation Method for protecting a semiconductor device from carbon depletion based damage
US7482281B2 (en) 2005-09-29 2009-01-27 Tokyo Electron Limited Substrate processing method
US7332449B2 (en) * 2005-09-30 2008-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming dual damascenes with supercritical fluid treatments
US7955436B2 (en) * 2006-02-24 2011-06-07 Intermolecular, Inc. Systems and methods for sealing in site-isolated reactors
US8776717B2 (en) 2005-10-11 2014-07-15 Intermolecular, Inc. Systems for discretized processing of regions of a substrate
US7544574B2 (en) 2005-10-11 2009-06-09 Intermolecular, Inc. Methods for discretized processing of regions of a substrate
JP5019741B2 (en) * 2005-11-30 2012-09-05 東京エレクトロン株式会社 Semiconductor device manufacturing method and substrate processing system
EP1994550A4 (en) * 2006-02-10 2012-01-11 Intermolecular Inc Method and apparatus for combinatorially varying materials, unit process and process sequence
US8772772B2 (en) * 2006-05-18 2014-07-08 Intermolecular, Inc. System and method for increasing productivity of combinatorial screening
US20070254491A1 (en) * 2006-04-29 2007-11-01 Applied Materials, Inc. Protective layer for a low k dielectric film and methods of forming the same
US7446058B2 (en) * 2006-05-25 2008-11-04 International Business Machines Corporation Adhesion enhancement for metal/dielectric interface
US8011317B2 (en) * 2006-12-29 2011-09-06 Intermolecular, Inc. Advanced mixing system for integrated tool having site-isolated reactors
US7500397B2 (en) * 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
FR2915405B1 (en) * 2007-04-30 2011-09-09 Areva Np PREPARATION OF MINERAL PARTICLES IN SUPERCRITICAL CO2 ENVIRONMENTS
JP4555320B2 (en) * 2007-06-15 2010-09-29 東京エレクトロン株式会社 Low dielectric constant insulating film damage recovery method and semiconductor device manufacturing method
JP2009010043A (en) * 2007-06-26 2009-01-15 Tokyo Electron Ltd Substrate processing method, substrate processor, and recording medium
US7858532B2 (en) * 2007-08-06 2010-12-28 United Microelectronics Corp. Dielectric layer structure and manufacturing method thereof
US8481423B2 (en) * 2007-09-19 2013-07-09 International Business Machines Corporation Methods to mitigate plasma damage in organosilicate dielectrics
US7781332B2 (en) * 2007-09-19 2010-08-24 International Business Machines Corporation Methods to mitigate plasma damage in organosilicate dielectrics using a protective sidewall spacer
US20090324849A1 (en) * 2007-12-28 2009-12-31 Varian Semiconductor Equipement Associates, Inc. Method for sealing pores in a porous substrate
US8286561B2 (en) 2008-06-27 2012-10-16 Ssw Holding Company, Inc. Spill containing refrigerator shelf assembly
US11786036B2 (en) 2008-06-27 2023-10-17 Ssw Advanced Technologies, Llc Spill containing refrigerator shelf assembly
US7999355B2 (en) * 2008-07-11 2011-08-16 Air Products And Chemicals, Inc. Aminosilanes for shallow trench isolation films
WO2010042668A1 (en) 2008-10-07 2010-04-15 Ross Technology Corporation Spill resistant surfaces having hydrophobic and oleophobic borders
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20100285667A1 (en) * 2009-05-06 2010-11-11 International Business Machines Corporation Method to preserve the critical dimension (cd) of an interconnect structure
EP2496886B1 (en) 2009-11-04 2016-12-21 SSW Holding Company, Inc. Cooking appliance surfaces having spill containment pattern and methods of making the same
US8377823B2 (en) * 2010-02-17 2013-02-19 Renesas Electronics Corporation Semiconductor device including porous layer covered by poreseal layer
WO2011116005A1 (en) 2010-03-15 2011-09-22 Ross Technology Corporation Plunger and methods of producing hydrophobic surfaces
KR20120031811A (en) * 2010-09-27 2012-04-04 삼성전자주식회사 Semiconductor devices and methods of fabricating the same
EP2678400A4 (en) 2011-02-21 2015-11-18 Ross Technology Corp Superhydrophobic and oleophobic coatings with low voc binder systems
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
DE102011085428A1 (en) 2011-10-28 2013-05-02 Schott Ag shelf
WO2013090939A1 (en) 2011-12-15 2013-06-20 Ross Technology Corporation Composition and coating for superhydrophobic performance
WO2013170124A1 (en) 2012-05-10 2013-11-14 Burning Bush Group High performance silicon based thermal coating compositions
BR112014032676A2 (en) 2012-06-25 2017-06-27 Ross Tech Corporation elastomeric coatings that have hydrophobic and / or oleophobic properties
CN104812543B (en) 2012-07-03 2017-06-13 伯宁布什集团有限公司 Silicon substrate high performance paint composition
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8871639B2 (en) * 2013-01-04 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US20150017456A1 (en) * 2013-07-15 2015-01-15 Intermolecular Inc. Reducing voids caused by trapped acid on a dielectric surface
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10043709B2 (en) 2014-11-07 2018-08-07 Applied Materials, Inc. Methods for thermally forming a selective cobalt layer
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
CN111344522B (en) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 Including clean mini-environment device
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US20190249303A1 (en) * 2018-02-09 2019-08-15 Asm Ip Holding B.V. Chemical precursors and methods for depositing a silicon oxide film on a substrate utilizing chemical precursors
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TWI816783B (en) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
JP2021529254A (en) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
CN112292477A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
US10832950B2 (en) 2019-02-07 2020-11-10 International Business Machines Corporation Interconnect with high quality ultra-low-k dielectric
TW202044325A (en) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108248A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2021097227A (en) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride layer and structure including vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (en) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Vertical batch furnace assembly, and method for cooling vertical batch furnace
JP2021172884A (en) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride-containing layer and structure comprising vanadium nitride-containing layer
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
TW202147383A (en) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6318124B1 (en) * 1999-08-23 2001-11-20 Alliedsignal Inc. Nanoporous silica treated with siloxane polymers for ULSI applications
US6417118B1 (en) * 2001-06-26 2002-07-09 United Microelectronics Corp. Method for improving the moisture absorption of porous low dielectric film
US6448331B1 (en) * 1997-07-15 2002-09-10 Asahi Kasei Kabushiki Kaisha Alkoxysilane/organic polymer composition for thin insulating film production and use thereof
US20020168876A1 (en) * 1999-09-09 2002-11-14 Endisch Denis H. Contact planarization using nanoporous silica materials
US20030054667A1 (en) * 1998-11-04 2003-03-20 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6559070B1 (en) * 2000-04-11 2003-05-06 Applied Materials, Inc. Mesoporous silica films with mobile ion gettering and accelerated processing
US6673521B2 (en) * 2000-12-12 2004-01-06 Lnternational Business Machines Corporation Supercritical fluid(SCF) silylation process
US20040018452A1 (en) * 2002-04-12 2004-01-29 Paul Schilling Method of treatment of porous dielectric films to reduce damage during cleaning
US20040087135A1 (en) * 2002-10-24 2004-05-06 International Business Machines Corporation Very low effective dielectric constant interconnect Structures and methods for fabricating the same
US6737118B2 (en) * 1997-05-28 2004-05-18 Nippon Steel Corporation Low dielectric constant materials and their production and use
US20040152296A1 (en) * 2003-02-04 2004-08-05 Texas Instruments Incorporated Hexamethyldisilazane treatment of low-k dielectric films
US20040202872A1 (en) * 2002-07-23 2004-10-14 Pennzoil-Quaker State Company Hydrophobic surface treatment composition and method of making and using same
US7029826B2 (en) * 2000-06-23 2006-04-18 Honeywell International Inc. Method to restore hydrophobicity in dielectric films and materials
US7179758B2 (en) * 2003-09-03 2007-02-20 International Business Machines Corporation Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
US7425505B2 (en) * 2003-07-23 2008-09-16 Fsi International, Inc. Use of silyating agents

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6770572B1 (en) 1999-01-26 2004-08-03 Alliedsignal Inc. Use of multifunctional si-based oligomer/polymer for the surface modification of nanoporous silica films

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6737118B2 (en) * 1997-05-28 2004-05-18 Nippon Steel Corporation Low dielectric constant materials and their production and use
US6448331B1 (en) * 1997-07-15 2002-09-10 Asahi Kasei Kabushiki Kaisha Alkoxysilane/organic polymer composition for thin insulating film production and use thereof
US20030054667A1 (en) * 1998-11-04 2003-03-20 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6318124B1 (en) * 1999-08-23 2001-11-20 Alliedsignal Inc. Nanoporous silica treated with siloxane polymers for ULSI applications
US20020168876A1 (en) * 1999-09-09 2002-11-14 Endisch Denis H. Contact planarization using nanoporous silica materials
US6559070B1 (en) * 2000-04-11 2003-05-06 Applied Materials, Inc. Mesoporous silica films with mobile ion gettering and accelerated processing
US7029826B2 (en) * 2000-06-23 2006-04-18 Honeywell International Inc. Method to restore hydrophobicity in dielectric films and materials
US6673521B2 (en) * 2000-12-12 2004-01-06 Lnternational Business Machines Corporation Supercritical fluid(SCF) silylation process
US6417118B1 (en) * 2001-06-26 2002-07-09 United Microelectronics Corp. Method for improving the moisture absorption of porous low dielectric film
US20040018452A1 (en) * 2002-04-12 2004-01-29 Paul Schilling Method of treatment of porous dielectric films to reduce damage during cleaning
US20040202872A1 (en) * 2002-07-23 2004-10-14 Pennzoil-Quaker State Company Hydrophobic surface treatment composition and method of making and using same
US20040087135A1 (en) * 2002-10-24 2004-05-06 International Business Machines Corporation Very low effective dielectric constant interconnect Structures and methods for fabricating the same
US20040152296A1 (en) * 2003-02-04 2004-08-05 Texas Instruments Incorporated Hexamethyldisilazane treatment of low-k dielectric films
US7425505B2 (en) * 2003-07-23 2008-09-16 Fsi International, Inc. Use of silyating agents
US7179758B2 (en) * 2003-09-03 2007-02-20 International Business Machines Corporation Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110250750A1 (en) * 2009-01-15 2011-10-13 Panasonic Corporation Method for fabricating semiconductor device
US8338290B2 (en) * 2009-01-15 2012-12-25 Panasonic Corporation Method for fabricating semiconductor device
US8999734B2 (en) 2009-03-10 2015-04-07 American Air Liquide, Inc. Cyclic amino compounds for low-k silylation
US9260571B2 (en) 2012-05-24 2016-02-16 Lawrence Livermore National Security, Llc Hybrid polymer networks as ultra low ‘k’ dielectric layers
JP2015088666A (en) * 2013-10-31 2015-05-07 富士通株式会社 Method of manufacturing semiconductor device, semiconductor device
US9660065B2 (en) 2013-10-31 2017-05-23 Fujitsu Limited Semiconductor device and method for producing same having multilayer wiring structure with contact hole having hydrophobic film formed on side surface of the contact hole
US9966463B2 (en) 2013-10-31 2018-05-08 Fujitsu Limited Semiconductor device and method for producing same having multilayer wiring structure with contact hole having hydrophobic film formed on side surface of the contact hole
US9936606B1 (en) * 2017-01-30 2018-04-03 Fujitsu Limited Liquid immersion cooler
US10442983B2 (en) 2017-07-20 2019-10-15 Saudi Arabian Oil Company Mitigation of condensate banking using surface modification
US11015111B2 (en) 2017-07-20 2021-05-25 Saudi Arabian Oil Company Mitigation of condensate banking using surface modification
US11485900B2 (en) 2019-01-23 2022-11-01 Saudi Arabian Oil Company Mitigation of condensate and water banking using functionalized nanoparticles

Also Published As

Publication number Publication date
US7179758B2 (en) 2007-02-20
US7687913B2 (en) 2010-03-30
US20070138640A1 (en) 2007-06-21
US20050106762A1 (en) 2005-05-19

Similar Documents

Publication Publication Date Title
US7687913B2 (en) Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
JP4594988B2 (en) Restoration of hydrophobicity of low-K and ultra-low-K organic silicate films used as intermetallic dielectrics
US8470706B2 (en) Methods to mitigate plasma damage in organosilicate dielectrics
US7678712B2 (en) Vapor phase treatment of dielectric materials
US8129843B2 (en) Methods to mitigate plasma damage in organosilicate dielectrics using a protective sidewall spacer
US20070249156A1 (en) Method for enabling hard mask free integration of ultra low-k materials and structures produced thereby
US7309514B2 (en) Electron beam modification of CVD deposited films, forming low dielectric constant materials
US7741224B2 (en) Plasma treatment and repair processes for reducing sidewall damage in low-k dielectrics
US7723237B2 (en) Method for selective removal of damaged multi-stack bilayer films
US20080166870A1 (en) Fabrication of Interconnect Structures
JP2001077196A (en) Manufacture of semiconductor device
JP2002324838A (en) Method for improving adhesion of organic dielectric in dual damascene mutual connection
WO2002017374A1 (en) Low-dielectric silicon nitride film and method of forming the same, semiconductor device and fabrication process thereof
US7223704B2 (en) Repair of carbon depletion in low-k dielectric films
US20070232046A1 (en) Damascene interconnection having porous low K layer with improved mechanical properties
KR100935620B1 (en) Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
US20130056874A1 (en) Protection of intermetal dielectric layers in multilevel wiring structures
McClelland et al. Lee et a

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. 2 LLC, NEW YORK

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTERNATIONAL BUSINESS MACHINES CORPORATION;REEL/FRAME:036550/0001

Effective date: 20150629

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GLOBALFOUNDRIES U.S. 2 LLC;GLOBALFOUNDRIES U.S. INC.;REEL/FRAME:036779/0001

Effective date: 20150910