US20110079900A1 - Microfeature workpieces and methods for forming interconnects in microfeature workpieces - Google Patents

Microfeature workpieces and methods for forming interconnects in microfeature workpieces Download PDF

Info

Publication number
US20110079900A1
US20110079900A1 US12/965,301 US96530110A US2011079900A1 US 20110079900 A1 US20110079900 A1 US 20110079900A1 US 96530110 A US96530110 A US 96530110A US 2011079900 A1 US2011079900 A1 US 2011079900A1
Authority
US
United States
Prior art keywords
substrate
interconnect
conductive
fill material
microfeature workpiece
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/965,301
Inventor
William M. Hiatt
Ross S. Dando
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
US Bank NA
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Priority to US12/965,301 priority Critical patent/US20110079900A1/en
Publication of US20110079900A1 publication Critical patent/US20110079900A1/en
Assigned to U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT reassignment U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MICRON TECHNOLOGY, INC.
Assigned to MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT reassignment MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT PATENT SECURITY AGREEMENT Assignors: MICRON TECHNOLOGY, INC.
Assigned to U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT reassignment U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT CORRECTIVE ASSIGNMENT TO CORRECT THE REPLACE ERRONEOUSLY FILED PATENT #7358718 WITH THE CORRECT PATENT #7358178 PREVIOUSLY RECORDED ON REEL 038669 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY INTEREST. Assignors: MICRON TECHNOLOGY, INC.
Priority to US15/662,204 priority patent/US20170323828A1/en
Assigned to MICRON TECHNOLOGY, INC. reassignment MICRON TECHNOLOGY, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT
Assigned to MICRON TECHNOLOGY, INC. reassignment MICRON TECHNOLOGY, INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT
Priority to US16/991,965 priority patent/US11476160B2/en
Priority to US18/047,049 priority patent/US20230106554A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13025Disposition the bump connector being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors

Definitions

  • the present invention relates to methods for forming interconnects in microfeature workpieces and microfeature workpieces formed using such methods.
  • Microelectronic devices, micromechanical devices, and other devices with microfeatures are typically formed by constructing several layers of components on a workpiece.
  • a plurality of dies are fabricated on a single workpiece, and each die generally includes an integrated circuit and a plurality of bond-pads coupled to the integrated circuit.
  • the dies are separated from each other and packaged to form individual microelectronic devices that can be attached to modules or installed in other products.
  • interconnects that electrically couple conductive components located in different layers.
  • Such interconnects electrically couple bond-pads or other conductive elements proximate to one side of the dies to conductive elements proximate to the other side of the dies.
  • Through-wafer interconnects are constructed by forming deep vias on the front side and/or backside of the workpiece and in alignment with corresponding bond-pads at the front side of the workpiece.
  • the vias are often blind vias in that they are closed at one end.
  • the blind vias are then filled with a conductive fill material.
  • solder balls or other external electrical contacts are subsequently attached to the through-wafer interconnects at the backside and/or the front side of the workpiece.
  • the solder balls or external contacts can be attached either before or after singulating the dies from the workpiece.
  • Conventional processes for forming external contacts on through-wafer interconnects include (a) depositing a dielectric layer on the backside of the workpiece, (b) forming a photoresist on the dielectric layer, (c) patterning and developing the photoresist, (d) etching the dielectric layer to form holes aligned with corresponding interconnects, (e) removing the photoresist from the workpiece, and (f) forming conductive external contacts in the holes in the dielectric layer.
  • One concern with forming external contacts on the backside of a workpiece is that conventional processes are relatively expensive because patterning the photoresist requires a mask. Masks are expensive and time-consuming to construct because they require very expensive photolithography equipment to achieve the tolerances required in semiconductor devices. Accordingly, there is a need to reduce the cost of forming external contacts on workpieces with through-wafer interconnects.
  • FIGS. 1A-1I illustrate stages of a method for forming interconnects in a microfeature workpiece in accordance with one embodiment of the invention.
  • FIG. 1A is a schematic side cross-sectional view of a portion of the workpiece at an intermediate stage after partially forming a plurality of interconnects.
  • FIG. 1B is a schematic side cross-sectional view of the area 1 B shown in FIG. 1A with the workpiece flipped over.
  • FIG. 1C is a schematic side cross-sectional view of the portion of the workpiece after thinning the substrate from the second side.
  • FIG. 1D is a schematic side cross-sectional view of the portion of the workpiece after selectively removing additional material from the second side of the substrate so that the interconnect projects from the substrate.
  • FIG. 1E is a schematic side cross-sectional view of the area 1 E shown in FIG. 1D after forming a recess in the second end portion of the interconnect.
  • FIG. 1F is a schematic side cross-sectional view of the portion of the workpiece after forming a dielectric structure across the second side of the substrate and the second end portion of the interconnect.
  • FIG. 1G is a schematic side cross-sectional view of the portion of the workpiece after removing sections of the interconnect and the dielectric structure.
  • FIG. 1H is a schematic side cross-sectional view of the portion of the workpiece after removing the section of the first dielectric layer from the recess in the interconnect.
  • FIG. 1I is a schematic side cross-sectional view of the portion of the workpiece after forming a conductive member at the second end portion of the interconnect.
  • FIGS. 2A-2C illustrate stages in a method for forming interconnects in a microfeature workpiece in accordance with another embodiment of the invention.
  • FIG. 2A is a schematic side cross-sectional view of a portion of the workpiece at an intermediate stage after partially forming an interconnect.
  • FIG. 2B is a schematic side cross-sectional view of the portion of the workpiece after removing sections of the interconnect and the dielectric structure.
  • FIG. 2C is a schematic side cross-sectional view of the portion of the workpiece after forming the conductive member on the exposed surface of the interconnect.
  • FIGS. 3A-3C illustrate stages in a method for forming interconnects in a microfeature workpiece in accordance with another embodiment of the invention.
  • FIG. 3A is a schematic side cross-sectional view of a portion of the workpiece at an intermediate stage after partially forming an interconnect.
  • FIG. 3B is a schematic side cross-sectional view of the portion of the workpiece after removing sections of the interconnect and the dielectric structure.
  • FIG. 3C is a schematic side cross-sectional view of the workpiece after forming a conductive member on the exposed surface of the interconnect.
  • One aspect of the invention is directed to methods of forming an interconnect in a microfeature workpiece having a terminal and a substrate with a first side carrying the terminal and a second side opposite the first side.
  • An embodiment of one such method includes (a) constructing an electrically conductive interconnect extending from the terminal to at least an intermediate depth in the substrate, and (b) removing material from the second side of the substrate so that a portion of the interconnect projects from the substrate. The material can be removed from the second side of the substrate by thinning the substrate so that a surface of the interconnect is exposed and selectively etching the substrate so that the portion of the interconnect projects from the substrate.
  • a method in another embodiment, includes providing a microfeature workpiece having (a) a substrate with a first side and a second side opposite the first side, (b) a terminal carried by the first side of the substrate, and (c) an electrically conductive interconnect extending from the terminal through the substrate and projecting from the second side of the substrate.
  • the method further includes applying a dielectric layer to the second side of the substrate and the portion of the interconnect projecting from the second side of the substrate, and removing a section of the dielectric layer to expose a surface of the interconnect with the interconnect intersecting a plane defined by the remaining section of the dielectric layer.
  • a method in another embodiment, includes forming an electrically conductive interconnect having a first portion at the terminal and a second portion at an intermediate depth in the substrate.
  • the electrically conductive interconnect is electrically connected to the terminal.
  • the method further includes thinning the substrate from the second side to at least the second portion of the interconnect, applying a dielectric layer to the second side of the substrate and the second portion of the interconnect, and exposing a surface of the second portion of the interconnect without photolithography.
  • a microfeature workpiece includes a substrate and a microelectronic die formed in and/or on the substrate.
  • the substrate has a first side and a second side opposite the first side.
  • the die includes a terminal at the first side of the substrate and an integrated circuit operably coupled to the terminal.
  • the workpiece further includes an electrically conductive interconnect extending from the terminal through the substrate such that a portion of the interconnect projects from the second side of the substrate. The interconnect is electrically coupled to the terminal.
  • a microfeature workpiece in another embodiment, includes a substrate and a microelectronic die formed in and/or on the substrate.
  • the substrate has a first side and a second side opposite the first side.
  • the die includes a terminal at the first side of the substrate and an integrated circuit operably coupled to the terminal.
  • the workpiece further includes (a) a hole extending through the terminal and the substrate, (b) a dielectric layer on the second side of the substrate defining a plane, and (c) an electrically conductive interconnect.
  • the interconnect includes a conductive fill material in the hole and a conductive layer in the hole between the conductive fill material and the substrate. Both the conductive fill material and the conductive layer are electrically coupled to the terminal and extend from the terminal through the substrate. Moreover, both the conductive fill material and the conductive layer project from the substrate such that the conductive fill material and the conductive layer intersect the plane.
  • microfeature workpiece is used throughout to include substrates upon which and/or in which microelectronic devices, micromechanical devices, data storage elements, optics, and other features are fabricated.
  • microfeature workpieces can be semiconductor wafers, glass substrates, dielectric substrates, or many other types of substrates.
  • Many features on such microfeature workpieces have critical dimensions less than or equal to 1 ⁇ m, and in many applications the critical dimensions of the smaller features are less than 0.25 ⁇ m or even less than 0.1 ⁇ m. Where the context permits, singular or plural terms may also include the plural or singular term, respectively.
  • FIGS. 1A-1I illustrate stages of a method for forming interconnects in a microfeature workpiece 100 in accordance with one embodiment of the invention.
  • FIG. 1A is a schematic side cross-sectional view of a portion of the workpiece 100 at an intermediate stage after partially forming a plurality of interconnects 140 .
  • the workpiece 100 can include a substrate 110 and a plurality of microelectronic dies 120 formed in and/or on the substrate 110 .
  • the substrate 110 has a first side 112 and a second side 114 opposite the first side 112 .
  • the substrate 110 is generally a semiconductor wafer, and the dies 120 are arranged in a die pattern on the wafer.
  • the individual dies 120 include integrated circuitry 122 (shown schematically) and a plurality of terminals 124 (e.g., bond-pads) electrically coupled to the integrated circuitry 122 .
  • the terminals 124 shown in FIG. 1A are external features at the first side 112 of the substrate 110 . In other embodiments, however, the terminals 124 can be internal features that are embedded at an intermediate depth within the substrate 110 .
  • the dies 120 can have different features to perform different functions.
  • the individual dies may further include an image sensor (e.g., CMOS image sensor or CCD image sensor) for capturing pictures or other images in the visible spectrum, or detecting radiation in other spectrums (e.g., IR or UV ranges).
  • an image sensor e.g., CMOS image sensor or CCD image sensor
  • the first dielectric layer 130 was applied to the first side 112 of the substrate 110 , and the interconnects 140 were partially formed in the workpiece 100 .
  • the first dielectric layer 130 can be a polyimide material or other suitable nonconductive materials.
  • the first dielectric layer 130 can be parylene, a low temperature chemical vapor deposition (low temperature CVD) material such as silicon nitride (Si 3 N 4 ), silicon oxide (SiO 2 ), and/or other suitable materials.
  • low temperature CVD low temperature chemical vapor deposition
  • the conductive interconnects 140 extend from the first dielectric layer 130 to an intermediate depth in the substrate 110 . As described in greater detail below with regard to FIG.
  • the conductive interconnects 140 can include several layers of conductive material that are electrically coupled to corresponding terminals 124 . Suitable methods for forming the portion of the interconnects 140 illustrated in FIG. 1A are disclosed in U.S. patent application Ser. Nos. 10/713,878; 10/867,352; 10/879,398; 11/027,443; 11/056,211; 11/169,546; 11/217,877; and 11/218,243, which are incorporated herein by reference.
  • the workpiece 100 can optionally be attached to a support member 190 with an adhesive 192 to provide rigidity to the workpiece 100 during subsequent processing steps.
  • FIG. 1B is a schematic side cross-sectional view of the area 1 B shown in FIG. 1A with the workpiece 100 flipped over.
  • the workpiece 100 includes an interconnect hole 180 extending from the terminal 114 to an intermediate depth in the substrate 110 , a second dielectric layer 132 in the interconnect hole 180 , and a vent hole 182 extending from the interconnect hole 180 to the second side 114 of the substrate 110 .
  • the second dielectric layer 132 electrically insulates components in the substrate 110 from the interconnect 140 .
  • the second dielectric layer 132 can be an ALD (atomic layer deposition) aluminum oxide material applied using a suitable deposition process or another suitable low temperature CVD oxide.
  • the second dielectric layer 132 can include a silane-based and/or an aluminum-based oxide material.
  • the second dielectric layer 132 can include other suitable dielectric materials.
  • the illustrated interconnect 140 is formed in the interconnect hole 180 and has a first end portion 142 at the first dielectric layer 130 and a second end portion 144 at an intermediate depth in the substrate 110 .
  • the illustrated interconnect 140 includes a diffusion barrier layer 150 deposited over the second dielectric layer 132 in the hole 180 , a seed layer 152 formed over the barrier layer 150 in the hole 180 , a conductive layer 154 deposited over the seed layer 152 in the hole 180 , and a conductive fill material 152 formed over the conductive layer 154 in the hole 180 .
  • the diffusion barrier layer 150 can be a layer of tantalum that is deposited onto the workpiece 100 using physical vapor deposition (PVD) and has a thickness of approximately 150 Angstroms.
  • the barrier layer 150 may be deposited onto the workpiece 100 using other vapor deposition processes, such as CVD, and/or may have a different thickness. In either case, the barrier layer 150 is not limited to tantalum, but rather may be composed of tungsten or other suitable materials that help contain the conductive fill material 156 in the interconnect hole 180 .
  • the seed layer 152 can be deposited using vapor deposition techniques, such as PVD, CVD, atomic layer deposition, and/or plating.
  • the seed layer 152 can be composed of Cu or other suitable materials.
  • the thickness of the seed layer 152 may be about 2000 Angstroms, but could be more or less depending on the depth and aspect ratio of the hole 180 .
  • the conductive layer 154 can be Cu that is deposited onto the seed layer 152 in an electroless plating operation, electroplating operation, or another suitable method.
  • the thickness of the conductive layer 154 can be about 1 micron, however, in other embodiments the conductive layer 154 can have a different thickness and/or include other suitable materials.
  • the workpiece 100 may include a second conductive layer (not shown) that is deposited over the conductive layer 154 in the hole 180 .
  • the second conductive layer can be Ni or other suitable materials that function as a wetting agent for facilitating deposition of subsequent materials into the hole 180 .
  • the conductive fill material 156 can include Cu, Ni, Co, Ag, Au, SnAgCu solder, AuSn solder, a solder having a different composition, or other suitable materials or alloys of materials having the desired conductivity.
  • the conductive fill material 156 may be deposited into the hole 180 using plating processes, solder wave processes, screen printing processes, reflow processes, vapor deposition processes, or other suitable techniques.
  • the interconnects may have a different structure.
  • the interconnects may have additional layers in lieu of or in addition to the layers described above.
  • FIG. 1C is a schematic side cross-sectional view of the portion of the workpiece 100 after thinning the substrate 110 from the second side 114 .
  • the substrate 110 can be thinned by grinding, dry etching, chemical etching, chemical polishing, chemical-mechanical polishing, or other suitable processes.
  • the thinning process may also remove a section of the second end portion 114 of the interconnect 140 .
  • the initial thickness of the substrate 110 is approximately 750 microns and the interconnect 140 extends to an intermediate depth of approximately 150 microns in the substrate 110
  • the post-thinning thickness T of the substrate 110 is approximately 140 microns. These thicknesses can be different in other embodiments.
  • the illustrated interconnect 140 includes an exposed surface 146 at the second end portion 144 .
  • FIG. 1D is a schematic side cross-sectional view of the portion of the workpiece 100 after selectively removing additional material from the second side 114 of the substrate 110 so that the interconnect 140 projects from the substrate 110 .
  • the additional material can be removed via a plasma etch with SF 6 or another suitable etchant that is selective to silicon. Alternatively, the additional material can be removed with other processes.
  • the second end portion 144 of the interconnect 140 projects a first distance D 1 from the second side of the substrate 110 .
  • the first distance D 1 is between approximately 5 and 10 microns, although the first distance D 1 can be less than 5 microns or more than 10 microns in other embodiments.
  • the first distance D 1 is selected based on the subsequent processing and application requirements.
  • FIG. 1E is a schematic side cross-sectional view of the area 1 E shown in FIG. 1D after forming a recess 158 in the second end portion 144 of the interconnect 140 .
  • the recess 158 is formed by removing a portion of the conductive fill material 156 from the interconnect 140 .
  • the conductive fill material 156 can be removed by a wet etch process with an etchant that is selective to the conductive fill material 156 and, consequently, removes the conductive fill material 156 at a faster rate than the seed and/or conductive layers 152 and/or 154 .
  • the illustrated recess 158 extends from the surface 146 of the interconnect 140 to a surface 157 of the conductive fill material 156 , and has a depth D 2 less than the first distance D 1 .
  • the depth D 2 of the recess 158 is selected based on the subsequent processing and application requirements. In other embodiments, such as the embodiments described below with reference to FIGS. 2A-3C , the interconnects may not include a recess in the second end portion 144 .
  • FIG. 1F is a schematic side cross-sectional view of the portion of the workpiece 100 after forming a dielectric structure 170 across the second side 114 of the substrate 110 and the second end portion 144 of the interconnect 140 .
  • the illustrated dielectric structure 170 includes a first dielectric layer 172 and a second dielectric layer 174 deposited on the first dielectric layer 172 .
  • the first dielectric layer 172 can be parylene HT and have a thickness of approximately 0.5 micron. In other embodiments, other dielectric materials can be used and/or have different thicknesses.
  • the second dielectric layer 174 can be an oxide such as silicon oxide (SiO 2 ) and/or other suitable materials that are deposited by chemical vapor deposition and/or other suitable processes. In additional embodiments, the dielectric structure 170 can include a different number of layers.
  • FIG. 1G is a schematic side cross-sectional view of the portion of the workpiece 100 after removing sections of the interconnect 140 and the dielectric structure 170 .
  • the sections of the interconnect 140 and the dielectric structure 170 can be removed by grinding, dry etching, chemical etching, chemical polishing, chemical-mechanical polishing, or other suitable processes.
  • the workpiece 100 is polished to remove portions of the second dielectric layer 132 , the barrier layer 150 , the seed layer 152 , the conductive layer 154 , the first dielectric layer 172 , and the second dielectric layer 174 .
  • the volume of material removed is selected so that (a) the recess 158 in the interconnect 140 has a desired depth D 3 , and (b) the interconnect 140 projects a desired distance D 4 from an exterior surface 175 of the dielectric structure 170 .
  • the interconnect may not project from the exterior surface 175 of the dielectric structure 170 . In either case, the interconnect 140 intersects a plane defined by the dielectric structure 170 .
  • FIG. 1H is a schematic side cross-sectional view of the portion of the workpiece 100 after removing the section of the first dielectric layer 172 from the recess 158 in the interconnect 140 .
  • the section of the first dielectric layer 172 can be removed from the recess 158 by a plasma etching process (e.g., O 2 plasma) or another suitable method that selectively removes the first dielectric layer 172 without significantly effecting the dielectric structure 170 formed on the substrate 110 .
  • a plasma etching process e.g., O 2 plasma
  • FIG. 1I is a schematic side cross-sectional view of the portion of the workpiece 100 after forming a conductive member 160 on the second end portion 144 of the interconnect 140 .
  • the illustrated conductive member 160 is a cap disposed in the recess 158 and extending over the barrier layer 150 , the seed layer 152 , and the conductive layer 154 .
  • the cap projects a desired distance D 5 from the substrate 110 and forms an external contact for connection to an external device.
  • the conductive member 160 can be electrolessly plated onto the second end portion 144 of the interconnect 140 or formed using other suitable processes.
  • the conductive member 160 can include Ni or other suitable conductive materials.
  • the interconnect 140 may not include the conductive member 160 .
  • the second end portion 144 of the interconnects 140 can be attached directly to an external device, or a conductive coupler (e.g., a solder ball) can be attached directly to the second end portion 144 .
  • a conductive coupler e.g.,
  • the interconnect 140 projects from the substrate 110 .
  • the section of the dielectric structure 170 covering the interconnect 140 can be removed by a simple polishing process without exposing the backside of the substrate 110 .
  • the resulting exposed surface 146 on the interconnect 140 may form an external contact to which an external device can be attached.
  • the conductive member 160 can be disposed on the exposed surface 146 and form the external contact.
  • an advantage of this feature is that the illustrated method does not require expensive and time-consuming photolithography processes to form external contacts on the backside of the workpiece 100 .
  • the interconnect 140 can be sized to project a desired distance from the external surface 175 of the dielectric structure 170 .
  • the distance can be selected based on the application requirements for the die 110 . For example, in applications in which the die 110 is stacked on another die, the distance may be selected to provide a desired gap between the two dies.
  • FIGS. 2A-2C illustrate stages in a method for forming interconnects in a microfeature workpiece 200 in accordance with another embodiment of the invention.
  • FIG. 2A is a schematic side cross-sectional view of a portion of the workpiece 200 at an intermediate stage after partially forming an interconnect 240 .
  • the illustrated workpiece 200 is generally similar to the workpiece 100 described above with reference to FIGS. 1A-1F .
  • the illustrated workpiece 200 includes a substrate 110 , an interconnect 240 extending through and projecting from the substrate 110 , and a dielectric structure 270 formed over the substrate 110 and the interconnect 240 .
  • the illustrated interconnect 240 does not include a recess at the second end portion 244 .
  • FIG. 2B is a schematic side cross-sectional view of the portion of the workpiece 200 after removing sections of the interconnect 240 and the dielectric structure 270 .
  • the sections of the interconnect 240 and the dielectric structure 170 can be removed by grinding, dry etching, chemical etching, chemical polishing, chemical-mechanical polishing, or other suitable processes.
  • the volume of the material removed is selected so that the interconnect 240 projects a desired distance D 6 from an exterior surface 275 of the dielectric structure 270 .
  • the illustrated interconnect 240 includes a generally planar exposed surface 246 extending across the barrier layer 150 , the seed layer 152 , the conductive layer 154 , and the conductive fill material 156 .
  • FIG. 2C is a schematic side cross-sectional view of the portion of the workpiece 200 after forming a conductive member 260 on the generally planar exposed surface 246 of the interconnect 240 .
  • the conductive member 260 forms part of the electrically conductive interconnect 240 and, accordingly, is electrically coupled to the terminal 114 ( FIG. 1B ).
  • FIGS. 3A-3C illustrate stages in a method for forming interconnects in a microfeature workpiece 300 in accordance with another embodiment of the invention.
  • FIG. 3A is a schematic side cross-sectional view of a portion of the workpiece 300 at an intermediate stage after partially forming an interconnect 340 .
  • the illustrated workpiece 300 is generally similar to the workpiece 200 described above with reference to FIG. 2A .
  • the illustrated workpiece 300 includes a substrate 110 , an interconnect 340 extending through and projecting from the substrate 110 , and a dielectric structure 370 formed over the substrate 110 and the interconnect 340 .
  • FIG. 3B is a schematic side cross-sectional view of the portion of the workpiece 300 after removing sections of the interconnect 340 and the dielectric structure 370 .
  • the sections of the interconnect 340 and the dielectric structure 370 are removed to form a generally planar surface across the workpiece 300 such that an exposed surface 346 of the interconnect 340 is generally coplanar with an exterior surface 375 of the dielectric structure 370 .
  • FIG. 3C is a schematic side cross-sectional view of the workpiece 300 after forming a conductive member 360 on the exposed surface 346 of the interconnect 340 .
  • the conductive member 360 forms part of the electrically conductive interconnect 340 and, accordingly, is electrically coupled to the terminal 114 ( FIG. 1B ).

Abstract

Methods for forming interconnects in microfeature workpieces, and microfeature workpieces having such interconnects are disclosed herein. The microfeature workpieces may have a terminal and a substrate with a first side carrying the terminal and a second side opposite the first side. In one embodiment, a method includes (a) constructing an electrically conductive interconnect extending from the terminal to at least an intermediate depth in the substrate with the interconnect electrically connected to the terminal, and (b) removing material from the second side of the substrate so that a portion of the interconnect projects from the substrate.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • This application is a divisional of U.S. application Ser. No. 11/217,169 filed Sep. 1, 2005, which is incorporated herein by reference in its entirety.
  • TECHNICAL FIELD
  • The present invention relates to methods for forming interconnects in microfeature workpieces and microfeature workpieces formed using such methods.
  • BACKGROUND
  • Microelectronic devices, micromechanical devices, and other devices with microfeatures are typically formed by constructing several layers of components on a workpiece. In the case of microelectronic devices, a plurality of dies are fabricated on a single workpiece, and each die generally includes an integrated circuit and a plurality of bond-pads coupled to the integrated circuit. The dies are separated from each other and packaged to form individual microelectronic devices that can be attached to modules or installed in other products.
  • One aspect of fabricating and packaging such dies is forming interconnects that electrically couple conductive components located in different layers. In some applications, it may be desirable to form interconnects that extend completely through the dies or through a significant portion of the dies. Such interconnects electrically couple bond-pads or other conductive elements proximate to one side of the dies to conductive elements proximate to the other side of the dies. Through-wafer interconnects, for example, are constructed by forming deep vias on the front side and/or backside of the workpiece and in alignment with corresponding bond-pads at the front side of the workpiece. The vias are often blind vias in that they are closed at one end. The blind vias are then filled with a conductive fill material. After further processing, the workpiece is thinned to reduce the thickness of the final dies. Solder balls or other external electrical contacts are subsequently attached to the through-wafer interconnects at the backside and/or the front side of the workpiece. The solder balls or external contacts can be attached either before or after singulating the dies from the workpiece.
  • Conventional processes for forming external contacts on through-wafer interconnects include (a) depositing a dielectric layer on the backside of the workpiece, (b) forming a photoresist on the dielectric layer, (c) patterning and developing the photoresist, (d) etching the dielectric layer to form holes aligned with corresponding interconnects, (e) removing the photoresist from the workpiece, and (f) forming conductive external contacts in the holes in the dielectric layer. One concern with forming external contacts on the backside of a workpiece is that conventional processes are relatively expensive because patterning the photoresist requires a mask. Masks are expensive and time-consuming to construct because they require very expensive photolithography equipment to achieve the tolerances required in semiconductor devices. Accordingly, there is a need to reduce the cost of forming external contacts on workpieces with through-wafer interconnects.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1A-1I illustrate stages of a method for forming interconnects in a microfeature workpiece in accordance with one embodiment of the invention.
  • FIG. 1A is a schematic side cross-sectional view of a portion of the workpiece at an intermediate stage after partially forming a plurality of interconnects.
  • FIG. 1B is a schematic side cross-sectional view of the area 1B shown in FIG. 1A with the workpiece flipped over.
  • FIG. 1C is a schematic side cross-sectional view of the portion of the workpiece after thinning the substrate from the second side.
  • FIG. 1D is a schematic side cross-sectional view of the portion of the workpiece after selectively removing additional material from the second side of the substrate so that the interconnect projects from the substrate.
  • FIG. 1E is a schematic side cross-sectional view of the area 1E shown in FIG. 1D after forming a recess in the second end portion of the interconnect.
  • FIG. 1F is a schematic side cross-sectional view of the portion of the workpiece after forming a dielectric structure across the second side of the substrate and the second end portion of the interconnect.
  • FIG. 1G is a schematic side cross-sectional view of the portion of the workpiece after removing sections of the interconnect and the dielectric structure.
  • FIG. 1H is a schematic side cross-sectional view of the portion of the workpiece after removing the section of the first dielectric layer from the recess in the interconnect.
  • FIG. 1I is a schematic side cross-sectional view of the portion of the workpiece after forming a conductive member at the second end portion of the interconnect.
  • FIGS. 2A-2C illustrate stages in a method for forming interconnects in a microfeature workpiece in accordance with another embodiment of the invention.
  • FIG. 2A is a schematic side cross-sectional view of a portion of the workpiece at an intermediate stage after partially forming an interconnect.
  • FIG. 2B is a schematic side cross-sectional view of the portion of the workpiece after removing sections of the interconnect and the dielectric structure.
  • FIG. 2C is a schematic side cross-sectional view of the portion of the workpiece after forming the conductive member on the exposed surface of the interconnect.
  • FIGS. 3A-3C illustrate stages in a method for forming interconnects in a microfeature workpiece in accordance with another embodiment of the invention.
  • FIG. 3A is a schematic side cross-sectional view of a portion of the workpiece at an intermediate stage after partially forming an interconnect.
  • FIG. 3B is a schematic side cross-sectional view of the portion of the workpiece after removing sections of the interconnect and the dielectric structure.
  • FIG. 3C is a schematic side cross-sectional view of the workpiece after forming a conductive member on the exposed surface of the interconnect.
  • DETAILED DESCRIPTION A. Overview
  • The following disclosure describes several embodiments of methods for forming interconnects in microfeature workpieces, and microfeature workpieces having such interconnects. One aspect of the invention is directed to methods of forming an interconnect in a microfeature workpiece having a terminal and a substrate with a first side carrying the terminal and a second side opposite the first side. An embodiment of one such method includes (a) constructing an electrically conductive interconnect extending from the terminal to at least an intermediate depth in the substrate, and (b) removing material from the second side of the substrate so that a portion of the interconnect projects from the substrate. The material can be removed from the second side of the substrate by thinning the substrate so that a surface of the interconnect is exposed and selectively etching the substrate so that the portion of the interconnect projects from the substrate.
  • In another embodiment, a method includes providing a microfeature workpiece having (a) a substrate with a first side and a second side opposite the first side, (b) a terminal carried by the first side of the substrate, and (c) an electrically conductive interconnect extending from the terminal through the substrate and projecting from the second side of the substrate. The method further includes applying a dielectric layer to the second side of the substrate and the portion of the interconnect projecting from the second side of the substrate, and removing a section of the dielectric layer to expose a surface of the interconnect with the interconnect intersecting a plane defined by the remaining section of the dielectric layer.
  • In another embodiment, a method includes forming an electrically conductive interconnect having a first portion at the terminal and a second portion at an intermediate depth in the substrate. The electrically conductive interconnect is electrically connected to the terminal. The method further includes thinning the substrate from the second side to at least the second portion of the interconnect, applying a dielectric layer to the second side of the substrate and the second portion of the interconnect, and exposing a surface of the second portion of the interconnect without photolithography.
  • Another aspect of the invention is directed to microfeature workpieces. In one embodiment, a microfeature workpiece includes a substrate and a microelectronic die formed in and/or on the substrate. The substrate has a first side and a second side opposite the first side. The die includes a terminal at the first side of the substrate and an integrated circuit operably coupled to the terminal. The workpiece further includes an electrically conductive interconnect extending from the terminal through the substrate such that a portion of the interconnect projects from the second side of the substrate. The interconnect is electrically coupled to the terminal.
  • In another embodiment, a microfeature workpiece includes a substrate and a microelectronic die formed in and/or on the substrate. The substrate has a first side and a second side opposite the first side. The die includes a terminal at the first side of the substrate and an integrated circuit operably coupled to the terminal. The workpiece further includes (a) a hole extending through the terminal and the substrate, (b) a dielectric layer on the second side of the substrate defining a plane, and (c) an electrically conductive interconnect. The interconnect includes a conductive fill material in the hole and a conductive layer in the hole between the conductive fill material and the substrate. Both the conductive fill material and the conductive layer are electrically coupled to the terminal and extend from the terminal through the substrate. Moreover, both the conductive fill material and the conductive layer project from the substrate such that the conductive fill material and the conductive layer intersect the plane.
  • Specific details of several embodiments of the invention are described below with reference to interconnects extending from a terminal proximate to the front side of a workpiece, but the methods and interconnects described below can be used for other types of interconnects within microelectronic workpieces. Several details describing well-known structures or processes often associated with fabricating microelectronic devices are not set forth in the following description for purposes of clarity. Also, several other embodiments of the invention can have different configurations, components, or procedures than those described in this section. A person of ordinary skill in the art, therefore, will accordingly understand that the invention may have other embodiments with additional elements, or the invention may have other embodiments without several of the elements shown and described below with reference to FIGS. 1A-3C.
  • The term “microfeature workpiece” is used throughout to include substrates upon which and/or in which microelectronic devices, micromechanical devices, data storage elements, optics, and other features are fabricated. For example, microfeature workpieces can be semiconductor wafers, glass substrates, dielectric substrates, or many other types of substrates. Many features on such microfeature workpieces have critical dimensions less than or equal to 1 μm, and in many applications the critical dimensions of the smaller features are less than 0.25 μm or even less than 0.1 μm. Where the context permits, singular or plural terms may also include the plural or singular term, respectively. Moreover, unless the word “or” is expressly limited to mean only a single item exclusive from other items in reference to a list of at least two items, then the use of “or” in such a list is to be interpreted as including (a) any single item in the list, (b) all of the items in the list, or (c) any combination of the items in the list. Additionally, the term “comprising” is used throughout to mean including at least the recited feature(s) such that any greater number of the same features and/or types of other features and components are not precluded.
  • B. Embodiments of Methods for Forming Interconnects in Microfeature Workpieces
  • FIGS. 1A-1I illustrate stages of a method for forming interconnects in a microfeature workpiece 100 in accordance with one embodiment of the invention. FIG. 1A, for example, is a schematic side cross-sectional view of a portion of the workpiece 100 at an intermediate stage after partially forming a plurality of interconnects 140. The workpiece 100 can include a substrate 110 and a plurality of microelectronic dies 120 formed in and/or on the substrate 110. The substrate 110 has a first side 112 and a second side 114 opposite the first side 112. The substrate 110 is generally a semiconductor wafer, and the dies 120 are arranged in a die pattern on the wafer. The individual dies 120 include integrated circuitry 122 (shown schematically) and a plurality of terminals 124 (e.g., bond-pads) electrically coupled to the integrated circuitry 122. The terminals 124 shown in FIG. 1A are external features at the first side 112 of the substrate 110. In other embodiments, however, the terminals 124 can be internal features that are embedded at an intermediate depth within the substrate 110. Moreover, in additional embodiments, the dies 120 can have different features to perform different functions. For example, the individual dies may further include an image sensor (e.g., CMOS image sensor or CCD image sensor) for capturing pictures or other images in the visible spectrum, or detecting radiation in other spectrums (e.g., IR or UV ranges).
  • In previous processing steps, a first dielectric layer 130 was applied to the first side 112 of the substrate 110, and the interconnects 140 were partially formed in the workpiece 100. The first dielectric layer 130 can be a polyimide material or other suitable nonconductive materials. For example, the first dielectric layer 130 can be parylene, a low temperature chemical vapor deposition (low temperature CVD) material such as silicon nitride (Si3N4), silicon oxide (SiO2), and/or other suitable materials. The foregoing list of dielectric materials is not exhaustive. The conductive interconnects 140 extend from the first dielectric layer 130 to an intermediate depth in the substrate 110. As described in greater detail below with regard to FIG. 1B, the conductive interconnects 140 can include several layers of conductive material that are electrically coupled to corresponding terminals 124. Suitable methods for forming the portion of the interconnects 140 illustrated in FIG. 1A are disclosed in U.S. patent application Ser. Nos. 10/713,878; 10/867,352; 10/879,398; 11/027,443; 11/056,211; 11/169,546; 11/217,877; and 11/218,243, which are incorporated herein by reference. After partially forming the interconnects 140, the workpiece 100 can optionally be attached to a support member 190 with an adhesive 192 to provide rigidity to the workpiece 100 during subsequent processing steps.
  • FIG. 1B is a schematic side cross-sectional view of the area 1B shown in FIG. 1A with the workpiece 100 flipped over. The workpiece 100 includes an interconnect hole 180 extending from the terminal 114 to an intermediate depth in the substrate 110, a second dielectric layer 132 in the interconnect hole 180, and a vent hole 182 extending from the interconnect hole 180 to the second side 114 of the substrate 110. The second dielectric layer 132 electrically insulates components in the substrate 110 from the interconnect 140. The second dielectric layer 132 can be an ALD (atomic layer deposition) aluminum oxide material applied using a suitable deposition process or another suitable low temperature CVD oxide. In another embodiment, the second dielectric layer 132 can include a silane-based and/or an aluminum-based oxide material. In still further embodiments, the second dielectric layer 132 can include other suitable dielectric materials.
  • The illustrated interconnect 140 is formed in the interconnect hole 180 and has a first end portion 142 at the first dielectric layer 130 and a second end portion 144 at an intermediate depth in the substrate 110. The illustrated interconnect 140 includes a diffusion barrier layer 150 deposited over the second dielectric layer 132 in the hole 180, a seed layer 152 formed over the barrier layer 150 in the hole 180, a conductive layer 154 deposited over the seed layer 152 in the hole 180, and a conductive fill material 152 formed over the conductive layer 154 in the hole 180. The diffusion barrier layer 150 can be a layer of tantalum that is deposited onto the workpiece 100 using physical vapor deposition (PVD) and has a thickness of approximately 150 Angstroms. In other embodiments, the barrier layer 150 may be deposited onto the workpiece 100 using other vapor deposition processes, such as CVD, and/or may have a different thickness. In either case, the barrier layer 150 is not limited to tantalum, but rather may be composed of tungsten or other suitable materials that help contain the conductive fill material 156 in the interconnect hole 180.
  • The seed layer 152 can be deposited using vapor deposition techniques, such as PVD, CVD, atomic layer deposition, and/or plating. The seed layer 152 can be composed of Cu or other suitable materials. The thickness of the seed layer 152 may be about 2000 Angstroms, but could be more or less depending on the depth and aspect ratio of the hole 180. The conductive layer 154 can be Cu that is deposited onto the seed layer 152 in an electroless plating operation, electroplating operation, or another suitable method. The thickness of the conductive layer 154 can be about 1 micron, however, in other embodiments the conductive layer 154 can have a different thickness and/or include other suitable materials. In additional embodiments, the workpiece 100 may include a second conductive layer (not shown) that is deposited over the conductive layer 154 in the hole 180. The second conductive layer can be Ni or other suitable materials that function as a wetting agent for facilitating deposition of subsequent materials into the hole 180.
  • The conductive fill material 156 can include Cu, Ni, Co, Ag, Au, SnAgCu solder, AuSn solder, a solder having a different composition, or other suitable materials or alloys of materials having the desired conductivity. The conductive fill material 156 may be deposited into the hole 180 using plating processes, solder wave processes, screen printing processes, reflow processes, vapor deposition processes, or other suitable techniques. In other embodiments, the interconnects may have a different structure. For example, the interconnects may have additional layers in lieu of or in addition to the layers described above.
  • FIG. 1C is a schematic side cross-sectional view of the portion of the workpiece 100 after thinning the substrate 110 from the second side 114. The substrate 110 can be thinned by grinding, dry etching, chemical etching, chemical polishing, chemical-mechanical polishing, or other suitable processes. The thinning process may also remove a section of the second end portion 114 of the interconnect 140. For example, in one embodiment, the initial thickness of the substrate 110 is approximately 750 microns and the interconnect 140 extends to an intermediate depth of approximately 150 microns in the substrate 110, and the post-thinning thickness T of the substrate 110 is approximately 140 microns. These thicknesses can be different in other embodiments. After thinning the workpiece 100, the illustrated interconnect 140 includes an exposed surface 146 at the second end portion 144.
  • FIG. 1D is a schematic side cross-sectional view of the portion of the workpiece 100 after selectively removing additional material from the second side 114 of the substrate 110 so that the interconnect 140 projects from the substrate 110. The additional material can be removed via a plasma etch with SF6 or another suitable etchant that is selective to silicon. Alternatively, the additional material can be removed with other processes. In either case, after thinning the substrate 110, the second end portion 144 of the interconnect 140 projects a first distance D1 from the second side of the substrate 110. In several embodiments, the first distance D1 is between approximately 5 and 10 microns, although the first distance D1 can be less than 5 microns or more than 10 microns in other embodiments. The first distance D1 is selected based on the subsequent processing and application requirements.
  • FIG. 1E is a schematic side cross-sectional view of the area 1E shown in FIG. 1D after forming a recess 158 in the second end portion 144 of the interconnect 140. In the illustrated embodiment, the recess 158 is formed by removing a portion of the conductive fill material 156 from the interconnect 140. The conductive fill material 156 can be removed by a wet etch process with an etchant that is selective to the conductive fill material 156 and, consequently, removes the conductive fill material 156 at a faster rate than the seed and/or conductive layers 152 and/or 154. The illustrated recess 158 extends from the surface 146 of the interconnect 140 to a surface 157 of the conductive fill material 156, and has a depth D2 less than the first distance D1. The depth D2 of the recess 158 is selected based on the subsequent processing and application requirements. In other embodiments, such as the embodiments described below with reference to FIGS. 2A-3C, the interconnects may not include a recess in the second end portion 144.
  • FIG. 1F is a schematic side cross-sectional view of the portion of the workpiece 100 after forming a dielectric structure 170 across the second side 114 of the substrate 110 and the second end portion 144 of the interconnect 140. The illustrated dielectric structure 170 includes a first dielectric layer 172 and a second dielectric layer 174 deposited on the first dielectric layer 172. The first dielectric layer 172 can be parylene HT and have a thickness of approximately 0.5 micron. In other embodiments, other dielectric materials can be used and/or have different thicknesses. The second dielectric layer 174 can be an oxide such as silicon oxide (SiO2) and/or other suitable materials that are deposited by chemical vapor deposition and/or other suitable processes. In additional embodiments, the dielectric structure 170 can include a different number of layers.
  • FIG. 1G is a schematic side cross-sectional view of the portion of the workpiece 100 after removing sections of the interconnect 140 and the dielectric structure 170. The sections of the interconnect 140 and the dielectric structure 170 can be removed by grinding, dry etching, chemical etching, chemical polishing, chemical-mechanical polishing, or other suitable processes. In the illustrated embodiment, the workpiece 100 is polished to remove portions of the second dielectric layer 132, the barrier layer 150, the seed layer 152, the conductive layer 154, the first dielectric layer 172, and the second dielectric layer 174. The volume of material removed is selected so that (a) the recess 158 in the interconnect 140 has a desired depth D3, and (b) the interconnect 140 projects a desired distance D4 from an exterior surface 175 of the dielectric structure 170. In other embodiments, such as the embodiment described below with reference to FIGS. 3A-3C, the interconnect may not project from the exterior surface 175 of the dielectric structure 170. In either case, the interconnect 140 intersects a plane defined by the dielectric structure 170.
  • FIG. 1H is a schematic side cross-sectional view of the portion of the workpiece 100 after removing the section of the first dielectric layer 172 from the recess 158 in the interconnect 140. The section of the first dielectric layer 172 can be removed from the recess 158 by a plasma etching process (e.g., O2 plasma) or another suitable method that selectively removes the first dielectric layer 172 without significantly effecting the dielectric structure 170 formed on the substrate 110.
  • FIG. 1I is a schematic side cross-sectional view of the portion of the workpiece 100 after forming a conductive member 160 on the second end portion 144 of the interconnect 140. The illustrated conductive member 160 is a cap disposed in the recess 158 and extending over the barrier layer 150, the seed layer 152, and the conductive layer 154. The cap projects a desired distance D5 from the substrate 110 and forms an external contact for connection to an external device. The conductive member 160 can be electrolessly plated onto the second end portion 144 of the interconnect 140 or formed using other suitable processes. The conductive member 160 can include Ni or other suitable conductive materials. In other embodiments, the interconnect 140 may not include the conductive member 160. For example, the second end portion 144 of the interconnects 140 can be attached directly to an external device, or a conductive coupler (e.g., a solder ball) can be attached directly to the second end portion 144.
  • One feature of the method illustrated in FIGS. 1A-1I is that the interconnect 140 projects from the substrate 110. As a result, the section of the dielectric structure 170 covering the interconnect 140 can be removed by a simple polishing process without exposing the backside of the substrate 110. The resulting exposed surface 146 on the interconnect 140 may form an external contact to which an external device can be attached. Alternatively, the conductive member 160 can be disposed on the exposed surface 146 and form the external contact. In either case, an advantage of this feature is that the illustrated method does not require expensive and time-consuming photolithography processes to form external contacts on the backside of the workpiece 100.
  • Another advantage of the method illustrated in FIGS. 1A-1I is that the interconnect 140 can be sized to project a desired distance from the external surface 175 of the dielectric structure 170. The distance can be selected based on the application requirements for the die 110. For example, in applications in which the die 110 is stacked on another die, the distance may be selected to provide a desired gap between the two dies.
  • C. Additional Embodiments of Methods for Forming Interconnects in Microfeature Workpieces
  • FIGS. 2A-2C illustrate stages in a method for forming interconnects in a microfeature workpiece 200 in accordance with another embodiment of the invention. FIG. 2A, for example, is a schematic side cross-sectional view of a portion of the workpiece 200 at an intermediate stage after partially forming an interconnect 240. The illustrated workpiece 200 is generally similar to the workpiece 100 described above with reference to FIGS. 1A-1F. For example, the illustrated workpiece 200 includes a substrate 110, an interconnect 240 extending through and projecting from the substrate 110, and a dielectric structure 270 formed over the substrate 110 and the interconnect 240. The illustrated interconnect 240, however, does not include a recess at the second end portion 244.
  • FIG. 2B is a schematic side cross-sectional view of the portion of the workpiece 200 after removing sections of the interconnect 240 and the dielectric structure 270. The sections of the interconnect 240 and the dielectric structure 170 can be removed by grinding, dry etching, chemical etching, chemical polishing, chemical-mechanical polishing, or other suitable processes. The volume of the material removed is selected so that the interconnect 240 projects a desired distance D6 from an exterior surface 275 of the dielectric structure 270. The illustrated interconnect 240 includes a generally planar exposed surface 246 extending across the barrier layer 150, the seed layer 152, the conductive layer 154, and the conductive fill material 156.
  • FIG. 2C is a schematic side cross-sectional view of the portion of the workpiece 200 after forming a conductive member 260 on the generally planar exposed surface 246 of the interconnect 240. The conductive member 260 forms part of the electrically conductive interconnect 240 and, accordingly, is electrically coupled to the terminal 114 (FIG. 1B).
  • FIGS. 3A-3C illustrate stages in a method for forming interconnects in a microfeature workpiece 300 in accordance with another embodiment of the invention. FIG. 3A, for example, is a schematic side cross-sectional view of a portion of the workpiece 300 at an intermediate stage after partially forming an interconnect 340. The illustrated workpiece 300 is generally similar to the workpiece 200 described above with reference to FIG. 2A. For example, the illustrated workpiece 300 includes a substrate 110, an interconnect 340 extending through and projecting from the substrate 110, and a dielectric structure 370 formed over the substrate 110 and the interconnect 340.
  • FIG. 3B is a schematic side cross-sectional view of the portion of the workpiece 300 after removing sections of the interconnect 340 and the dielectric structure 370. The sections of the interconnect 340 and the dielectric structure 370 are removed to form a generally planar surface across the workpiece 300 such that an exposed surface 346 of the interconnect 340 is generally coplanar with an exterior surface 375 of the dielectric structure 370.
  • FIG. 3C is a schematic side cross-sectional view of the workpiece 300 after forming a conductive member 360 on the exposed surface 346 of the interconnect 340. The conductive member 360 forms part of the electrically conductive interconnect 340 and, accordingly, is electrically coupled to the terminal 114 (FIG. 1B).
  • From the foregoing, it will be appreciated that specific embodiments of the invention have been described herein for purposes of illustration, but that various modifications may be made without deviating from the spirit and scope of the invention. For example, many of the elements of one embodiment can be combined with other embodiments in addition to or in lieu of the elements of the other embodiments. Accordingly, the invention is not limited except as by the appended claims.

Claims (27)

1. A microfeature workpiece, comprising:
a substrate having a first side and a second side opposite the first side;
a microelectronic die formed in and/or on the substrate, the die including a terminal at the first side of the substrate and an integrated circuit operably coupled to the terminal; and
an electrically conductive interconnect extending from the terminal through the substrate and projecting from the second side of the substrate, wherein the interconnect is electrically coupled to the terminal and has an exposed surface at the second side of the substrate.
2. The microfeature workpiece of claim 1 wherein:
the substrate further comprises a hole;
the electrically conductive interconnect comprises a conductive fill material in the hole and a conductive layer in the hole between the conductive fill material and the substrate;
the conductive fill material and the conductive layer each include an exposed surface; and
the exposed surface of the conductive fill material is recessed relative to the exposed surface of the conductive layer.
3. The microfeature workpiece of claim 1, further comprising a dielectric structure covering the second side of the substrate and the portion of the interconnect projecting from the substrate.
4. The microfeature workpiece of claim 1, further comprising a dielectric structure covering the second side of the substrate, wherein the exposed surface of the interconnect is not covered by the dielectric structure.
5. The microfeature workpiece of claim 1, further comprising a dielectric structure covering the second side of the substrate and having an exterior surface, wherein the exposed surface of the interconnect is not covered by the dielectric structure, and wherein a portion of the interconnect projects from the exterior surface of the dielectric structure.
6. The microfeature workpiece of claim 1, further comprising a dielectric structure covering the second side of the substrate and having an exterior surface, wherein the exposed surface of the interconnect is not covered by the dielectric structure, and wherein the exposed surface of the interconnect is generally coplanar with the exterior surface of the dielectric structure.
7. The microfeature workpiece of claim 1, further comprising a layer of parylene disposed on the second side of the substrate.
8. The microfeature workpiece of claim 1, further comprising a conductive member formed on the portion of the interconnect projecting from the substrate.
9. The microfeature workpiece of claim 1, further comprising a conductive cap formed on the portion of the interconnect projecting from the substrate.
10. The microfeature workpiece of claim 1 wherein the interconnect projects between approximately 5 and 10 microns from the substrate.
11. The microfeature workpiece of claim 1 wherein:
the substrate further comprises a hole;
the electrically conductive interconnect comprises a conductive fill material in the hole, a conductive layer in the hole between the conductive fill material and the substrate, and a recess at the second side of the substrate; and
the workpiece further comprises a dielectric material disposed in the recess.
12. A microfeature workpiece, comprising:
a substrate having a first side and a second side opposite the first side;
a microelectronic die formed in and/or on the substrate, the die including a terminal at the first side of the substrate and an integrated circuit operably coupled to the terminal;
a hole extending through the terminal and the substrate;
a dielectric layer on the second side of the substrate defining a plane; and
an electrically conductive interconnect including a conductive fill material in the hole and a conductive layer in the hole between the conductive fill material and the substrate, both the conductive fill material and the conductive layer being electrically coupled to the terminal and extending from the terminal through the substrate and projecting from the substrate such that the conductive fill material and the conductive layer intersect the plane.
13. The microfeature workpiece of claim 12 wherein:
the conductive fill material and the conductive layer each include an exposed surface; and
the exposed surface of the conductive fill material is recessed relative to the exposed surface of the conductive layer.
14. The microfeature workpiece of claim 12 wherein:
the conductive fill material and the conductive layer each include an exposed surface;
the exposed surface of the conductive fill material is recessed relative to the exposed surface of the conductive layer such that the conductive fill material and the conductive layer define a recess in the interconnect; and
the dielectric layer further includes a section disposed in the recess.
15. The microfeature workpiece of claim 12 wherein:
the conductive fill material and the conductive layer each include an exposed surface; and
the exposed surface of the conductive fill material is generally coplanar with the exposed surface of the conductive layer.
16. The microfeature workpiece of claim 12 wherein the dielectric layer further covers the interconnect.
17. The microfeature workpiece of claim 12 wherein the dielectric layer has an exterior surface, and wherein the interconnect includes a portion projecting from the exterior surface.
18. The microfeature workpiece of claim 12 wherein the dielectric layer has an exterior surface, and wherein the interconnect includes an exposed surface generally coplanar with the exterior surface.
19. The microfeature workpiece of claim 12, further comprising a conductive member formed on the portion of the interconnect that projects from the substrate.
20. A microfeature workpiece, comprising:
a substrate having a first side and a second side opposite the first side;
a microelectronic die formed in and/or on the substrate, the die including a terminal at the first side of the substrate and an integrated circuit operably coupled to the terminal; and
an electrically conductive interconnect including a conductive fill material in the hole and a conductive layer in the hole between the conductive fill material and the substrate, both the conductive fill material and the conductive layer being electrically coupled to the terminal and extending from the terminal through the substrate and projecting from the substrate, wherein the conductive fill material is recessed relative to the conductive layer at the second side of the substrate.
21. The microfeature workpiece of claim 20 wherein the conductive fill material and the conductive layer each include an exposed surface.
22. The microfeature workpiece of claim 20, further comprising a dielectric layer disposed on the second side of the substrate.
23. The microfeature workpiece of claim 20, further comprising a dielectric layer disposed on the second side of the substrate and including a section disposed in a recess defined by the conductive fill material and the conductive layer.
24. The microfeature workpiece of claim 20, further comprising a dielectric layer covering the interconnect.
25. The microfeature workpiece of claim 20, further comprising a dielectric layer covering the second side of the substrate, wherein the dielectric layer has an exterior surface and the interconnect includes a portion projecting from the exterior surface.
26. The microfeature workpiece of claim 20, further comprising a dielectric layer covering the second side of the substrate, wherein the dielectric layer has an exterior surface and the interconnect includes an exposed surface generally coplanar with the exterior surface.
27. The microfeature workpiece of claim 20, further comprising a conductive member formed on the portion of the interconnect that projects from the substrate.
US12/965,301 2005-09-01 2010-12-10 Microfeature workpieces and methods for forming interconnects in microfeature workpieces Abandoned US20110079900A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US12/965,301 US20110079900A1 (en) 2005-09-01 2010-12-10 Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US15/662,204 US20170323828A1 (en) 2005-09-01 2017-07-27 Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US16/991,965 US11476160B2 (en) 2005-09-01 2020-08-12 Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US18/047,049 US20230106554A1 (en) 2005-09-01 2022-10-17 Microfeature workpieces and methods for forming interconnects in microfeature workpieces

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/217,169 US7863187B2 (en) 2005-09-01 2005-09-01 Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US12/965,301 US20110079900A1 (en) 2005-09-01 2010-12-10 Microfeature workpieces and methods for forming interconnects in microfeature workpieces

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/217,169 Division US7863187B2 (en) 2005-09-01 2005-09-01 Microfeature workpieces and methods for forming interconnects in microfeature workpieces

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US15/662,204 Division US20170323828A1 (en) 2005-09-01 2017-07-27 Microfeature workpieces and methods for forming interconnects in microfeature workpieces

Publications (1)

Publication Number Publication Date
US20110079900A1 true US20110079900A1 (en) 2011-04-07

Family

ID=37804834

Family Applications (5)

Application Number Title Priority Date Filing Date
US11/217,169 Active 2027-05-25 US7863187B2 (en) 2005-09-01 2005-09-01 Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US12/965,301 Abandoned US20110079900A1 (en) 2005-09-01 2010-12-10 Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US15/662,204 Abandoned US20170323828A1 (en) 2005-09-01 2017-07-27 Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US16/991,965 Active 2026-02-08 US11476160B2 (en) 2005-09-01 2020-08-12 Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US18/047,049 Pending US20230106554A1 (en) 2005-09-01 2022-10-17 Microfeature workpieces and methods for forming interconnects in microfeature workpieces

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/217,169 Active 2027-05-25 US7863187B2 (en) 2005-09-01 2005-09-01 Microfeature workpieces and methods for forming interconnects in microfeature workpieces

Family Applications After (3)

Application Number Title Priority Date Filing Date
US15/662,204 Abandoned US20170323828A1 (en) 2005-09-01 2017-07-27 Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US16/991,965 Active 2026-02-08 US11476160B2 (en) 2005-09-01 2020-08-12 Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US18/047,049 Pending US20230106554A1 (en) 2005-09-01 2022-10-17 Microfeature workpieces and methods for forming interconnects in microfeature workpieces

Country Status (2)

Country Link
US (5) US7863187B2 (en)
WO (1) WO2007027969A2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9224708B2 (en) 2013-07-25 2015-12-29 Commissariat A L'energie Atomique Et Aux Energies Alternatives Method for manufacturing a conducting contact on a conducting element
US11476160B2 (en) 2005-09-01 2022-10-18 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7091124B2 (en) * 2003-11-13 2006-08-15 Micron Technology, Inc. Methods for forming vias in microelectronic devices, and methods for packaging microelectronic devices
US8084866B2 (en) 2003-12-10 2011-12-27 Micron Technology, Inc. Microelectronic devices and methods for filling vias in microelectronic devices
US20050247894A1 (en) 2004-05-05 2005-11-10 Watkins Charles M Systems and methods for forming apertures in microfeature workpieces
US7232754B2 (en) 2004-06-29 2007-06-19 Micron Technology, Inc. Microelectronic devices and methods for forming interconnects in microelectronic devices
US7189954B2 (en) * 2004-07-19 2007-03-13 Micron Technology, Inc. Microelectronic imagers with optical devices and methods of manufacturing such microelectronic imagers
US7083425B2 (en) 2004-08-27 2006-08-01 Micron Technology, Inc. Slanted vias for electrical circuits on circuit boards and other substrates
US7300857B2 (en) 2004-09-02 2007-11-27 Micron Technology, Inc. Through-wafer interconnects for photoimager and memory wafers
US7271482B2 (en) * 2004-12-30 2007-09-18 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
KR100661169B1 (en) * 2005-06-03 2006-12-26 삼성전자주식회사 Packaging chip and packaging method thereof
US7795134B2 (en) 2005-06-28 2010-09-14 Micron Technology, Inc. Conductive interconnect structures and formation methods using supercritical fluids
US7262134B2 (en) 2005-09-01 2007-08-28 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
KR100694424B1 (en) * 2006-02-17 2007-03-12 주식회사 하이닉스반도체 Multi chip package device and method for manufacturing the same
US7749899B2 (en) 2006-06-01 2010-07-06 Micron Technology, Inc. Microelectronic workpieces and methods and systems for forming interconnects in microelectronic workpieces
US7629249B2 (en) 2006-08-28 2009-12-08 Micron Technology, Inc. Microfeature workpieces having conductive interconnect structures formed by chemically reactive processes, and associated systems and methods
US7902643B2 (en) 2006-08-31 2011-03-08 Micron Technology, Inc. Microfeature workpieces having interconnects and conductive backplanes, and associated systems and methods
DE102007039754A1 (en) * 2007-06-22 2008-12-24 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Process for the production of substrates
SG149710A1 (en) 2007-07-12 2009-02-27 Micron Technology Inc Interconnects for packaged semiconductor devices and methods for manufacturing such devices
SG150410A1 (en) 2007-08-31 2009-03-30 Micron Technology Inc Partitioned through-layer via and associated systems and methods
US7884015B2 (en) 2007-12-06 2011-02-08 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US8084854B2 (en) 2007-12-28 2011-12-27 Micron Technology, Inc. Pass-through 3D interconnect for microelectronic dies and associated systems and methods
US8253230B2 (en) 2008-05-15 2012-08-28 Micron Technology, Inc. Disabling electrical connections using pass-through 3D interconnects and associated systems and methods
KR20100020718A (en) * 2008-08-13 2010-02-23 삼성전자주식회사 Semiconductor chip, stack structure, and methods of fabricating the semiconductor chip and the stack structure
US8513119B2 (en) 2008-12-10 2013-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming bump structure having tapered sidewalls for stacked dies
US20100171197A1 (en) 2009-01-05 2010-07-08 Hung-Pin Chang Isolation Structure for Stacked Dies
US7998860B2 (en) 2009-03-12 2011-08-16 Micron Technology, Inc. Method for fabricating semiconductor components using maskless back side alignment to conductive vias
US9406561B2 (en) * 2009-04-20 2016-08-02 International Business Machines Corporation Three dimensional integrated circuit integration using dielectric bonding first and through via formation last
US8791549B2 (en) * 2009-09-22 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer backside interconnect structure connected to TSVs
US8466059B2 (en) 2010-03-30 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer interconnect structure for stacked dies
US8900994B2 (en) 2011-06-09 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for producing a protective structure
US8816505B2 (en) 2011-07-29 2014-08-26 Tessera, Inc. Low stress vias
US8563403B1 (en) 2012-06-27 2013-10-22 International Business Machines Corporation Three dimensional integrated circuit integration using alignment via/dielectric bonding first and through via formation last
US9484325B2 (en) * 2013-10-09 2016-11-01 Invensas Corporation Interconnections for a substrate associated with a backside reveal
US9443758B2 (en) 2013-12-11 2016-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Connecting techniques for stacked CMOS devices
US9653381B2 (en) 2014-06-17 2017-05-16 Micron Technology, Inc. Semiconductor structures and die assemblies including conductive vias and thermally conductive elements and methods of forming such structures
CN104409364B (en) * 2014-11-19 2017-12-01 清华大学 Pinboard and preparation method thereof, encapsulating structure and the bonding method for pinboard

Citations (113)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2821959A (en) * 1956-03-29 1958-02-04 Bell Telephone Labor Inc Mass soldering of electrical assemblies
US3006318A (en) * 1958-03-26 1961-10-31 Western Electric Co Apparatus for applying solder coatings to surfaces
US3345134A (en) * 1962-04-21 1967-10-03 Knapsack Ag Process and apparatus for the manufacture of titanium nitride
US3865298A (en) * 1973-08-14 1975-02-11 Atomic Energy Commission Solder leveling
US3902036A (en) * 1974-05-02 1975-08-26 Western Electric Co Control system using multiplexed laser beams
US4040168A (en) * 1975-11-24 1977-08-09 Rca Corporation Fabrication method for a dual gate field-effect transistor
US4368106A (en) * 1980-10-27 1983-01-11 General Electric Company Implantation of electrical feed-through conductors
US4534100A (en) * 1982-06-28 1985-08-13 The United States Of America As Represented By The Secretary Of The Air Force Electrical method of making conductive paths in silicon
US4581301A (en) * 1984-04-10 1986-04-08 Michaelson Henry W Additive adhesive based process for the manufacture of printed circuit boards
US4608480A (en) * 1983-06-15 1986-08-26 S.N.E.C.M.A. Process and apparatus for laser drilling
US4614427A (en) * 1983-05-20 1986-09-30 Hitachi, Ltd. Automatic contaminants detection apparatus
US4627971A (en) * 1985-04-22 1986-12-09 Alza Corporation Osmotic device with self-sealing passageway
US4660063A (en) * 1985-03-18 1987-04-21 General Electric Company Immersion type ISFET
US4756765A (en) * 1982-01-26 1988-07-12 Avco Research Laboratory, Inc. Laser removal of poor thermally-conductive materials
US4768291A (en) * 1987-03-12 1988-09-06 Monarch Technologies Corporation Apparatus for dry processing a semiconductor wafer
US4818728A (en) * 1986-12-03 1989-04-04 Sharp Kabushiki Kaisha Method of making a hybrid semiconductor device
US4907127A (en) * 1988-03-21 1990-03-06 Lee John K C Printed circuit board construction and method for producing printed circuit end products
US4959705A (en) * 1988-10-17 1990-09-25 Ford Microelectronics, Inc. Three metal personalization of application specific monolithic microwave integrated circuit
US4964212A (en) * 1988-09-29 1990-10-23 Commissariat A L'energie Atomique Process for producing electrical connections through a substrate
US4984597A (en) * 1984-05-21 1991-01-15 Cfm Technologies Research Associates Apparatus for rinsing and drying surfaces
US5006922A (en) * 1990-02-14 1991-04-09 Motorola, Inc. Packaged semiconductor device having a low cost ceramic PGA package
US5024966A (en) * 1988-12-21 1991-06-18 At&T Bell Laboratories Method of forming a silicon-based semiconductor optical device mount
US5027184A (en) * 1981-03-02 1991-06-25 Rockwell International Corporation NPN type lateral transistor with minimal substrate operation interference
US5026964A (en) * 1986-02-28 1991-06-25 General Electric Company Optical breakthrough sensor for laser drill
US5037782A (en) * 1989-03-29 1991-08-06 Mitsubishi Denki Kabushiki Kaisha Method of making a semiconductor device including via holes
US5098864A (en) * 1989-11-29 1992-03-24 Olin Corporation Process for manufacturing a metal pin grid array package
US5102829A (en) * 1991-07-22 1992-04-07 At&T Bell Laboratories Plastic pin grid array package
US5123902A (en) * 1988-09-13 1992-06-23 Carl-Zeiss-Stiftung Method and apparatus for performing surgery on tissue wherein a laser beam is applied to the tissue
US5144412A (en) * 1987-02-19 1992-09-01 Olin Corporation Process for manufacturing plastic pin grid arrays and the product produced thereby
US5145099A (en) * 1990-07-13 1992-09-08 Micron Technology, Inc. Method for combining die attach and lead bond in the assembly of a semiconductor package
US5158911A (en) * 1990-08-03 1992-10-27 Thomson Composants Microondes Method for interconnection between an integrated circuit and a support circuit, and integrated circuit adapted to this method
US5200366A (en) * 1990-04-27 1993-04-06 Hitachi, Ltd. Semiconductor device, its fabrication method and molding apparatus used therefor
US5219344A (en) * 1988-06-09 1993-06-15 Visx, Incorporated Methods and apparatus for laser sculpture of the cornea
US5233448A (en) * 1992-05-04 1993-08-03 Industrial Technology Research Institute Method of manufacturing a liquid crystal display panel including photoconductive electrostatic protection
US5237148A (en) * 1990-10-04 1993-08-17 Brother Kogyo Kabushiki Device for manufacturing a nozzle and its manufacturing method
US5291062A (en) * 1993-03-01 1994-03-01 Motorola, Inc. Area array semiconductor device having a lid with functional contacts
US5289631A (en) * 1992-03-04 1994-03-01 Mcnc Method for testing, burn-in, and/or programming of integrated circuit chips
US5292686A (en) * 1991-08-21 1994-03-08 Triquint Semiconductor, Inc. Method of forming substrate vias in a GaAs wafer
US5294568A (en) * 1990-10-12 1994-03-15 Genus, Inc. Method of selective etching native oxide
US5304743A (en) * 1992-05-12 1994-04-19 Lsi Logic Corporation Multilayer IC semiconductor package
US5378312A (en) * 1993-12-07 1995-01-03 International Business Machines Corporation Process for fabricating a semiconductor structure having sidewalls
US5378313A (en) * 1993-12-22 1995-01-03 Pace; Benedict G. Hybrid circuits and a method of manufacture
US5380681A (en) * 1994-03-21 1995-01-10 United Microelectronics Corporation Three-dimensional multichip package and methods of fabricating
US5402435A (en) * 1993-03-05 1995-03-28 Matsushita Electric Industrial Co., Ltd. Optical device
US5406630A (en) * 1992-05-04 1995-04-11 Motorola, Inc. Tamperproof arrangement for an integrated circuit device
US5424573A (en) * 1992-03-04 1995-06-13 Hitachi, Ltd. Semiconductor package having optical interconnection access
US5438212A (en) * 1993-02-25 1995-08-01 Mitsubishi Denki Kabushiki Kaisha Semiconductor device with heat dissipation structure
US5447871A (en) * 1993-03-05 1995-09-05 Goldstein; Edward F. Electrically conductive interconnection through a body of semiconductor material
US5464960A (en) * 1993-01-12 1995-11-07 Iatrotech, Inc. Laser calibration device
US5481483A (en) * 1992-11-23 1996-01-02 Ford Motor Company Non-contact method of obtaining dimensional information about an object for comparing similar objects
US5485039A (en) * 1991-12-27 1996-01-16 Hitachi, Ltd. Semiconductor substrate having wiring conductors at a first main surface electrically connected to plural pins at a second main surface
US5496755A (en) * 1989-11-29 1996-03-05 Texas Instruments Incorporated Integrated circuit and method
US5515167A (en) * 1994-09-13 1996-05-07 Hughes Aircraft Company Transparent optical chuck incorporating optical monitoring
US5518956A (en) * 1993-09-02 1996-05-21 General Electric Company Method of isolating vertical shorts in an electronic array using laser ablation
US5550403A (en) * 1994-06-02 1996-08-27 Lsi Logic Corporation Improved laminate package for an integrated circuit and integrated circuit having such a package
US5585308A (en) * 1993-12-23 1996-12-17 Sgs-Thomson Microelectronics, Inc. Method for improved pre-metal planarization
US5585675A (en) * 1994-05-11 1996-12-17 Harris Corporation Semiconductor die packaging tub having angularly offset pad-to-pad via structure configured to allow three-dimensional stacking and electrical interconnections among multiple identical tubs
US5614743A (en) * 1994-07-26 1997-03-25 Kabushiki Kaisha Toshiba Microwave integrated circuit (MIC) having a reactance element formed on a groove
US5618752A (en) * 1995-06-05 1997-04-08 Harris Corporation Method of fabrication of surface mountable integrated circuits
US5624437A (en) * 1995-03-28 1997-04-29 Freeman; Jerre M. High resolution, high speed, programmable laser beam modulating apparatus for microsurgery
US5627106A (en) * 1994-05-06 1997-05-06 United Microelectronics Corporation Trench method for three dimensional chip connecting during IC fabrication
US5646067A (en) * 1995-06-05 1997-07-08 Harris Corporation Method of bonding wafers having vias including conductive material
US5654221A (en) * 1994-10-17 1997-08-05 International Business Machines Corporation Method for forming semiconductor chip and electronic module with integrated surface interconnects/components
US5673846A (en) * 1995-08-24 1997-10-07 International Business Machines Corporation Solder anchor decal and method
US5684642A (en) * 1994-02-22 1997-11-04 Mitsubishi Denki Kabushiki Kaisha Optical transmission system and light radiating method
US5690841A (en) * 1993-12-10 1997-11-25 Pharmacia Biotech Ab Method of producing cavity structures
US5718791A (en) * 1995-06-05 1998-02-17 R + S Stanztechnik Gmbh Method of laminating a trim panel and folding a cover sheet edge around the panel rim
US5723904A (en) * 1993-03-10 1998-03-03 Sumitomo Electric Industries, Ltd. Packaged semiconductor device suitable to be mounted and connected to microstrip line structure board
US5726493A (en) * 1994-06-13 1998-03-10 Fujitsu Limited Semiconductor device and semiconductor device unit having ball-grid-array type package structure
US5734555A (en) * 1994-03-30 1998-03-31 Intel Corporation Shared socket multi-chip module and/or piggyback pin grid array package
US5771158A (en) * 1995-09-21 1998-06-23 Mitsubishi Denki Kabushiki Kaisha Printed circuit board, printed circuit board used for flat panel display drive circuit, and flat panel display device
US5773359A (en) * 1995-12-26 1998-06-30 Motorola, Inc. Interconnect system and method of fabrication
US5776824A (en) * 1995-12-22 1998-07-07 Micron Technology, Inc. Method for producing laminated film/metal structures for known good die ("KG") applications
US5807439A (en) * 1997-09-29 1998-09-15 Siemens Aktiengesellschaft Apparatus and method for improved washing and drying of semiconductor wafers
US5811799A (en) * 1997-07-31 1998-09-22 Wu; Liang-Chung Image sensor package having a wall with a sealed cover
US5821532A (en) * 1997-06-16 1998-10-13 Eastman Kodak Company Imager package substrate
US5825080A (en) * 1995-12-18 1998-10-20 Atr Optical And Radio Communications Research Laboratories Semiconductor device provided with surface grounding conductor for covering surfaces of electrically insulating films
US5826628A (en) * 1996-01-24 1998-10-27 Micron Technology, Inc. Form tooling and method of forming semiconductor package leads
US5847454A (en) * 1993-02-04 1998-12-08 Cornell Research Foundcatton, Inc. Electrically isolated released microstructures
US5851845A (en) * 1995-12-18 1998-12-22 Micron Technology, Inc. Process for packaging a semiconductor die using dicing and testing
US5857963A (en) * 1996-07-17 1999-01-12 Welch Allyn, Inc. Tab imager assembly for use in an endoscope
US5861654A (en) * 1995-11-28 1999-01-19 Eastman Kodak Company Image sensor assembly
US5870289A (en) * 1994-12-15 1999-02-09 Hitachi, Ltd. Chip connection structure having diret through-hole connections through adhesive film and wiring substrate
US5870823A (en) * 1996-11-27 1999-02-16 International Business Machines Corporation Method of forming a multilayer electronic packaging substrate with integral cooling channels
US5893828A (en) * 1996-05-02 1999-04-13 Uram; Martin Contact laser surgical endoscope and associated myringotomy procedure
US5904499A (en) * 1994-12-22 1999-05-18 Pace; Benedict G Package for power semiconductor chips
US5969422A (en) * 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US5998292A (en) * 1997-11-12 1999-12-07 International Business Machines Corporation Method for making three dimensional circuit integration
US5998240A (en) * 1996-07-22 1999-12-07 Northrop Grumman Corporation Method of extracting heat from a semiconductor body and forming microchannels therein
US6004867A (en) * 1996-12-16 1999-12-21 Samsung Electronics Co., Ltd. Chip-size packages assembled using mass production techniques at the wafer-level
US6008914A (en) * 1994-04-28 1999-12-28 Mitsubishi Denki Kabushiki Kaisha Laser transfer machining apparatus
US6008070A (en) * 1998-05-21 1999-12-28 Micron Technology, Inc. Wafer level fabrication and assembly of chip scale packages
US6080291A (en) * 1998-07-10 2000-06-27 Semitool, Inc. Apparatus for electrochemically processing a workpiece including an electrical contact assembly having a seal member
US6097087A (en) * 1997-10-31 2000-08-01 Micron Technology, Inc. Semiconductor package including flex circuit, interconnects and dense array external contacts
US6103547A (en) * 1997-01-17 2000-08-15 Micron Technology, Inc. High speed IC package configuration
US6107186A (en) * 1999-01-27 2000-08-22 Advanced Micro Devices, Inc. High planarity high-density in-laid metallization patterns by damascene-CMP processing
US6107180A (en) * 1998-01-30 2000-08-22 Motorola, Inc. Method for forming interconnect bumps on a semiconductor die
US6294837B1 (en) * 1997-12-18 2001-09-25 Micron Technology, Inc. Semiconductor interconnect having laser machined contacts
US20020115290A1 (en) * 2001-02-22 2002-08-22 Halahan Patrick B. Semiconductor structures having multiple conductive layers in an opening, and methods for fabricating same
US6667551B2 (en) * 2000-01-21 2003-12-23 Seiko Epson Corporation Semiconductor device and manufacturing thereof, including a through-hole with a wider intermediate cavity
US6703689B2 (en) * 2000-07-11 2004-03-09 Seiko Epson Corporation Miniature optical element for wireless bonding in an electronic instrument
US6720661B2 (en) * 2000-06-02 2004-04-13 Seiko Epson Corporation Semiconductor device, method of fabricating the same, stack-type semiconductor device, circuit board and electronic instrument
US6734084B1 (en) * 2003-02-04 2004-05-11 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing a semiconductor device with recesses using anodic oxide
US6809421B1 (en) * 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US6864172B2 (en) * 2002-06-18 2005-03-08 Sanyo Electric Co., Ltd. Manufacturing method of semiconductor device
US20050151228A1 (en) * 2003-12-04 2005-07-14 Kazumasa Tanida Semiconductor chip and manufacturing method for the same, and semiconductor device
US6936536B2 (en) * 2002-10-09 2005-08-30 Micron Technology, Inc. Methods of forming conductive through-wafer vias
US20060043569A1 (en) * 2004-08-27 2006-03-02 Benson Peter A Low temperature methods of forming back side redistribution layers in association with through wafer interconnects, semiconductor devices including same, and assemblies
US7074703B2 (en) * 2003-06-19 2006-07-11 Seiko Epson Corporation Semiconductor device and method of manufacturing the same, circuit board, and electronic instrument
US20070032061A1 (en) * 2005-08-05 2007-02-08 Farnworth Warren M Methods of forming through-wafer interconnects and structures resulting therefrom
US7214615B2 (en) * 2003-03-17 2007-05-08 Seiko Epson Corporation Method of manufacturing semiconductor device, semiconductor device, circuit substrate and electronic apparatus
US7432582B2 (en) * 2002-07-29 2008-10-07 Hewlett-Packard Development Company, L.P. Method of forming a through-substrate interconnect
US20130147036A1 (en) * 2011-12-13 2013-06-13 Stats Chippac, Ltd. Semiconductor Device and Method of Forming UBM Structure on Back Surface of TSV Semiconductor Wafer

Family Cites Families (412)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB8312850D0 (en) 1983-05-10 1983-06-15 British Telecomm Semiconductor wafer fabrication
JPS6352432A (en) 1986-08-22 1988-03-05 Hitachi Vlsi Eng Corp Semiconductor device
JPH01252308A (en) 1988-03-31 1989-10-09 Toppan Printing Co Ltd Hole formation method for multilayer circuit wiring board
JPH02235589A (en) 1989-03-09 1990-09-18 Fuji Electric Co Ltd Laser beam machining method
US6545563B1 (en) 1990-07-16 2003-04-08 Raytheon Company Digitally controlled monolithic microwave integrated circuits
JPH05104316A (en) 1991-10-15 1993-04-27 Nec Corp Counter boring device
CO4230054A1 (en) 1993-05-07 1995-10-19 Visx Inc METHOD AND SYSTEMS FOR LASER TREATMENT OF REFRACTIVE ERRORS USING TRAVELING IMAGES FORMATION
NL9300971A (en) 1993-06-04 1995-01-02 Framatome Connectors Belgium Circuit board connector assembly.
KR0142723B1 (en) * 1995-02-17 1998-07-01 유석원 High concentration wastewater treatment method using membrane separation
US6195883B1 (en) 1998-03-25 2001-03-06 International Business Machines Corporation Full additive process with filled plated through holes
US5874780A (en) 1995-07-27 1999-02-23 Nec Corporation Method of mounting a semiconductor device to a substrate and a mounted structure
US6072236A (en) 1996-03-07 2000-06-06 Micron Technology, Inc. Micromachined chip scale package
US5843625A (en) 1996-07-23 1998-12-01 Advanced Micro Devices, Inc. Method of reducing via and contact dimensions beyond photolithography equipment limits
WO1998019337A1 (en) * 1996-10-29 1998-05-07 Trusi Technologies, Llc Integrated circuits and methods for their fabrication
US6882030B2 (en) * 1996-10-29 2005-04-19 Tru-Si Technologies, Inc. Integrated circuit structures with a conductor formed in a through hole in a semiconductor substrate and protruding from a surface of the substrate
US5907769A (en) 1996-12-30 1999-05-25 Micron Technology, Inc. Leads under chip in conventional IC package
US5844318A (en) 1997-02-18 1998-12-01 Micron Technology, Inc. Aluminum film for semiconductive devices
US5929521A (en) 1997-03-26 1999-07-27 Micron Technology, Inc. Projected contact structure for bumped semiconductor device and resulting articles and assemblies
US6008996A (en) 1997-04-07 1999-12-28 Micron Technology, Inc. Interdigitated leads-over-chip lead frame, device, and method for supporting an integrated circuit die
US6271582B1 (en) 1997-04-07 2001-08-07 Micron Technology, Inc. Interdigitated leads-over-chip lead frame, device, and method for supporting an integrated circuit die
JP3724110B2 (en) 1997-04-24 2005-12-07 三菱電機株式会社 Manufacturing method of semiconductor device
JP3920399B2 (en) * 1997-04-25 2007-05-30 株式会社東芝 Multi-chip semiconductor device chip alignment method, and multi-chip semiconductor device manufacturing method and manufacturing apparatus
KR100230428B1 (en) 1997-06-24 1999-11-15 윤종용 Semiconductor device comprising a multi-conductive pad and method for manufacturing the same
US6159764A (en) 1997-07-02 2000-12-12 Micron Technology, Inc. Varied-thickness heat sink for integrated circuit (IC) packages and method of fabricating IC packages
US6437441B1 (en) 1997-07-10 2002-08-20 Kawasaki Microelectronics, Inc. Wiring structure of a semiconductor integrated circuit and a method of forming the wiring structure
US5962810A (en) 1997-09-09 1999-10-05 Amkor Technology, Inc. Integrated circuit package employing a transparent encapsulant
KR100280398B1 (en) 1997-09-12 2001-02-01 김영환 Manufacturing method of stacked semiconductor package module
US6048744A (en) 1997-09-15 2000-04-11 Micron Technology, Inc. Integrated circuit package alignment feature
US6441487B2 (en) 1997-10-20 2002-08-27 Flip Chip Technologies, L.L.C. Chip scale package using large ductile solder balls
US6222136B1 (en) 1997-11-12 2001-04-24 International Business Machines Corporation Printed circuit board with continuous connective bumps
EP0926723B1 (en) 1997-11-26 2007-01-17 STMicroelectronics S.r.l. Process for forming front-back through contacts in micro-integrated electronic devices
FI982568A (en) 1997-12-02 1999-06-03 Samsung Electro Mech A method for manufacturing a multilayer printed circuit board
US6441337B1 (en) 1997-12-12 2002-08-27 Matsushita Electric Industrial Co., Ltd. Laser machining method, laser machining device and control method of laser machining
US6833613B1 (en) 1997-12-18 2004-12-21 Micron Technology, Inc. Stacked semiconductor package having laser machined contacts
US6114240A (en) 1997-12-18 2000-09-05 Micron Technology, Inc. Method for fabricating semiconductor components using focused laser beam
US6620731B1 (en) 1997-12-18 2003-09-16 Micron Technology, Inc. Method for fabricating semiconductor components and interconnects with contacts on opposing sides
JP3638771B2 (en) 1997-12-22 2005-04-13 沖電気工業株式会社 Semiconductor device
US6197181B1 (en) 1998-03-20 2001-03-06 Semitool, Inc. Apparatus and method for electrolytically depositing a metal on a microelectronic workpiece
US6335534B1 (en) 1998-04-17 2002-01-01 Kabushiki Kaisha Toshiba Ion implantation apparatus, ion generating apparatus and semiconductor manufacturing method with ion implantation processes
US6191487B1 (en) 1998-04-23 2001-02-20 Minco Technology Labs, Inc. Semiconductor and flip chip packages and method having a back-side connection
US6177728B1 (en) 1998-04-28 2001-01-23 International Business Machines Corporation Integrated circuit chip device having balanced thermal expansion
EP1202348A3 (en) 1998-06-04 2004-05-12 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method of manufacturing same
US6140604A (en) 1998-06-18 2000-10-31 General Electric Company Laser drilling breakthrough detector
FR2781707B1 (en) 1998-07-30 2000-09-08 Snecma METHOD FOR MACHINING BY EXCIMER LASER OF HOLES OR SHAPES WITH VARIABLE PROFILE
US6324253B1 (en) 1998-08-26 2001-11-27 Yuyama Mfg. Co., Ltd. Tablet inspection apparatus
KR100269540B1 (en) 1998-08-28 2000-10-16 윤종용 Method for manufacturing chip scale packages at wafer level
US6291894B1 (en) 1998-08-31 2001-09-18 Micron Technology, Inc. Method and apparatus for a semiconductor package for vertical surface mounting
US6268114B1 (en) 1998-09-18 2001-07-31 Taiwan Semiconductor Manufacturing Company, Ltd Method for forming fine-pitched solder bumps
US7045015B2 (en) 1998-09-30 2006-05-16 Optomec Design Company Apparatuses and method for maskless mesoscale material deposition
US6130141A (en) 1998-10-14 2000-10-10 Lucent Technologies Inc. Flip chip metallization
US7449098B1 (en) 1999-10-05 2008-11-11 Novellus Systems, Inc. Method for planar electroplating
US6184465B1 (en) 1998-11-12 2001-02-06 Micron Technology, Inc. Semiconductor package
US6239485B1 (en) 1998-11-13 2001-05-29 Fujitsu Limited Reduced cross-talk noise high density signal interposer with power and ground wrap
US6359328B1 (en) 1998-12-31 2002-03-19 Intel Corporation Methods for making interconnects and diffusion barriers in integrated circuits
TW442873B (en) 1999-01-14 2001-06-23 United Microelectronics Corp Three-dimension stack-type chip structure and its manufacturing method
US6221769B1 (en) 1999-03-05 2001-04-24 International Business Machines Corporation Method for integrated circuit power and electrical connections via through-wafer interconnects
US6297155B1 (en) 1999-05-03 2001-10-02 Motorola Inc. Method for forming a copper layer over a semiconductor wafer
US6277757B1 (en) 1999-06-01 2001-08-21 Winbond Electronics Corp. Methods to modify wet by dry etched via profile
US6406636B1 (en) 1999-06-02 2002-06-18 Megasense, Inc. Methods for wafer to wafer bonding using microstructures
US6388208B1 (en) 1999-06-11 2002-05-14 Teradyne, Inc. Multi-connection via with electrically isolated segments
JP3562389B2 (en) 1999-06-25 2004-09-08 三菱電機株式会社 Laser heat treatment equipment
US6228687B1 (en) 1999-06-28 2001-05-08 Micron Technology, Inc. Wafer-level package and methods of fabricating
KR100298827B1 (en) 1999-07-09 2001-11-01 윤종용 Method For Manufacturing Wafer Level Chip Scale Packages Using Redistribution Substrate
US6326689B1 (en) 1999-07-26 2001-12-04 Stmicroelectronics, Inc. Backside contact for touchchip
US6457515B1 (en) 1999-08-06 2002-10-01 The Ohio State University Two-layered micro channel heat sink, devices and systems incorporating same
KR100565961B1 (en) 1999-08-21 2006-03-30 삼성전자주식회사 Manufacturing method for three demensional stack chip package
US7129110B1 (en) 1999-08-23 2006-10-31 Rohm Co., Ltd. Semiconductor device and method for manufacturing the same
JP2001077496A (en) 1999-09-06 2001-03-23 Ngk Insulators Ltd Substrate for printed circuit and its manufacture
JP2001082931A (en) 1999-09-09 2001-03-30 Toshiba Corp Method and apparatus for measuring depth of hole
US6534192B1 (en) 1999-09-24 2003-03-18 Lucent Technologies Inc. Multi-purpose finish for printed wiring boards and method of manufacture of such boards
US6359254B1 (en) 1999-09-30 2002-03-19 United Technologies Corporation Method for producing shaped hole in a structure
US6886284B2 (en) 1999-10-08 2005-05-03 Identification Dynamics, Llc Firearm microstamping and micromarking insert for stamping a firearm identification code and serial number into cartridge shell casings and projectiles
US6180518B1 (en) 1999-10-29 2001-01-30 Lucent Technologies Inc. Method for forming vias in a low dielectric constant material
US6448106B1 (en) 1999-11-09 2002-09-10 Fujitsu Limited Modules with pins and methods for making modules with pins
EP1118421B1 (en) 1999-12-22 2005-01-05 Honda Giken Kogyo Kabushiki Kaisha Perforating machining method with laser beam
JP4774146B2 (en) 1999-12-23 2011-09-14 パナソニック株式会社 Method and apparatus for drilling holes with a pitch smaller than the wavelength using a laser
US6229202B1 (en) 2000-01-10 2001-05-08 Micron Technology, Inc. Semiconductor package having downset leadframe for reducing package bow
AU2001227809A1 (en) 2000-01-12 2001-07-24 Lasersight Technologies, Inc. Laser fluence compensation of a curved surface
US6455425B1 (en) 2000-01-18 2002-09-24 Advanced Micro Devices, Inc. Selective deposition process for passivating top interface of damascene-type Cu interconnect lines
JP3819660B2 (en) 2000-02-15 2006-09-13 株式会社日立国際電気 Semiconductor device manufacturing method and semiconductor manufacturing apparatus
US6341009B1 (en) 2000-02-24 2002-01-22 Quantronix Corporation Laser delivery system and method for photolithographic mask repair
JP3677429B2 (en) 2000-03-09 2005-08-03 Necエレクトロニクス株式会社 Method of manufacturing flip chip type semiconductor device
US6433303B1 (en) 2000-03-31 2002-08-13 Matsushita Electric Industrial Co., Ltd. Method and apparatus using laser pulses to make an array of microcavity holes
JP2001298147A (en) 2000-04-18 2001-10-26 Kawasaki Steel Corp Semiconductor device and its manufacturing method
JP4979154B2 (en) 2000-06-07 2012-07-18 ルネサスエレクトロニクス株式会社 Semiconductor device
US6444576B1 (en) 2000-06-16 2002-09-03 Chartered Semiconductor Manufacturing, Ltd. Three dimensional IC package module
US6459039B1 (en) 2000-06-19 2002-10-01 International Business Machines Corporation Method and apparatus to manufacture an electronic package with direct wiring pattern
JP4439090B2 (en) 2000-07-26 2010-03-24 日本テキサス・インスツルメンツ株式会社 Semiconductor device and manufacturing method thereof
KR20020022122A (en) 2000-07-27 2002-03-25 크리스띠앙 쥘랭 Process for fabricating a multilevel circuitry comprising tracks and microvias
US6468889B1 (en) 2000-08-08 2002-10-22 Advanced Micro Devices, Inc. Backside contact for integrated circuit and method of forming same
US20020020898A1 (en) 2000-08-16 2002-02-21 Vu Quat T. Microelectronic substrates with integrated devices
US6459150B1 (en) 2000-08-17 2002-10-01 Industrial Technology Research Institute Electronic substrate having an aperture position through a substrate, conductive pads, and an insulating layer
DE10042235A1 (en) 2000-08-28 2002-04-18 Infineon Technologies Ag Process for producing an electrically conductive connection
TW449813B (en) 2000-10-13 2001-08-11 Advanced Semiconductor Eng Semiconductor device with bump electrode
US6498091B1 (en) 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
US7304684B2 (en) 2000-11-14 2007-12-04 Kabushiki Kaisha Toshiba Image pickup apparatus, method of making, and electric apparatus having image pickup apparatus
IT1321092B1 (en) * 2000-11-24 2003-12-30 Cselt Centro Studi Lab Telecom MOBILE PRIVATE PHYSICAL TELECOMMUNICATION NETWORK.
JP3798620B2 (en) 2000-12-04 2006-07-19 富士通株式会社 Manufacturing method of semiconductor device
US6777244B2 (en) 2000-12-06 2004-08-17 Hrl Laboratories, Llc Compact sensor using microcavity structures
US6432821B1 (en) 2000-12-18 2002-08-13 Intel Corporation Method of copper electroplating
US6582987B2 (en) 2000-12-30 2003-06-24 Electronics And Telecommunications Research Institute Method of fabricating microchannel array structure embedded in silicon substrate
DE10101875B4 (en) 2001-01-16 2006-05-04 Infineon Technologies Ag Electronic component with stacked semiconductor chips and method for its production
KR20020061812A (en) 2001-01-18 2002-07-25 삼성전자 주식회사 Ball grid array type multi chip package and stack package
US20020096729A1 (en) 2001-01-24 2002-07-25 Tu Hsiu Wen Stacked package structure of image sensor
DE10150334A1 (en) 2001-02-03 2003-04-24 Hassia Verpackung Ag Process and packaging machine for converting a wide, multi-layer, aseptically processed packaging material web into several, equally wide, further processable single webs
US6534863B2 (en) 2001-02-09 2003-03-18 International Business Machines Corporation Common ball-limiting metallurgy for I/O sites
JP2002261189A (en) 2001-03-05 2002-09-13 Murata Mfg Co Ltd Circuit chip for high frequency and method for manufacturing the same
TW475250B (en) 2001-03-14 2002-02-01 Taiwan Semiconductor Mfg ESD protection circuit to be used in high-frequency input/output port with low capacitance load
US6910268B2 (en) 2001-03-27 2005-06-28 Formfactor, Inc. Method for fabricating an IC interconnect system including an in-street integrated circuit wafer via
US6620031B2 (en) 2001-04-04 2003-09-16 Lam Research Corporation Method for optimizing the planarizing length of a polishing pad
US6593644B2 (en) 2001-04-19 2003-07-15 International Business Machines Corporation System of a package fabricated on a semiconductor or dielectric wafer with wiring on one face, vias extending through the wafer, and external connections on the opposing face
US6867390B2 (en) 2001-04-30 2005-03-15 Lsp Technologies, Inc Automated positioning of mobile laser peening head
JP2002373957A (en) 2001-06-14 2002-12-26 Shinko Electric Ind Co Ltd Semiconductor device and its manufacturing method
JP4053257B2 (en) 2001-06-14 2008-02-27 新光電気工業株式会社 Manufacturing method of semiconductor device
US6680459B2 (en) 2001-06-22 2004-01-20 Nippei Toyama Corporation Laser beam machining apparatus and laser beam machining method
JP4408006B2 (en) 2001-06-28 2010-02-03 富士通マイクロエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
US6521516B2 (en) 2001-06-29 2003-02-18 Intel Corporation Process for local on-chip cooling of semiconductor devices using buried microchannels
US6825127B2 (en) 2001-07-24 2004-11-30 Zarlink Semiconductor Inc. Micro-fluidic devices
SG118084A1 (en) 2001-08-24 2006-01-27 Micron Technology Inc Method and apparatus for cutting semiconductor wafers
KR100431260B1 (en) 2001-08-29 2004-05-12 삼성전기주식회사 Image module
US6580174B2 (en) 2001-09-28 2003-06-17 Intel Corporation Vented vias for via in pad technology yield improvements
US6774486B2 (en) 2001-10-10 2004-08-10 Micron Technology, Inc. Circuit boards containing vias and methods for producing same
US6818464B2 (en) 2001-10-17 2004-11-16 Hymite A/S Double-sided etching technique for providing a semiconductor structure with through-holes, and a feed-through metalization process for sealing the through-holes
US6486549B1 (en) 2001-11-10 2002-11-26 Bridge Semiconductor Corporation Semiconductor module with encapsulant base
US6611052B2 (en) 2001-11-16 2003-08-26 Micron Technology, Inc. Wafer level stackable semiconductor package
US7126214B2 (en) 2001-12-05 2006-10-24 Arbor Company Llp Reconfigurable processor module comprising hybrid stacked integrated circuit die elements
US6599436B1 (en) 2001-12-06 2003-07-29 Sandia Corporation Formation of interconnections to microfluidic devices
US7332819B2 (en) 2002-01-09 2008-02-19 Micron Technology, Inc. Stacked die in die BGA package
US6828223B2 (en) 2001-12-14 2004-12-07 Taiwan Semiconductor Manufacturing Co. Localized slots for stress relieve in copper
US20030119308A1 (en) 2001-12-20 2003-06-26 Geefay Frank S. Sloped via contacts
US6756564B2 (en) 2001-12-31 2004-06-29 Andrx Pharmaceuticals Llc System and method for removing particulate created from a drilled or cut surface
US6724798B2 (en) 2001-12-31 2004-04-20 Honeywell International Inc. Optoelectronic devices and method of production
JP2003289073A (en) 2002-01-22 2003-10-10 Canon Inc Semiconductor device and method of manufacturing semiconductor device
US6606251B1 (en) 2002-02-07 2003-08-12 Cooligy Inc. Power conditioning module
DE10205026C1 (en) 2002-02-07 2003-05-28 Bosch Gmbh Robert Semiconductor substrate used for vertical integration of integrated circuits comprises a first conductor strip on its front side, and a region formed by insulating trenches and electrically insulated from the substrate
US6750144B2 (en) 2002-02-15 2004-06-15 Faraday Technology Marketing Group, Llc Method for electrochemical metallization and planarization of semiconductor substrates having features of different sizes
US6645832B2 (en) 2002-02-20 2003-11-11 Intel Corporation Etch stop layer for silicon (Si) via etch in three-dimensional (3-D) wafer-to-wafer vertical stack
US6762076B2 (en) 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
US6864457B1 (en) 2002-02-25 2005-03-08 The Board Of Regents Of The University Of Nebraska Laser machining of materials
US6515325B1 (en) 2002-03-06 2003-02-04 Micron Technology, Inc. Nanotube semiconductor devices and methods for making the same
JP2003273155A (en) * 2002-03-18 2003-09-26 Fujitsu Ltd Semiconductor device and method of manufacturing the same
WO2003079430A1 (en) * 2002-03-19 2003-09-25 Seiko Epson Corporation Semiconductor device and its manufacturing method, circuit board and electronic apparatus
JP4110390B2 (en) 2002-03-19 2008-07-02 セイコーエプソン株式会社 Manufacturing method of semiconductor device
US6653236B2 (en) 2002-03-29 2003-11-25 Micron Technology, Inc. Methods of forming metal-containing films over surfaces of semiconductor substrates; and semiconductor constructions
EP1351288B1 (en) 2002-04-05 2015-10-28 STMicroelectronics Srl Process for manufacturing an insulated interconnection through a body of semiconductor material and corresponding semiconductor device
US6943056B2 (en) 2002-04-16 2005-09-13 Renesas Technology Corp. Semiconductor device manufacturing method and electronic equipment using same
JP2003318178A (en) 2002-04-24 2003-11-07 Seiko Epson Corp Semiconductor device, its manufacturing method, circuit board, and electronic apparatus
US6951627B2 (en) 2002-04-26 2005-10-04 Matsushita Electric Industrial Co., Ltd. Method of drilling holes with precision laser micromachining
US6682955B2 (en) 2002-05-08 2004-01-27 Micron Technology, Inc. Stacked die module and techniques for forming a stacked die module
US6596619B1 (en) 2002-05-17 2003-07-22 Taiwan Semiconductor Manufacturing Company Method for fabricating an under bump metallization structure
US7166247B2 (en) 2002-06-24 2007-01-23 Micron Technology, Inc. Foamed mechanical planarization pads made with supercritical fluid
US7260890B2 (en) 2002-06-26 2007-08-28 Georgia Tech Research Corporation Methods for fabricating three-dimensional all organic interconnect structures
JP4363823B2 (en) 2002-07-04 2009-11-11 富士通マイクロエレクトロニクス株式会社 Semiconductor device mounting system
US6621045B1 (en) 2002-07-25 2003-09-16 Matsushita Electric Industrial Co., Ltd. Workpiece stabilization with gas flow
US6716737B2 (en) 2002-07-29 2004-04-06 Hewlett-Packard Development Company, L.P. Method of forming a through-substrate interconnect
US6800930B2 (en) 2002-07-31 2004-10-05 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, and assemblies
JP3999710B2 (en) * 2002-08-01 2007-10-31 松下電器産業株式会社 Semiconductor device and manufacturing method thereof
US6821811B2 (en) 2002-08-02 2004-11-23 Semiconductor Energy Laboratory Co., Ltd. Organic thin film transistor and method of manufacturing the same, and semiconductor device having the organic thin film transistor
US6593221B1 (en) 2002-08-13 2003-07-15 Micron Technology, Inc. Selective passivation of exposed silicon
US6815308B2 (en) 2002-08-15 2004-11-09 Micron Technology, Inc. Use of a dual-tone resist to form photomasks including alignment mark protection, intermediate semiconductor device structures and bulk semiconductor device substrates
KR20040017037A (en) 2002-08-20 2004-02-26 삼성전자주식회사 Semiconductor contact structure and method of forming the same
US20040036170A1 (en) 2002-08-20 2004-02-26 Lee Teck Kheng Double bumping of flexible substrate for first and second level interconnects
US6885107B2 (en) 2002-08-29 2005-04-26 Micron Technology, Inc. Flip-chip image sensor packages and methods of fabrication
US6903442B2 (en) 2002-08-29 2005-06-07 Micron Technology, Inc. Semiconductor component having backside pin contacts
US7030010B2 (en) 2002-08-29 2006-04-18 Micron Technology, Inc. Methods for creating electrophoretically insulated vias in semiconductive substrates and resulting structures
JP2004095849A (en) 2002-08-30 2004-03-25 Fujikura Ltd Method for manufacturing semiconductor substrate with through electrode, and method for manufacturing semiconductor device with through electrode
US20040073607A1 (en) 2002-09-25 2004-04-15 Su Chi Chung Multimedia messaging system and method
KR20040026530A (en) 2002-09-25 2004-03-31 삼성전자주식회사 Semiconductor package and stack package using the same
US6569777B1 (en) 2002-10-02 2003-05-27 Taiwan Semiconductor Manufacturing Co., Ltd Plasma etching method to form dual damascene with improved via profile
US6660630B1 (en) 2002-10-10 2003-12-09 Taiwan Semiconductor Manufacturing Co. Ltd. Method for forming a tapered dual damascene via portion with improved performance
TWI227050B (en) 2002-10-11 2005-01-21 Sanyo Electric Co Semiconductor device and method for manufacturing the same
SG111972A1 (en) 2002-10-17 2005-06-29 Agency Science Tech & Res Wafer-level package for micro-electro-mechanical systems
US7566681B2 (en) 2002-10-29 2009-07-28 National Research Council Of Canada Platinum based nano-size catalysts
US20050236421A9 (en) 2003-01-23 2005-10-27 Vasilios Vasiadis Device for handling and orienting pills or tablets in a precise manner
US6790775B2 (en) 2002-10-31 2004-09-14 Hewlett-Packard Development Company, L.P. Method of forming a through-substrate interconnect
KR100444588B1 (en) 2002-11-12 2004-08-16 삼성전자주식회사 Fabrication of via hole for glass wafer
US6835589B2 (en) * 2002-11-14 2004-12-28 International Business Machines Corporation Three-dimensional integrated CMOS-MEMS device and process for making the same
US20040094389A1 (en) 2002-11-19 2004-05-20 Boyce Keith W. Conveyor having carriers with movable jaws
JP4209178B2 (en) 2002-11-26 2009-01-14 新光電気工業株式会社 Electronic component mounting structure and manufacturing method thereof
JP4035034B2 (en) * 2002-11-29 2008-01-16 株式会社ルネサステクノロジ Semiconductor device and manufacturing method thereof
US7164565B2 (en) 2002-11-29 2007-01-16 Sigmatel, Inc. ESD protection circuit
US6746971B1 (en) 2002-12-05 2004-06-08 Advanced Micro Devices, Inc. Method of forming copper sulfide for memory cell
KR100482180B1 (en) 2002-12-16 2005-04-14 동부아남반도체 주식회사 Fabricating method of semiconductor device
US6825557B2 (en) 2002-12-17 2004-11-30 Intel Corporation Localized backside chip cooling with integrated smart valves
JP4071615B2 (en) 2002-12-20 2008-04-02 株式会社フジクラ Method for forming through electrode and substrate with through electrode
TW200427773A (en) 2002-12-30 2004-12-16 Honeywell Int Inc Organic compositions
KR100621991B1 (en) 2003-01-03 2006-09-13 삼성전자주식회사 Chip scale stack package
US20040219342A1 (en) 2003-01-07 2004-11-04 Boggs David W. Electronic substrate with direct inner layer component interconnection
JP4322508B2 (en) 2003-01-15 2009-09-02 新光電気工業株式会社 Manufacturing method of semiconductor device
JP2004221348A (en) * 2003-01-15 2004-08-05 Seiko Epson Corp Semiconductor device, its manufacturing method, circuit board and electronic apparatus
JP2004228392A (en) * 2003-01-24 2004-08-12 Seiko Epson Corp Manufacturing method of semiconductor device and manufacturing method of semiconductor module
US7023090B2 (en) 2003-01-29 2006-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding pad and via structure design
US7195700B2 (en) 2003-01-30 2007-03-27 Novellus Systems, Inc. Method of electroplating copper layers with flat topography
JP2004247530A (en) 2003-02-14 2004-09-02 Renesas Technology Corp Semiconductor device and manufacturing method thereof
JP4118168B2 (en) 2003-02-28 2008-07-16 株式会社ニデック Ophthalmic laser surgery device
US7176122B2 (en) 2003-03-04 2007-02-13 Intel Corporation Dielectric with sidewall passivating layer
SG137651A1 (en) 2003-03-14 2007-12-28 Micron Technology Inc Microelectronic devices and methods for packaging microelectronic devices
US20040198066A1 (en) 2003-03-21 2004-10-07 Applied Materials, Inc. Using supercritical fluids and/or dense fluids in semiconductor applications
DE10312588B4 (en) 2003-03-21 2013-09-19 Robert Bosch Gmbh Procedure for tank leak diagnosis
JP3972846B2 (en) * 2003-03-25 2007-09-05 セイコーエプソン株式会社 Manufacturing method of semiconductor device
KR100497111B1 (en) 2003-03-25 2005-06-28 삼성전자주식회사 WL CSP, stack package stacking the same and manufacturing method thereof
JP2004297019A (en) * 2003-03-28 2004-10-21 Seiko Epson Corp Semiconductor device, circuit board, and electronic apparatus
DE10314502B4 (en) 2003-03-31 2008-06-12 Advanced Micro Devices, Inc., Sunnyvale Process for the electrolytic coating of a semiconductor structure
US6841883B1 (en) 2003-03-31 2005-01-11 Micron Technology, Inc. Multi-dice chip scale semiconductor components and wafer level methods of fabrication
JP3800335B2 (en) 2003-04-16 2006-07-26 セイコーエプソン株式会社 Optical device, optical module, semiconductor device, and electronic apparatus
TWI229890B (en) 2003-04-24 2005-03-21 Sanyo Electric Co Semiconductor device and method of manufacturing same
US20040222082A1 (en) 2003-05-05 2004-11-11 Applied Materials, Inc. Oblique ion milling of via metallization
WO2004109770A2 (en) 2003-06-05 2004-12-16 Oticon A/S Through wafer via process and amplifier with through wafer via
US7007258B2 (en) 2003-06-13 2006-02-28 Sun Microsystems, Inc. Method, apparatus, and computer program product for generation of a via array within a fill area of a design layout
JP3646719B2 (en) * 2003-06-19 2005-05-11 セイコーエプソン株式会社 Semiconductor device and manufacturing method thereof, circuit board, and electronic apparatus
US7041598B2 (en) 2003-06-25 2006-05-09 Hewlett-Packard Development Company, L.P. Directional ion etching process for patterning self-aligned via contacts
JP4175197B2 (en) 2003-06-27 2008-11-05 株式会社デンソー Flip chip mounting structure
US6913952B2 (en) 2003-07-03 2005-07-05 Micron Technology, Inc. Methods of forming circuit traces and contact pads for interposers utilized in semiconductor packages
US7111149B2 (en) 2003-07-07 2006-09-19 Intel Corporation Method and apparatus for generating a device ID for stacked devices
JP3690407B2 (en) 2003-07-31 2005-08-31 セイコーエプソン株式会社 Manufacturing method of semiconductor device
JP2005051150A (en) * 2003-07-31 2005-02-24 Seiko Epson Corp Semiconductor device, its manufacturing method, circuit board, and electronic apparatus
US7192891B2 (en) 2003-08-01 2007-03-20 Samsung Electronics, Co., Ltd. Method for forming a silicon oxide layer using spin-on glass
US7060624B2 (en) 2003-08-13 2006-06-13 International Business Machines Corporation Deep filled vias
KR100537892B1 (en) 2003-08-26 2005-12-21 삼성전자주식회사 Chip stack package and manufacturing method thereof
JP2007505478A (en) 2003-08-29 2007-03-08 サーマルワークス,インコーポレイティド Expansion-constrained die stack
JP2005093980A (en) 2003-09-16 2005-04-07 Irvine Sensors Corp Stackable layer, mini stack, and laminated electronic module
US7345350B2 (en) 2003-09-23 2008-03-18 Micron Technology, Inc. Process and integration scheme for fabricating conductive components, through-vias and semiconductor components including conductive through-wafer vias
TWI251313B (en) * 2003-09-26 2006-03-11 Seiko Epson Corp Intermediate chip module, semiconductor device, circuit board, and electronic device
US7118833B2 (en) 2003-09-26 2006-10-10 Flipchip International, Llc Forming partial-depth features in polymer film
US6821826B1 (en) 2003-09-30 2004-11-23 International Business Machines Corporation Three dimensional CMOS integrated circuits having device layers built on different crystal oriented wafers
US7402758B2 (en) 2003-10-09 2008-07-22 Qualcomm Incorporated Telescoping blind via in three-layer core
US6867073B1 (en) * 2003-10-21 2005-03-15 Ziptronix, Inc. Single mask via method and device
US7449067B2 (en) 2003-11-03 2008-11-11 International Business Machines Corporation Method and apparatus for filling vias
TWI228295B (en) 2003-11-10 2005-02-21 Shih-Hsien Tseng IC structure and a manufacturing method
US8084866B2 (en) 2003-12-10 2011-12-27 Micron Technology, Inc. Microelectronic devices and methods for filling vias in microelectronic devices
US7091124B2 (en) 2003-11-13 2006-08-15 Micron Technology, Inc. Methods for forming vias in microelectronic devices, and methods for packaging microelectronic devices
US6949802B2 (en) 2003-11-20 2005-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. ESD protection structure
US7583862B2 (en) 2003-11-26 2009-09-01 Aptina Imaging Corporation Packaged microelectronic imagers and methods of packaging microelectronic imagers
US20050179120A1 (en) * 2003-12-16 2005-08-18 Koji Yamaguchi Process for producing semiconductor device, semiconductor device, circuit board and electronic equipment
US7060601B2 (en) * 2003-12-17 2006-06-13 Tru-Si Technologies, Inc. Packaging substrates for integrated circuits and soldering methods
US7049170B2 (en) * 2003-12-17 2006-05-23 Tru-Si Technologies, Inc. Integrated circuits and packaging substrates with cavities, and attachment methods including insertion of protruding contact pads into cavities
US7183653B2 (en) 2003-12-17 2007-02-27 Intel Corporation Via including multiple electrical paths
US7211289B2 (en) 2003-12-18 2007-05-01 Endicott Interconnect Technologies, Inc. Method of making multilayered printed circuit board with filled conductive holes
KR20050065038A (en) 2003-12-24 2005-06-29 삼성전기주식회사 Printed circuit board and package having oblique via
US7098544B2 (en) 2004-01-06 2006-08-29 International Business Machines Corporation Edge seal for integrated circuit chips
US7316063B2 (en) 2004-01-12 2008-01-08 Micron Technology, Inc. Methods of fabricating substrates including at least one conductive via
JP4408713B2 (en) * 2004-02-03 2010-02-03 Necエレクトロニクス株式会社 Manufacturing method of semiconductor device
TWI233170B (en) 2004-02-05 2005-05-21 United Microelectronics Corp Ultra-thin wafer level stack packaging method and structure using thereof
JP4850392B2 (en) 2004-02-17 2012-01-11 三洋電機株式会社 Manufacturing method of semiconductor device
US7253397B2 (en) 2004-02-23 2007-08-07 Micron Technology, Inc. Packaged microelectronic imagers and methods of packaging microelectronic imagers
US6967282B2 (en) 2004-03-05 2005-11-22 Raytheon Company Flip chip MMIC on board performance using periodic electromagnetic bandgap structures
US7354863B2 (en) 2004-03-19 2008-04-08 Micron Technology, Inc. Methods of selectively removing silicon
JP4800585B2 (en) * 2004-03-30 2011-10-26 ルネサスエレクトロニクス株式会社 Manufacturing method of through electrode, manufacturing method of silicon spacer
US20050227382A1 (en) 2004-04-02 2005-10-13 Hui Angela T In-situ surface treatment for memory cell formation
US7245021B2 (en) 2004-04-13 2007-07-17 Vertical Circuits, Inc. Micropede stacked die component assembly
JP4492196B2 (en) 2004-04-16 2010-06-30 セイコーエプソン株式会社 Semiconductor device manufacturing method, circuit board, and electronic apparatus
JP3945493B2 (en) * 2004-04-16 2007-07-18 セイコーエプソン株式会社 Semiconductor device and manufacturing method thereof
US7632713B2 (en) 2004-04-27 2009-12-15 Aptina Imaging Corporation Methods of packaging microelectronic imaging devices
US20050247894A1 (en) 2004-05-05 2005-11-10 Watkins Charles M Systems and methods for forming apertures in microfeature workpieces
US8092734B2 (en) 2004-05-13 2012-01-10 Aptina Imaging Corporation Covers for microelectronic imagers and methods for wafer-level packaging of microelectronics imagers
US7253957B2 (en) 2004-05-13 2007-08-07 Micron Technology, Inc. Integrated optics units and methods of manufacturing integrated optics units for use with microelectronic imagers
US7235489B2 (en) 2004-05-21 2007-06-26 Agere Systems Inc. Device and method to eliminate shorting induced by via to metal misalignment
JP4955935B2 (en) 2004-05-25 2012-06-20 キヤノン株式会社 Through-hole forming method and semiconductor device manufacturing method
US7192845B2 (en) 2004-06-08 2007-03-20 Macronix International Co., Ltd. Method of reducing alignment measurement errors between device layers
US20050275750A1 (en) 2004-06-09 2005-12-15 Salman Akram Wafer-level packaged microelectronic imagers and processes for wafer-level packaging
US7498647B2 (en) 2004-06-10 2009-03-03 Micron Technology, Inc. Packaged microelectronic imagers and methods of packaging microelectronic imagers
US7262405B2 (en) 2004-06-14 2007-08-28 Micron Technology, Inc. Prefabricated housings for microelectronic imagers
US7199439B2 (en) 2004-06-14 2007-04-03 Micron Technology, Inc. Microelectronic imagers and methods of packaging microelectronic imagers
KR100618543B1 (en) 2004-06-15 2006-08-31 삼성전자주식회사 Method for manufacturing CSP for wafer level stack package
KR100570514B1 (en) 2004-06-18 2006-04-13 삼성전자주식회사 Manufacturing method for wafer level chip stack package
US7709958B2 (en) 2004-06-18 2010-05-04 Uri Cohen Methods and structures for interconnect passivation
KR100618837B1 (en) 2004-06-22 2006-09-01 삼성전자주식회사 Method for forming thin wafer stack for wafer level package
US7033927B2 (en) 2004-06-22 2006-04-25 International Business Machines Corporation Apparatus and method for thermal isolation, circuit cooling and electromagnetic shielding of a wafer
US7232754B2 (en) 2004-06-29 2007-06-19 Micron Technology, Inc. Microelectronic devices and methods for forming interconnects in microelectronic devices
US7294897B2 (en) * 2004-06-29 2007-11-13 Micron Technology, Inc. Packaged microelectronic imagers and methods of packaging microelectronic imagers
US20060003566A1 (en) 2004-06-30 2006-01-05 Ismail Emesh Methods and apparatuses for semiconductor fabrication utilizing through-wafer interconnects
US7416913B2 (en) 2004-07-16 2008-08-26 Micron Technology, Inc. Methods of manufacturing microelectronic imaging units with discrete standoffs
US7189954B2 (en) 2004-07-19 2007-03-13 Micron Technology, Inc. Microelectronic imagers with optical devices and methods of manufacturing such microelectronic imagers
US7402453B2 (en) 2004-07-28 2008-07-22 Micron Technology, Inc. Microelectronic imaging units and methods of manufacturing microelectronic imaging units
US20060023107A1 (en) 2004-08-02 2006-02-02 Bolken Todd O Microelectronic imagers with optics supports having threadless interfaces and methods for manufacturing such microelectronic imagers
US7186650B1 (en) 2004-08-02 2007-03-06 Advanced Micro Devices, Inc. Control of bottom dimension of tapered contact via variation(s) of etch process
US7364934B2 (en) 2004-08-10 2008-04-29 Micron Technology, Inc. Microelectronic imaging units and methods of manufacturing microelectronic imaging units
US7645635B2 (en) 2004-08-16 2010-01-12 Micron Technology, Inc. Frame structure and semiconductor attach process for use therewith for fabrication of image sensor packages and the like, and resulting packages
US20060038272A1 (en) 2004-08-17 2006-02-23 Texas Instruments Incorporated Stacked wafer scale package
US7632747B2 (en) 2004-08-19 2009-12-15 Micron Technology, Inc. Conductive structures for microfeature devices and methods for fabricating microfeature devices
US7223626B2 (en) 2004-08-19 2007-05-29 Micron Technology, Inc. Spacers for packaged microelectronic imagers and methods of making and using spacers for wafer-level packaging of imagers
US7397066B2 (en) 2004-08-19 2008-07-08 Micron Technology, Inc. Microelectronic imagers with curved image sensors and methods for manufacturing microelectronic imagers
US7092284B2 (en) 2004-08-20 2006-08-15 Infineon Technologies Ag MRAM with magnetic via for storage of information and field sensor
US7199050B2 (en) 2004-08-24 2007-04-03 Micron Technology, Inc. Pass through via technology for use during the manufacture of a semiconductor device
US7115961B2 (en) 2004-08-24 2006-10-03 Micron Technology, Inc. Packaged microelectronic imaging devices and methods of packaging microelectronic imaging devices
US7429494B2 (en) 2004-08-24 2008-09-30 Micron Technology, Inc. Microelectronic imagers with optical devices having integral reference features and methods for manufacturing such microelectronic imagers
US7425499B2 (en) 2004-08-24 2008-09-16 Micron Technology, Inc. Methods for forming interconnects in vias and microelectronic workpieces including such interconnects
US7183176B2 (en) 2004-08-25 2007-02-27 Agency For Science, Technology And Research Method of forming through-wafer interconnects for vertical wafer level packaging
US7276393B2 (en) 2004-08-26 2007-10-02 Micron Technology, Inc. Microelectronic imaging units and methods of manufacturing microelectronic imaging units
US7378342B2 (en) 2004-08-27 2008-05-27 Micron Technology, Inc. Methods for forming vias varying lateral dimensions
US7083425B2 (en) 2004-08-27 2006-08-01 Micron Technology, Inc. Slanted vias for electrical circuits on circuit boards and other substrates
US7511262B2 (en) 2004-08-30 2009-03-31 Micron Technology, Inc. Optical device and assembly for use with imaging dies, and wafer-label imager assembly
US7129567B2 (en) 2004-08-31 2006-10-31 Micron Technology, Inc. Substrate, semiconductor die, multichip module, and system including a via structure comprising a plurality of conductive elements
US7646075B2 (en) 2004-08-31 2010-01-12 Micron Technology, Inc. Microelectronic imagers having front side contacts
US7244663B2 (en) 2004-08-31 2007-07-17 Micron Technology, Inc. Wafer reinforcement structure and methods of fabrication
US7109068B2 (en) 2004-08-31 2006-09-19 Micron Technology, Inc. Through-substrate interconnect fabrication methods
US7491582B2 (en) * 2004-08-31 2009-02-17 Seiko Epson Corporation Method for manufacturing semiconductor device and semiconductor device
US7300857B2 (en) 2004-09-02 2007-11-27 Micron Technology, Inc. Through-wafer interconnects for photoimager and memory wafers
US8288828B2 (en) 2004-09-09 2012-10-16 International Business Machines Corporation Via contact structure having dual silicide layers
TWI254387B (en) 2004-09-10 2006-05-01 Advanced Semiconductor Eng Wafer stacking package method
US7326629B2 (en) 2004-09-10 2008-02-05 Agency For Science, Technology And Research Method of stacking thin substrates by transfer bonding
JP4246132B2 (en) 2004-10-04 2009-04-02 シャープ株式会社 Semiconductor device and manufacturing method thereof
US7262495B2 (en) 2004-10-07 2007-08-28 Hewlett-Packard Development Company, L.P. 3D interconnect with protruding contacts
WO2006053036A2 (en) 2004-11-10 2006-05-18 Unitive International Limited Non-circular via holes for bumping pads and related structures
US7396732B2 (en) 2004-12-17 2008-07-08 Interuniversitair Microelektronica Centrum Vzw (Imec) Formation of deep trench airgaps and related applications
US7271482B2 (en) 2004-12-30 2007-09-18 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US7387855B2 (en) 2005-01-10 2008-06-17 Taiwan Semiconductor Manufacturing Co., Ltd Anti-ESD photomask blank
US7282433B2 (en) 2005-01-10 2007-10-16 Micron Technology, Inc. Interconnect structures with bond-pads and methods of forming bump sites on bond-pads
US20060160367A1 (en) 2005-01-19 2006-07-20 Micron Technology, Inc. And Idaho Research Foundation Methods of treating semiconductor substrates
US20060177999A1 (en) 2005-02-10 2006-08-10 Micron Technology, Inc. Microelectronic workpieces and methods for forming interconnects in microelectronic workpieces
US7303931B2 (en) 2005-02-10 2007-12-04 Micron Technology, Inc. Microfeature workpieces having microlenses and methods of forming microlenses on microfeature workpieces
US7190039B2 (en) 2005-02-18 2007-03-13 Micron Technology, Inc. Microelectronic imagers with shaped image sensors and methods for manufacturing microelectronic imagers
US8241708B2 (en) 2005-03-09 2012-08-14 Micron Technology, Inc. Formation of insulator oxide films with acid or base catalyzed hydrolysis of alkoxides in supercritical carbon dioxide
US7323784B2 (en) 2005-03-17 2008-01-29 Taiwan Semiconductor Manufacturing Co., Ltd. Top via pattern for bond pad structure
US7371676B2 (en) 2005-04-08 2008-05-13 Micron Technology, Inc. Method for fabricating semiconductor components with through wire interconnects
US20060252262A1 (en) 2005-05-03 2006-11-09 Rockwell Scientific Licensing, Llc Semiconductor structures having via structures between planar frontside and backside surfaces and methods of fabricating the same
US20060252254A1 (en) 2005-05-06 2006-11-09 Basol Bulent M Filling deep and wide openings with defect-free conductor
KR100611204B1 (en) 2005-05-10 2006-08-10 삼성전자주식회사 Multi stack packaging chip and method thereof
US7897503B2 (en) 2005-05-12 2011-03-01 The Board Of Trustees Of The University Of Arkansas Infinitely stackable interconnect device and method
US7170183B1 (en) 2005-05-13 2007-01-30 Amkor Technology, Inc. Wafer level stacked package
US7919844B2 (en) 2005-05-26 2011-04-05 Aprolase Development Co., Llc Tier structure with tier frame having a feedthrough structure
US7317256B2 (en) 2005-06-01 2008-01-08 Intel Corporation Electronic packaging including die with through silicon via
US20060278979A1 (en) 2005-06-09 2006-12-14 Intel Corporation Die stacking recessed pad wafer design
US7884483B2 (en) * 2005-06-14 2011-02-08 Cufer Asset Ltd. L.L.C. Chip connector
US7521806B2 (en) * 2005-06-14 2009-04-21 John Trezza Chip spanning connection
US7534722B2 (en) 2005-06-14 2009-05-19 John Trezza Back-to-front via process
US7767493B2 (en) 2005-06-14 2010-08-03 John Trezza Post & penetration interconnection
US7510907B2 (en) 2005-06-22 2009-03-31 Intel Corporation Through-wafer vias and surface metallization for coupling thereto
US7425507B2 (en) 2005-06-28 2008-09-16 Micron Technology, Inc. Semiconductor substrates including vias of nonuniform cross section, methods of forming and associated structures
US7795134B2 (en) 2005-06-28 2010-09-14 Micron Technology, Inc. Conductive interconnect structures and formation methods using supercritical fluids
US20060290001A1 (en) 2005-06-28 2006-12-28 Micron Technology, Inc. Interconnect vias and associated methods of formation
US20070004079A1 (en) 2005-06-30 2007-01-04 Geefay Frank S Method for making contact through via contact to an offset contactor inside a cap for the wafer level packaging of FBAR chips
KR100629498B1 (en) 2005-07-15 2006-09-28 삼성전자주식회사 The micro package, multi-stack micro package and the method of manufacturing thereof
US7598181B2 (en) 2005-07-19 2009-10-06 Micron Technology, Inc. Process for enhancing solubility and reaction rates in supercritical fluids
US7622313B2 (en) 2005-07-29 2009-11-24 Freescale Semiconductor, Inc. Fabrication of three dimensional integrated circuit employing multiple die panels
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7488680B2 (en) 2005-08-30 2009-02-10 International Business Machines Corporation Conductive through via process for electronic device carriers
US7845540B2 (en) 2005-08-30 2010-12-07 Micron Technology, Inc. Systems and methods for depositing conductive material into openings in microfeature workpieces
US8308053B2 (en) 2005-08-31 2012-11-13 Micron Technology, Inc. Microfeature workpieces having alloyed conductive structures, and associated methods
US20070045812A1 (en) 2005-08-31 2007-03-01 Micron Technology, Inc. Microfeature assemblies including interconnect structures and methods for forming such interconnect structures
US7326591B2 (en) 2005-08-31 2008-02-05 Micron Technology, Inc. Interconnecting substrates for microelectronic dies, methods for forming vias in such substrates, and methods for packaging microelectronic devices
DE102005042074A1 (en) 2005-08-31 2007-03-08 Forschungsverbund Berlin E.V. Method for producing plated-through holes in semiconductor wafers
US7582561B2 (en) 2005-09-01 2009-09-01 Micron Technology, Inc. Method of selectively depositing materials on a substrate using a supercritical fluid
US7262134B2 (en) 2005-09-01 2007-08-28 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US7772115B2 (en) 2005-09-01 2010-08-10 Micron Technology, Inc. Methods for forming through-wafer interconnects, intermediate structures so formed, and devices and systems having at least one solder dam structure
US7863187B2 (en) 2005-09-01 2011-01-04 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US7622377B2 (en) 2005-09-01 2009-11-24 Micron Technology, Inc. Microfeature workpiece substrates having through-substrate vias, and associated methods of formation
US7517798B2 (en) 2005-09-01 2009-04-14 Micron Technology, Inc. Methods for forming through-wafer interconnects and structures resulting therefrom
US7288757B2 (en) 2005-09-01 2007-10-30 Micron Technology, Inc. Microelectronic imaging devices and associated methods for attaching transmissive elements
US7452743B2 (en) 2005-09-01 2008-11-18 Aptina Imaging Corporation Microelectronic imaging units and methods of manufacturing microelectronic imaging units at the wafer level
US20070045120A1 (en) 2005-09-01 2007-03-01 Micron Technology, Inc. Methods and apparatus for filling features in microfeature workpieces
WO2007029422A1 (en) 2005-09-07 2007-03-15 Nec Corporation Semiconductor device inspecting apparatus and power supply unit
WO2007043718A1 (en) 2005-10-11 2007-04-19 Tae-Seok Park Wafer level package using silicon via contacts for cmos image sensor and method of fabricating the same
JP2007109758A (en) 2005-10-12 2007-04-26 Mitsubishi Electric Corp Method of manufacturing compound semiconductor element
US7393758B2 (en) 2005-11-03 2008-07-01 Maxim Integrated Products, Inc. Wafer level packaging process
US7528494B2 (en) 2005-11-03 2009-05-05 International Business Machines Corporation Accessible chip stack and process of manufacturing thereof
US7344917B2 (en) 2005-11-30 2008-03-18 Freescale Semiconductor, Inc. Method for packaging a semiconductor device
US7378726B2 (en) 2005-12-28 2008-05-27 Intel Corporation Stacked packages with interconnecting pins
US7417321B2 (en) 2005-12-30 2008-08-26 Taiwan Semiconductor Manufacturing Co., Ltd Via structure and process for forming the same
US7626257B2 (en) 2006-01-18 2009-12-01 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
TWI287273B (en) * 2006-01-25 2007-09-21 Advanced Semiconductor Eng Three dimensional package and method of making the same
US7671460B2 (en) 2006-01-25 2010-03-02 Teledyne Licensing, Llc Buried via technology for three dimensional integrated circuits
KR100753415B1 (en) 2006-03-17 2007-08-30 주식회사 하이닉스반도체 Stack package
US7625814B2 (en) 2006-03-29 2009-12-01 Asm Nutool, Inc. Filling deep features with conductors in semiconductor manufacturing
US7453154B2 (en) 2006-03-29 2008-11-18 Delphi Technologies, Inc. Carbon nanotube via interconnect
KR100884238B1 (en) * 2006-05-22 2009-02-17 삼성전자주식회사 Semiconductor Package Having Anchor Type Joining And Method Of Fabricating The Same
US7749899B2 (en) 2006-06-01 2010-07-06 Micron Technology, Inc. Microelectronic workpieces and methods and systems for forming interconnects in microelectronic workpieces
US20080006850A1 (en) 2006-07-10 2008-01-10 Innovative Micro Technology System and method for forming through wafer vias using reverse pulse plating
US7648856B2 (en) 2006-08-28 2010-01-19 Micron Technology, Inc. Methods for attaching microfeature dies to external devices
US7629249B2 (en) 2006-08-28 2009-12-08 Micron Technology, Inc. Microfeature workpieces having conductive interconnect structures formed by chemically reactive processes, and associated systems and methods
US8021981B2 (en) 2006-08-30 2011-09-20 Micron Technology, Inc. Redistribution layers for microfeature workpieces, and associated systems and methods
US7902643B2 (en) 2006-08-31 2011-03-08 Micron Technology, Inc. Microfeature workpieces having interconnects and conductive backplanes, and associated systems and methods
US7666768B2 (en) 2006-09-29 2010-02-23 Intel Corporation Through-die metal vias with a dispersed phase of graphitic structures of carbon for reduced thermal expansion and increased electrical conductance
KR100800161B1 (en) 2006-09-30 2008-02-01 주식회사 하이닉스반도체 Method for forming through silicon via
US7589009B1 (en) * 2006-10-02 2009-09-15 Newport Fab, Llc Method for fabricating a top conductive layer in a semiconductor die and related structure
KR100831405B1 (en) 2006-10-02 2008-05-21 (주) 파이오닉스 Wafer bonding packaging method
US7675162B2 (en) 2006-10-03 2010-03-09 Innovative Micro Technology Interconnect structure using through wafer vias and method of fabrication
US7932175B2 (en) 2007-05-29 2011-04-26 Freescale Semiconductor, Inc. Method to form a via
US8003517B2 (en) 2007-05-29 2011-08-23 Freescale Semiconductor, Inc. Method for forming interconnects for 3-D applications
KR100895813B1 (en) 2007-06-20 2009-05-06 주식회사 하이닉스반도체 Method for fabricating of semiconductor package
US8221557B2 (en) 2007-07-06 2012-07-17 Micron Technology, Inc. Systems and methods for exposing semiconductor workpieces to vapors for through-hole cleaning and/or other processes
SG149710A1 (en) 2007-07-12 2009-02-27 Micron Technology Inc Interconnects for packaged semiconductor devices and methods for manufacturing such devices
DE102007034306B3 (en) * 2007-07-24 2009-04-02 Austriamicrosystems Ag Semiconductor substrate with via and method for producing a semiconductor substrate with via
SG150410A1 (en) 2007-08-31 2009-03-30 Micron Technology Inc Partitioned through-layer via and associated systems and methods
KR101448150B1 (en) 2007-10-04 2014-10-08 삼성전자주식회사 Multi-chip package memory stacked memory chips, method for stacking memory and method for controlling operation of multi-chip package memory
KR101176187B1 (en) 2007-11-21 2012-08-22 삼성전자주식회사 Stacked semiconductor device and method for thereof serial path build up
US7884015B2 (en) 2007-12-06 2011-02-08 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US8084854B2 (en) 2007-12-28 2011-12-27 Micron Technology, Inc. Pass-through 3D interconnect for microelectronic dies and associated systems and methods
KR101420817B1 (en) 2008-01-15 2014-07-21 삼성전자주식회사 Semiconductor Integrated Circuit Device Electrically Connecting Integrated Circuit Modules Stacked Sequentially With 3-Dimensional Serial And Parallel Circuits And Method Of Forming The Same
US8486823B2 (en) 2008-03-07 2013-07-16 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming through via
US7833895B2 (en) * 2008-05-12 2010-11-16 Texas Instruments Incorporated TSVS having chemically exposed TSV tips for integrated circuit devices
US8253230B2 (en) 2008-05-15 2012-08-28 Micron Technology, Inc. Disabling electrical connections using pass-through 3D interconnects and associated systems and methods
US7968460B2 (en) 2008-06-19 2011-06-28 Micron Technology, Inc. Semiconductor with through-substrate interconnect
US7800238B2 (en) 2008-06-27 2010-09-21 Micron Technology, Inc. Surface depressions for die-to-die interconnects and associated systems and methods
KR20100020718A (en) * 2008-08-13 2010-02-23 삼성전자주식회사 Semiconductor chip, stack structure, and methods of fabricating the semiconductor chip and the stack structure
KR20100021856A (en) * 2008-08-18 2010-02-26 삼성전자주식회사 Method of forming semiconductor device having tsv and related device
US8097955B2 (en) * 2008-10-15 2012-01-17 Qimonda Ag Interconnect structures and methods
KR101002680B1 (en) * 2008-10-21 2010-12-21 삼성전기주식회사 Semiconductor package and method of manufacturing the same
US8501587B2 (en) * 2009-01-13 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked integrated chips and methods of fabrication thereof
US8564133B2 (en) * 2009-08-20 2013-10-22 Ying-Nan Wen Chip package and method for forming the same
JP5644242B2 (en) * 2009-09-09 2014-12-24 大日本印刷株式会社 Through electrode substrate and manufacturing method thereof
TWI406380B (en) * 2009-09-23 2013-08-21 Advanced Semiconductor Eng Semiconductor element having a via and method for making the same and package having a semiconductor element with a via
KR101604607B1 (en) * 2009-10-26 2016-03-18 삼성전자주식회사 Semiconductor device and method of manufacturing the semiconductor device
US8399987B2 (en) * 2009-12-04 2013-03-19 Samsung Electronics Co., Ltd. Microelectronic devices including conductive vias, conductive caps and variable thickness insulating layers
TWI419257B (en) * 2009-12-29 2013-12-11 Advanced Semiconductor Eng Semiconductor process, semiconductor element and package having semiconductor element
US8227839B2 (en) * 2010-03-17 2012-07-24 Texas Instruments Incorporated Integrated circuit having TSVS including hillock suppression
TW201145493A (en) * 2010-06-01 2011-12-16 Chipmos Technologies Inc Silicon wafer structure and multi-chip stack structure
US8691691B2 (en) * 2011-07-29 2014-04-08 International Business Machines Corporation TSV pillar as an interconnecting structure
US8710670B2 (en) * 2011-12-14 2014-04-29 Stats Chippac Ltd. Integrated circuit packaging system with coupling features and method of manufacture thereof
US8963336B2 (en) * 2012-08-03 2015-02-24 Samsung Electronics Co., Ltd. Semiconductor packages, methods of manufacturing the same, and semiconductor package structures including the same
KR20140023055A (en) * 2012-08-16 2014-02-26 에스케이하이닉스 주식회사 Semiconductor device and method for forming using the same
US9076655B2 (en) * 2013-01-16 2015-07-07 Stats Chippac, Ltd. Semiconductor device and method of forming through-silicon-via with sacrificial layer

Patent Citations (114)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2821959A (en) * 1956-03-29 1958-02-04 Bell Telephone Labor Inc Mass soldering of electrical assemblies
US3006318A (en) * 1958-03-26 1961-10-31 Western Electric Co Apparatus for applying solder coatings to surfaces
US3345134A (en) * 1962-04-21 1967-10-03 Knapsack Ag Process and apparatus for the manufacture of titanium nitride
US3865298A (en) * 1973-08-14 1975-02-11 Atomic Energy Commission Solder leveling
US3902036A (en) * 1974-05-02 1975-08-26 Western Electric Co Control system using multiplexed laser beams
US4040168A (en) * 1975-11-24 1977-08-09 Rca Corporation Fabrication method for a dual gate field-effect transistor
US4368106A (en) * 1980-10-27 1983-01-11 General Electric Company Implantation of electrical feed-through conductors
US5027184A (en) * 1981-03-02 1991-06-25 Rockwell International Corporation NPN type lateral transistor with minimal substrate operation interference
US4756765A (en) * 1982-01-26 1988-07-12 Avco Research Laboratory, Inc. Laser removal of poor thermally-conductive materials
US4534100A (en) * 1982-06-28 1985-08-13 The United States Of America As Represented By The Secretary Of The Air Force Electrical method of making conductive paths in silicon
US4614427A (en) * 1983-05-20 1986-09-30 Hitachi, Ltd. Automatic contaminants detection apparatus
US4608480A (en) * 1983-06-15 1986-08-26 S.N.E.C.M.A. Process and apparatus for laser drilling
US4581301A (en) * 1984-04-10 1986-04-08 Michaelson Henry W Additive adhesive based process for the manufacture of printed circuit boards
US4984597B1 (en) * 1984-05-21 1999-10-26 Cfmt Inc Apparatus for rinsing and drying surfaces
US4984597A (en) * 1984-05-21 1991-01-15 Cfm Technologies Research Associates Apparatus for rinsing and drying surfaces
US4660063A (en) * 1985-03-18 1987-04-21 General Electric Company Immersion type ISFET
US4627971A (en) * 1985-04-22 1986-12-09 Alza Corporation Osmotic device with self-sealing passageway
US5026964A (en) * 1986-02-28 1991-06-25 General Electric Company Optical breakthrough sensor for laser drill
US4818728A (en) * 1986-12-03 1989-04-04 Sharp Kabushiki Kaisha Method of making a hybrid semiconductor device
US5144412A (en) * 1987-02-19 1992-09-01 Olin Corporation Process for manufacturing plastic pin grid arrays and the product produced thereby
US4768291A (en) * 1987-03-12 1988-09-06 Monarch Technologies Corporation Apparatus for dry processing a semiconductor wafer
US4907127A (en) * 1988-03-21 1990-03-06 Lee John K C Printed circuit board construction and method for producing printed circuit end products
US5219344A (en) * 1988-06-09 1993-06-15 Visx, Incorporated Methods and apparatus for laser sculpture of the cornea
US5123902A (en) * 1988-09-13 1992-06-23 Carl-Zeiss-Stiftung Method and apparatus for performing surgery on tissue wherein a laser beam is applied to the tissue
US4964212A (en) * 1988-09-29 1990-10-23 Commissariat A L'energie Atomique Process for producing electrical connections through a substrate
US4959705A (en) * 1988-10-17 1990-09-25 Ford Microelectronics, Inc. Three metal personalization of application specific monolithic microwave integrated circuit
US5024966A (en) * 1988-12-21 1991-06-18 At&T Bell Laboratories Method of forming a silicon-based semiconductor optical device mount
US5037782A (en) * 1989-03-29 1991-08-06 Mitsubishi Denki Kabushiki Kaisha Method of making a semiconductor device including via holes
US5098864A (en) * 1989-11-29 1992-03-24 Olin Corporation Process for manufacturing a metal pin grid array package
US5496755A (en) * 1989-11-29 1996-03-05 Texas Instruments Incorporated Integrated circuit and method
US5006922A (en) * 1990-02-14 1991-04-09 Motorola, Inc. Packaged semiconductor device having a low cost ceramic PGA package
US5200366A (en) * 1990-04-27 1993-04-06 Hitachi, Ltd. Semiconductor device, its fabrication method and molding apparatus used therefor
US5145099A (en) * 1990-07-13 1992-09-08 Micron Technology, Inc. Method for combining die attach and lead bond in the assembly of a semiconductor package
US5158911A (en) * 1990-08-03 1992-10-27 Thomson Composants Microondes Method for interconnection between an integrated circuit and a support circuit, and integrated circuit adapted to this method
US5237148A (en) * 1990-10-04 1993-08-17 Brother Kogyo Kabushiki Device for manufacturing a nozzle and its manufacturing method
US5294568A (en) * 1990-10-12 1994-03-15 Genus, Inc. Method of selective etching native oxide
US5102829A (en) * 1991-07-22 1992-04-07 At&T Bell Laboratories Plastic pin grid array package
US5292686A (en) * 1991-08-21 1994-03-08 Triquint Semiconductor, Inc. Method of forming substrate vias in a GaAs wafer
US5485039A (en) * 1991-12-27 1996-01-16 Hitachi, Ltd. Semiconductor substrate having wiring conductors at a first main surface electrically connected to plural pins at a second main surface
US5424573A (en) * 1992-03-04 1995-06-13 Hitachi, Ltd. Semiconductor package having optical interconnection access
US5289631A (en) * 1992-03-04 1994-03-01 Mcnc Method for testing, burn-in, and/or programming of integrated circuit chips
US5233448A (en) * 1992-05-04 1993-08-03 Industrial Technology Research Institute Method of manufacturing a liquid crystal display panel including photoconductive electrostatic protection
US5406630A (en) * 1992-05-04 1995-04-11 Motorola, Inc. Tamperproof arrangement for an integrated circuit device
US5304743A (en) * 1992-05-12 1994-04-19 Lsi Logic Corporation Multilayer IC semiconductor package
US5481483A (en) * 1992-11-23 1996-01-02 Ford Motor Company Non-contact method of obtaining dimensional information about an object for comparing similar objects
US5464960A (en) * 1993-01-12 1995-11-07 Iatrotech, Inc. Laser calibration device
US5847454A (en) * 1993-02-04 1998-12-08 Cornell Research Foundcatton, Inc. Electrically isolated released microstructures
US5438212A (en) * 1993-02-25 1995-08-01 Mitsubishi Denki Kabushiki Kaisha Semiconductor device with heat dissipation structure
US5291062A (en) * 1993-03-01 1994-03-01 Motorola, Inc. Area array semiconductor device having a lid with functional contacts
US5447871A (en) * 1993-03-05 1995-09-05 Goldstein; Edward F. Electrically conductive interconnection through a body of semiconductor material
US5402435A (en) * 1993-03-05 1995-03-28 Matsushita Electric Industrial Co., Ltd. Optical device
US5723904A (en) * 1993-03-10 1998-03-03 Sumitomo Electric Industries, Ltd. Packaged semiconductor device suitable to be mounted and connected to microstrip line structure board
US5518956A (en) * 1993-09-02 1996-05-21 General Electric Company Method of isolating vertical shorts in an electronic array using laser ablation
US5378312A (en) * 1993-12-07 1995-01-03 International Business Machines Corporation Process for fabricating a semiconductor structure having sidewalls
US5690841A (en) * 1993-12-10 1997-11-25 Pharmacia Biotech Ab Method of producing cavity structures
US5378313A (en) * 1993-12-22 1995-01-03 Pace; Benedict G. Hybrid circuits and a method of manufacture
US5585308A (en) * 1993-12-23 1996-12-17 Sgs-Thomson Microelectronics, Inc. Method for improved pre-metal planarization
US5684642A (en) * 1994-02-22 1997-11-04 Mitsubishi Denki Kabushiki Kaisha Optical transmission system and light radiating method
US5380681A (en) * 1994-03-21 1995-01-10 United Microelectronics Corporation Three-dimensional multichip package and methods of fabricating
US5734555A (en) * 1994-03-30 1998-03-31 Intel Corporation Shared socket multi-chip module and/or piggyback pin grid array package
US6008914A (en) * 1994-04-28 1999-12-28 Mitsubishi Denki Kabushiki Kaisha Laser transfer machining apparatus
US5627106A (en) * 1994-05-06 1997-05-06 United Microelectronics Corporation Trench method for three dimensional chip connecting during IC fabrication
US5585675A (en) * 1994-05-11 1996-12-17 Harris Corporation Semiconductor die packaging tub having angularly offset pad-to-pad via structure configured to allow three-dimensional stacking and electrical interconnections among multiple identical tubs
US5550403A (en) * 1994-06-02 1996-08-27 Lsi Logic Corporation Improved laminate package for an integrated circuit and integrated circuit having such a package
US5726493A (en) * 1994-06-13 1998-03-10 Fujitsu Limited Semiconductor device and semiconductor device unit having ball-grid-array type package structure
US5614743A (en) * 1994-07-26 1997-03-25 Kabushiki Kaisha Toshiba Microwave integrated circuit (MIC) having a reactance element formed on a groove
US5515167A (en) * 1994-09-13 1996-05-07 Hughes Aircraft Company Transparent optical chuck incorporating optical monitoring
US5654221A (en) * 1994-10-17 1997-08-05 International Business Machines Corporation Method for forming semiconductor chip and electronic module with integrated surface interconnects/components
US5870289A (en) * 1994-12-15 1999-02-09 Hitachi, Ltd. Chip connection structure having diret through-hole connections through adhesive film and wiring substrate
US5904499A (en) * 1994-12-22 1999-05-18 Pace; Benedict G Package for power semiconductor chips
US5624437A (en) * 1995-03-28 1997-04-29 Freeman; Jerre M. High resolution, high speed, programmable laser beam modulating apparatus for microsurgery
US5646067A (en) * 1995-06-05 1997-07-08 Harris Corporation Method of bonding wafers having vias including conductive material
US5718791A (en) * 1995-06-05 1998-02-17 R + S Stanztechnik Gmbh Method of laminating a trim panel and folding a cover sheet edge around the panel rim
US5618752A (en) * 1995-06-05 1997-04-08 Harris Corporation Method of fabrication of surface mountable integrated circuits
US5673846A (en) * 1995-08-24 1997-10-07 International Business Machines Corporation Solder anchor decal and method
US5771158A (en) * 1995-09-21 1998-06-23 Mitsubishi Denki Kabushiki Kaisha Printed circuit board, printed circuit board used for flat panel display drive circuit, and flat panel display device
US5861654A (en) * 1995-11-28 1999-01-19 Eastman Kodak Company Image sensor assembly
US5825080A (en) * 1995-12-18 1998-10-20 Atr Optical And Radio Communications Research Laboratories Semiconductor device provided with surface grounding conductor for covering surfaces of electrically insulating films
US5851845A (en) * 1995-12-18 1998-12-22 Micron Technology, Inc. Process for packaging a semiconductor die using dicing and testing
US5776824A (en) * 1995-12-22 1998-07-07 Micron Technology, Inc. Method for producing laminated film/metal structures for known good die ("KG") applications
US5773359A (en) * 1995-12-26 1998-06-30 Motorola, Inc. Interconnect system and method of fabrication
US5826628A (en) * 1996-01-24 1998-10-27 Micron Technology, Inc. Form tooling and method of forming semiconductor package leads
US5893828A (en) * 1996-05-02 1999-04-13 Uram; Martin Contact laser surgical endoscope and associated myringotomy procedure
US5857963A (en) * 1996-07-17 1999-01-12 Welch Allyn, Inc. Tab imager assembly for use in an endoscope
US5998240A (en) * 1996-07-22 1999-12-07 Northrop Grumman Corporation Method of extracting heat from a semiconductor body and forming microchannels therein
US5870823A (en) * 1996-11-27 1999-02-16 International Business Machines Corporation Method of forming a multilayer electronic packaging substrate with integral cooling channels
US6809421B1 (en) * 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US6004867A (en) * 1996-12-16 1999-12-21 Samsung Electronics Co., Ltd. Chip-size packages assembled using mass production techniques at the wafer-level
US6103547A (en) * 1997-01-17 2000-08-15 Micron Technology, Inc. High speed IC package configuration
US5969422A (en) * 1997-05-15 1999-10-19 Advanced Micro Devices, Inc. Plated copper interconnect structure
US5821532A (en) * 1997-06-16 1998-10-13 Eastman Kodak Company Imager package substrate
US5811799A (en) * 1997-07-31 1998-09-22 Wu; Liang-Chung Image sensor package having a wall with a sealed cover
US5807439A (en) * 1997-09-29 1998-09-15 Siemens Aktiengesellschaft Apparatus and method for improved washing and drying of semiconductor wafers
US6097087A (en) * 1997-10-31 2000-08-01 Micron Technology, Inc. Semiconductor package including flex circuit, interconnects and dense array external contacts
US5998292A (en) * 1997-11-12 1999-12-07 International Business Machines Corporation Method for making three dimensional circuit integration
US6294837B1 (en) * 1997-12-18 2001-09-25 Micron Technology, Inc. Semiconductor interconnect having laser machined contacts
US6107180A (en) * 1998-01-30 2000-08-22 Motorola, Inc. Method for forming interconnect bumps on a semiconductor die
US6008070A (en) * 1998-05-21 1999-12-28 Micron Technology, Inc. Wafer level fabrication and assembly of chip scale packages
US6080291A (en) * 1998-07-10 2000-06-27 Semitool, Inc. Apparatus for electrochemically processing a workpiece including an electrical contact assembly having a seal member
US6107186A (en) * 1999-01-27 2000-08-22 Advanced Micro Devices, Inc. High planarity high-density in-laid metallization patterns by damascene-CMP processing
US6667551B2 (en) * 2000-01-21 2003-12-23 Seiko Epson Corporation Semiconductor device and manufacturing thereof, including a through-hole with a wider intermediate cavity
US6720661B2 (en) * 2000-06-02 2004-04-13 Seiko Epson Corporation Semiconductor device, method of fabricating the same, stack-type semiconductor device, circuit board and electronic instrument
US6703689B2 (en) * 2000-07-11 2004-03-09 Seiko Epson Corporation Miniature optical element for wireless bonding in an electronic instrument
US20020115290A1 (en) * 2001-02-22 2002-08-22 Halahan Patrick B. Semiconductor structures having multiple conductive layers in an opening, and methods for fabricating same
US6864172B2 (en) * 2002-06-18 2005-03-08 Sanyo Electric Co., Ltd. Manufacturing method of semiconductor device
US7432582B2 (en) * 2002-07-29 2008-10-07 Hewlett-Packard Development Company, L.P. Method of forming a through-substrate interconnect
US6936536B2 (en) * 2002-10-09 2005-08-30 Micron Technology, Inc. Methods of forming conductive through-wafer vias
US6734084B1 (en) * 2003-02-04 2004-05-11 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing a semiconductor device with recesses using anodic oxide
US7214615B2 (en) * 2003-03-17 2007-05-08 Seiko Epson Corporation Method of manufacturing semiconductor device, semiconductor device, circuit substrate and electronic apparatus
US7074703B2 (en) * 2003-06-19 2006-07-11 Seiko Epson Corporation Semiconductor device and method of manufacturing the same, circuit board, and electronic instrument
US20050151228A1 (en) * 2003-12-04 2005-07-14 Kazumasa Tanida Semiconductor chip and manufacturing method for the same, and semiconductor device
US20060043569A1 (en) * 2004-08-27 2006-03-02 Benson Peter A Low temperature methods of forming back side redistribution layers in association with through wafer interconnects, semiconductor devices including same, and assemblies
US20070032061A1 (en) * 2005-08-05 2007-02-08 Farnworth Warren M Methods of forming through-wafer interconnects and structures resulting therefrom
US20130147036A1 (en) * 2011-12-13 2013-06-13 Stats Chippac, Ltd. Semiconductor Device and Method of Forming UBM Structure on Back Surface of TSV Semiconductor Wafer

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11476160B2 (en) 2005-09-01 2022-10-18 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US9224708B2 (en) 2013-07-25 2015-12-29 Commissariat A L'energie Atomique Et Aux Energies Alternatives Method for manufacturing a conducting contact on a conducting element

Also Published As

Publication number Publication date
US11476160B2 (en) 2022-10-18
US20230106554A1 (en) 2023-04-06
US20070049016A1 (en) 2007-03-01
US20210005514A1 (en) 2021-01-07
US20170323828A1 (en) 2017-11-09
US7863187B2 (en) 2011-01-04
WO2007027969A2 (en) 2007-03-08

Similar Documents

Publication Publication Date Title
US11476160B2 (en) Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US9281241B2 (en) Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US8476769B2 (en) Through-silicon vias and methods for forming the same
US7262134B2 (en) Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US8405191B2 (en) Semiconductor device structures
US9390973B2 (en) On-chip RF shields with backside redistribution lines
US7936052B2 (en) On-chip RF shields with backside redistribution lines
US20060177999A1 (en) Microelectronic workpieces and methods for forming interconnects in microelectronic workpieces
US20200294854A1 (en) Vias and conductive routing layers in semiconductor substrates
US20100213612A1 (en) Through-silicon via formed with a post passivation interconnect structure
US20090212438A1 (en) Integrated circuit device comprising conductive vias and method of making the same
TW201027698A (en) Semiconductor device and method for forming the same
US10910345B2 (en) Semiconductor device with stacked die device
US20080048282A1 (en) Semiconductor Device and Fabricating Method Thereof

Legal Events

Date Code Title Description
AS Assignment

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT, CALIFORNIA

Free format text: SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038669/0001

Effective date: 20160426

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGEN

Free format text: SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038669/0001

Effective date: 20160426

AS Assignment

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT, MARYLAND

Free format text: PATENT SECURITY AGREEMENT;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038954/0001

Effective date: 20160426

Owner name: MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL

Free format text: PATENT SECURITY AGREEMENT;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:038954/0001

Effective date: 20160426

AS Assignment

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT, CALIFORNIA

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE REPLACE ERRONEOUSLY FILED PATENT #7358718 WITH THE CORRECT PATENT #7358178 PREVIOUSLY RECORDED ON REEL 038669 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:043079/0001

Effective date: 20160426

Owner name: U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGEN

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE REPLACE ERRONEOUSLY FILED PATENT #7358718 WITH THE CORRECT PATENT #7358178 PREVIOUSLY RECORDED ON REEL 038669 FRAME 0001. ASSIGNOR(S) HEREBY CONFIRMS THE SECURITY INTEREST;ASSIGNOR:MICRON TECHNOLOGY, INC.;REEL/FRAME:043079/0001

Effective date: 20160426

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION

AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:U.S. BANK NATIONAL ASSOCIATION, AS COLLATERAL AGENT;REEL/FRAME:047243/0001

Effective date: 20180629

AS Assignment

Owner name: MICRON TECHNOLOGY, INC., IDAHO

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:MORGAN STANLEY SENIOR FUNDING, INC., AS COLLATERAL AGENT;REEL/FRAME:050937/0001

Effective date: 20190731