US20110097905A1 - Apparatus including 4-way valve for fabricating semiconductor device, method of controlling valve, and method of fabricating semiconductor device using the apparatus - Google Patents

Apparatus including 4-way valve for fabricating semiconductor device, method of controlling valve, and method of fabricating semiconductor device using the apparatus Download PDF

Info

Publication number
US20110097905A1
US20110097905A1 US12/980,633 US98063310A US2011097905A1 US 20110097905 A1 US20110097905 A1 US 20110097905A1 US 98063310 A US98063310 A US 98063310A US 2011097905 A1 US2011097905 A1 US 2011097905A1
Authority
US
United States
Prior art keywords
gas
reaction chamber
source
supply pipe
gas supply
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/980,633
Inventor
Seok-jun Won
Yong-min Yoo
Dae-youn Kim
Young-Hoon Kim
Dae-jin Kwon
Weon-Hong Kim
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Genitech Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Genitech Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR1020050076968A external-priority patent/KR100699861B1/en
Application filed by Samsung Electronics Co Ltd, Genitech Co Ltd filed Critical Samsung Electronics Co Ltd
Priority to US12/980,633 priority Critical patent/US20110097905A1/en
Publication of US20110097905A1 publication Critical patent/US20110097905A1/en
Priority to US13/624,609 priority patent/US9029244B2/en
Priority to US14/685,697 priority patent/US9406502B2/en
Priority to US15/176,684 priority patent/US9702041B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4402Reduction of impurities in the source gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes

Definitions

  • the present invention relates to an apparatus for fabricating a semiconductor device, and more particularly, to an apparatus for fabricating a semiconductor device using a 4-way valve with improved purge efficiency by improving a valve system for gas supplied to a reaction chamber, a method of controlling the 4-way valve, and a method of fabricating a semiconductor device using the apparatus.
  • a semiconductor process module has a configuration that differs depending on a process to be performed in fabrication of a semiconductor device, but it fundamentally includes a reaction chamber defining a reaction area in which a wafer is loaded and hermetically sealed and a valve system which supplies a gas material to the reaction chamber.
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • ALD is slow in deposition since the chemical reaction is limited to only the surface of a wafer
  • ALD is essential to fabrication of a dielectric layer, a diffusion preventing layer, a gate dielectric layer, etc., for a memory capacitor that requires a high-purity and high-uniformity thin film.
  • ALD is advantageous in that deposition and thickness of a thin film whose thickness is decreased with the micronization of a semiconductor device can be controlled precisely.
  • FIG. 1 is a schematic diagram illustrating a gas valve system of a conventional ALD apparatus in which ALD is performed.
  • FIG. 2 is an enlarged view of a part of the gas valve system in which dead volume (DV) occurs.
  • FIG. 3 is a cross sectional view of the part shown in FIG. 2 , taken along the line AA′, in which a 2-way valve is closed.
  • FIG. 4 is a cross sectional view of the part shown in FIG. 2 , taken along the line AA′, in which the 2-way valve is open.
  • a source gas supply source 22 , a reactive gas supply source 24 , a purge gas supply source 28 , a first carrier gas supply source 26 , and a second carrier gas supply source 30 supply a source gas S 1 , a reactive gas S 2 , a purge gas P 2 , and carrier gases P 1 and P 3 , e.g., argon gases, respectively, to a reaction chamber 10 via a source gas supply pipe 22 a , a reactive gas supply pipe 24 a , a purge gas supply pipe 28 a , a first carrier gas supply pipe 26 a , and a second carrier gas supply pipe 30 a , respectively.
  • argon gases e.g., argon gases
  • a discharge pump 12 is installed at the back of the reaction chamber 10 to control the inner pressure of the reaction chamber 10 .
  • a throttle valve 14 is installed between the reaction chamber 10 and the discharge pump 12 to maintain the inner pressure of the reaction chamber 10 constant.
  • the first carrier gas supply pipe 26 a is connected to and extended from the first carrier gas supply source 26 to supply the carrier gas P 1 .
  • the source gas supply source 22 is connected in parallel through first and second 3-way valves 32 and 34 .
  • An on/off valve, i.e., a first 2-way gate valve 42 is installed between the first and second 3-way valves 32 and 34 .
  • a bypass 16 is connected to the first carrier gas supply pipe 26 a in the back of the second 3-way valve 34 through a third 3-way valve 36 .
  • An end of the bypass 16 is connected between the throttle valve 14 and the discharge pump 12 on a discharge pipe 13 .
  • An end of the first carrier gas supply pipe 26 a is connected to the purge gas supply pipe 28 a through a fourth 3-way valve 38 .
  • the purge gas P 2 is supplied from the purge gas supply source 28 to the reaction chamber 10 through the purge gas supply pipe 28 a .
  • the fourth 3-way valve 38 is installed at a junction of the purge gas supply pipe 28 a and the first carrier gas supply pipe 26 a .
  • a second gate valve 44 is installed between the purge gas supply source 28 and the fourth 3-way valve 38 .
  • the carrier gas P 3 is supplied from the second carrier gas supply source 30 to the reaction chamber 10 through the second carrier gas supply pipe 30 a and the reactive gas S 2 is supplied from the reactive gas supply source 24 to the reaction chamber 10 through the reactive gas supply pipe 24 a and the second carrier gas supply pipe 30 a to which the reactive gas supply pipe 24 a is connected.
  • a third gate valve 46 is installed between the reaction chamber 10 and the junction of the reactive gas supply pipe 24 a and the second carrier gas supply pipe 30 a .
  • a fourth gate valve 48 is installed between the junction and the reactive gas supply source 24 .
  • FIG. 1 just functionally illustrates the inlet and outlet of the third and fourth 3-way valves 36 and 38 according to a flow direction of supplied gas.
  • the third and fourth 3-way valves 36 and 38 are diaphragm valves. A flow of a gas material according to on/off of the third 3-way valve 36 will be described.
  • the third 3-way valve 36 installed at the junction of the first carrier gas supply pipe 26 a and the bypass 16 includes a first vertical via hole 36 h 1 , which is vertically connected to the first carrier gas supply pipe 26 a penetrating straight through a body 36 c, and a second vertical via hole 36 h 2 which is vertically connected to an end of the bypass 16 .
  • a diaphragm 36 e moved up and down by a pressure is installed above a surface of the body 36 c through which the first and second vertical via holes 36 h 1 and 36 h 2 are exposed within a housing 36 d to define a predetermined space.
  • the third 3-way valve 36 When the third 3-way valve 36 is turned off, that is, when the diaphragm 36 e moves downward and closely contacts the surface of the body 36 c to close the first and second vertical via holes 36 h 1 and 36 h 2 , as shown in FIG. 3 , the first carrier gas supply pipe 26 a is open and enables the first carrier gas P 1 or the source gas S 2 to flow to the fourth 3-way valve 38 , but a gas flow to the bypass 16 is blocked.
  • the third 3-way valve 36 When the third 3-way valve 36 is turned on, that is, when the diaphragm 36 e moves upward and is separated from the surface of the body 36 c to open the first and second vertical via holes 36 h 1 and 36 h 2 , as shown in FIG. 4 , the first carrier gas supply pipe 26 a is open and enables the first carrier gas P 1 or the source gas S 2 to flow to the fourth 3-way valve 38 , and simultaneously, a gas material flowing out through the first vertical via hole 36 h 1 passes through a space between the surface of the body 36 c and the diaphragm 36 e and flows into the bypass 16 through the second vertical via hole 36 h 2 .
  • a second outlet 36 b of the third 3-way valve 36 is open. Accordingly, whether the first carrier gas P 1 or the source gas 51 is supplied to the reaction chamber 10 through the third and fourth 3-way valves 36 and 38 depends on whether an inlet 38 b of the fourth 3-way valve 38 is open or closed. As a result, when the inlet 38 b of the fourth 3-way valve 38 is closed, the first carrier gas P 1 or the source gas S 1 does not flow to the fourth 3-way valve 38 but flows into the bypass 16 even when the second outlet 36 b of the third 3-way valve 36 is open.
  • a process of depositing a reaction product S 1 +S 2 to form a thin film on a surface of a substrate using ALD using the source gas 51 and the reactive gas S 2 will be described below.
  • the source gas S 1 is supplied to the reaction chamber 10 loaded with a wafer, i.e., the substrate (not shown) so that a source gas material is attached to a surface of the substrate.
  • the first gate valve 42 is turned off to be closed; a first outlet 32 a of the first 3-way valve 32 is open; an inlet 34 a and an outlet 34 b of the second 3-way valve 34 are open; a first outlet 36 a of the third 3-way valve 36 toward the bypass 16 is closed; the second outlet 36 b of the third 3-way valve 36 is open; and the inlet 38 b and an outlet 38 a of the fourth 3-way valve 38 are open.
  • the source gas S 1 is supplied to the reaction chamber 10 together with the first carrier gas P 1 .
  • the purge gas P 2 is continuously supplied to the reaction chamber 10 and the second carrier gas P 3 is also supplied to the reaction chamber 10 in a state where the fourth gate valve 48 is closed.
  • the fourth gate valve 48 is closed.
  • source gas residues that are not attached to the surface of the substrate are removed from the reaction chamber 10 .
  • the first gate valve 42 is open; the first outlet 32 a of the first 3-way valve 32 is closed (when a second outlet 32 b of the first 3-way valve 32 is open according to the characteristic of a 3-way valve); the inlet 34 a of the second 3-way valve 34 is closed (when the outlet 34 b of the second 3-way valve 34 is open); the first outlet 36 a of the third 3-way valve 36 toward the bypass 16 is open (when a second outlet 36 b of the third 3-way valve 36 is open); and the inlet 38 b of the fourth 3-way valve 38 is closed (when the outlet 38 a of the fourth 3-way valve 38 is open).
  • the residues of the source gas S 1 within the supply pipes flow to the bypass 16 together with the first carrier gas P 1 and the residues of the source gas S 1 within the reaction chamber 10 purged by the purge gas P 2 continuously supplied to the reaction chamber 10 .
  • the second carrier gas P 3 is also supplied to the reaction chamber 10 in a state where the fourth gate valve 48 is closed.
  • the reactive gas S 2 is supplied into the reaction chamber 10 in a state where the source gas S 1 has been deposited on the surface of the substrate so that the source gas S 1 reacts with part of the reactive gas S 2 , thereby forming a reaction product on the surface of the substrate.
  • a supply line for the first carrier gas P 1 and the purge gas P 2 is the same as that in the source gas purging stage, with the exception that the fourth gate valve 48 is open so that the reactive gas S 2 is supplied into the reaction chamber 10 together with the second carrier gas P 3 . Meanwhile, the purge gas P 2 is continuously supplied into the reaction chamber 10 .
  • a reactive gas purging stage the residues of the reactive gas S 2 other than the reaction product of the source gas S 1 and the reactive gas S 2 deposited on the surface of the substrate are removed from the reaction chamber 10 .
  • a supply line for the first carrier gas P 1 and the purge gas P 2 is the same as that in the source gas purging stage.
  • the fourth gate valve 48 is closed and only the second carrier gas P 3 is supplied to the reaction chamber 10 .
  • the reaction product of the source gas 51 and the reactive gas S 2 is deposited to be very thin on the surface of the substrate.
  • Several or several thousands of cycles may be performed to form a desired thin layer on the surface of the substrate.
  • the conventional ALD apparatus has a problem in that dead volume (DV), in which purging is not performed and a source gas material is stagnant between valves, occurs.
  • DV dead volume
  • FIG. 2 a hatched portion between the third 3-way valve 36 and the fourth 3-way valve 38 corresponds to a DV portion.
  • source gas purging starts after source gas pulsing in which the source gas S 1 is supplied to the reaction chamber 10 through the third 3-way valve 36 and the fourth 3-way valve 38 , as described above, supply of the source gas S 1 is interrupted and the first carrier gas P 1 is discharged through the bypass 16 .
  • the source gas S 1 remains in the portion of the first carrier gas supply pipe 26 a corresponding to the DV portion between the third 3-way valve 36 and the fourth 3-way valve 38 .
  • the remaining source gas is still stagnant in the first carrier gas supply pipe 26 a during the succeeding reactive gas pulsing and purging stages. Only after a single ALD cycle is completed, the remaining source gas in the DV portion flows into the reaction chamber 10 when the outlet 38 b of the fourth 3-way valve 38 is open in the source gas pulsing stage in a subsequent cycle.
  • a gas material such as a source gas
  • a dielectric layer or a complex layer which includes multiple layers made of different materials
  • different source gas materials may react with each other in the DV portion, thereby generating unnecessary particles.
  • a thin film formed through the ALD may have defects or low uniformity.
  • the source gas material remaining in the DV portion may be slowly diffused and discharged, but it is not completely removed even after several minutes. Taking into account that an ALD cycle takes several seconds, it is very difficult to perform ALD using different kinds of source gas without purging and removing the source gas remaining in the DV portion.
  • the present invention provides an apparatus for fabricating a semiconductor device, by which purge efficiency is increased by preventing dead volume from occurring in a gas valve system.
  • the present invention also provides a method of controlling a valve in the apparatus for fabricating a semiconductor device, by which purge efficiency is increased by preventing dead volume from occurring in a gas valve system.
  • the present invention also provides a method of fabricating a semiconductor device, by which purge efficiency is increased by preventing dead volume from occurring in a gas valve system of the apparatus.
  • an apparatus for fabricating a semiconductor device includes a reaction chamber in which a substrate is processed to fabricate a semiconductor device; a first processing gas supply pipe supplying a first processing gas into the reaction chamber; a 4-way valve having a first inlet, a second inlet, a first outlet, and a second outlet and installed at the first processing gas supply pipe such that the first inlet and the first outlet are connected to the first processing gas supply pipe; a second processing gas supply pipe connected to the second inlet of the 4-way valve to supply a second processing gas; a bypass connected to the second outlet of the 4-way valve; and a gate valve installed at the bypass.
  • an apparatus for fabricating a semiconductor device includes a reaction chamber in which a substrate is processed to fabricate a semiconductor device; a purge gas supply pipe connected to the reaction chamber to supply a purge gas to the reaction chamber; a 4-way valve having a first inlet, a second inlet, a first outlet, and a second outlet and installed at the purge gas supply pipe such that the first inlet and the first outlet are connected to the purge gas supply pipe; a source gas supply pipe connected to the second inlet of the 4-way valve to supply a source gas to the reaction chamber; a first carrier gas supply pipe connected to the source gas supply pipe; a reactive gas supply pipe connected to the reaction chamber to supply a reactive gas to the reaction chamber; a second carrier gas supply pipe connected to the reactive gas supply pipe; a discharge pipe connected to the reaction chamber to discharge gas from the reaction chamber; a discharge pump installed at the discharge pipe; a bypass connected to the second outlet of the 4-way valve and to the discharge pipe in front of
  • a method of controlling a valve of the apparatus includes closing the gate valve installed at the bypass and opening the 4-way valve while the second processing gas is supplied to the reaction chamber, and opening the gate valve and closing the 4-way valve while supply of the second processing gas to the reaction chamber is interrupted.
  • a method of controlling a valve of the apparatus includes closing the gate valve installed at the bypass and opening the 4-way valve while the source gas is supplied to the reaction chamber, and opening the gate valve and closing the 4-way valve while supply of the source gas to the reaction chamber is interrupted.
  • a method of fabricating a semiconductor device using the apparatus according to the second aspect of the present invention includes loading the substrate into the reaction chamber, attaching a source gas material to the substrate by supplying the source gas to the reaction chamber, purging a source gas material that is not attached to the substrate by supplying the purge gas to the reaction chamber, forming a first reaction product layer on the substrate by supplying the reactive gas to the reaction chamber to allow the reactive gas to react with the source gas material attached to the substrate, and purging the reactive gas that has not reacted with the source gas material by supplying the purge gas to the reaction chamber.
  • an apparatus for fabricating a semiconductor device includes a reaction chamber in which a substrate is processed to fabricate a semiconductor device; a purge gas supply pipe connected to the reaction chamber to supply a purge gas to the reaction chamber; a first 4-way valve having a first inlet, a second inlet, a first outlet, and a second outlet and installed at the purge gas supply pipe such that the first inlet and the first outlet are connected to the purge gas supply pipe; a second 4-way valve having a first inlet, a second inlet, a first outlet, and a second outlet and installed at the purge gas supply pipe such that the first inlet and the first outlet are connected to the purge gas supply pipe and that the second 4-way valve is connected to the first 4-way valve in series; a first source gas supply pipe and a second source gas supply pipe respectively connected to the second inlets of the respective first and second 4-way valves to supply a source gas to the reaction chamber; first carrier gas supply pipes respectively connected to the
  • a method of fabricating a semiconductor device using the apparatus according to the sixth aspect of the present invention includes loading the substrate into the reaction chamber, attaching a source gas material to the substrate by selectively supplying one of the first and second source gases to the reaction chamber, purging a source gas material that is not attached to the substrate by supplying the purge gas to the reaction chamber, forming a first reaction product layer on the substrate by supplying the reactive gas to the reaction chamber to allow the reactive gas to react with the source gas material attached to the substrate, and purging the reactive gas that has not reacted with the source gas material by supplying the purge gas to the reaction chamber.
  • a 4-way valve is formed at a junction of a purge gas supply pipe and a source gas supply pipe and a bypass is connected to one outlet of the 4-way valve so that dead volume caused by the stagnation of a source gas is prevented. Even when the dead volume occurs, a gas material stagnant in a dead volume portion is not supplied to a reaction chamber but is discharged through the bypass. Accordingly, purge efficiency is increased and reliable semiconductor devices can be fabricated.
  • FIG. 1 is a schematic diagram illustrating a gas valve system of a conventional apparatus for fabricating a semiconductor device.
  • FIG. 2 is an enlarged view of a part of the gas valve system in which dead volume (DV) occurs.
  • FIG. 3 is a cross sectional view of the part shown in FIG. 2 , taken along the line AA′, in which a 2-way valve is closed.
  • FIG. 4 is a cross sectional view of the part shown in FIG. 2 , taken along the line AA′, in which the 2-way valve is open.
  • FIG. 5 is a schematic diagram illustrating an apparatus for fabricating a semiconductor device according to a first embodiment of the present invention.
  • FIG. 6 is an enlarged view of an essential part of the apparatus illustrated in FIG. 5 .
  • FIG. 7 is a cross sectional view of the part shown in FIG. 6 , taken along the line CC′, in which a 2-way valve is closed.
  • FIG. 8 is a cross sectional view of the part shown in FIG. 6 , taken along the line DD′, in which a 4-way valve is closed.
  • FIG. 9 is a schematic diagram illustrating an apparatus for fabricating a semiconductor device according to a second embodiment of the present invention.
  • FIG. 10 is an enlarged view of an essential part of the apparatus illustrated in FIG. 9 .
  • FIG. 11 is a cross sectional view of the part shown in FIG. 9 , taken along the line EE′, in which a 4-way valve is closed.
  • FIG. 12 is a schematic diagram illustrating an apparatus for fabricating a semiconductor device according to a third embodiment of the present invention.
  • FIG. 13 is a schematic diagram illustrating an apparatus for fabricating a semiconductor device according to a fourth embodiment of the present invention.
  • FIG. 14 is a schematic diagram illustrating an apparatus for fabricating a semiconductor device according to a fifth embodiment of the present invention.
  • FIG. 15 is a schematic diagram illustrating an apparatus for fabricating a semiconductor device according to a sixth embodiment of the present invention.
  • the present invention can be applied to any equipment that fundamentally supplies a gas material into a reaction chamber and performs semiconductor fabrication processes in the reaction chamber using the gas material. Accordingly, the present invention can be widely used in deposition equipment such as chemical vapor deposition (CVD) or atomic layer deposition (ALD) equipment and etching equipment.
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • FIG. 5 is a schematic diagram illustrating an apparatus for fabricating a semiconductor device according to a first embodiment of the present invention.
  • FIG. 6 is an enlarged view of a part of the apparatus illustrated in FIG. 5 .
  • FIG. 7 is a cross sectional view of the part shown in FIG. 6 , taken along the line CC′, in which a 2-way valve is closed.
  • FIG. 8 is a cross sectional view of the part shown in FIG. 6 , taken along the line DD′, in which a 4-way valve is closed.
  • a source gas supply source 122 , a reactive gas supply source 124 , a purge gas supply source 128 , a first carrier gas supply source 126 , and a second carrier gas supply source 130 supply a source gas, a reactive gas, a purge gas, and first and second carrier gases, respectively, to a reaction chamber 110 via a source gas supply pipe 122 a , a reactive gas supply pipe 124 a , a purge gas supply pipe 128 a , a first carrier gas supply pipe 126 a , and a second carrier gas supply pipe 130 a , respectively.
  • the source gas and the reactive gas used to form materials using ALD may be appropriately selected.
  • oxide materials such as SiO 2 , Al 2 O 3 , Ta 2 O 5 , and HfO 2 and nitride materials such as SiN, TiN, and TaN
  • TMA trimethylaluminum
  • H 2 O may be used as the reactive gas.
  • hydrogen plasma may be used as the reactive gas or oxygen plasma instead of H 2 O may be used as a source of oxygen.
  • Argon gases are used as the purge gas and the first and second carrier gases in this embodiment, but various gases may be used.
  • a pipe section through which the source gas is supplied from the source gas supply source 122 to the reaction chamber 110 is referred to as the source gas supply pipe 122 a ; a pipe section through which the reactive gas is supplied from the reactive gas supply source 124 to the reaction chamber 110 is referred to as the reactive gas supply pipe 124 a ; a pipe section through which the purge gas is supplied from the purge gas supply source 128 to the reaction chamber 110 is referred to as the purge gas supply pipe 128 a ; a pipe section through which the first carrier gas is supplied from the first carrier gas supply source 126 to the reaction chamber 110 is referred to as the first carrier gas supply pipe 126 a ; and a pipe section through which the second carrier gas is supplied from the second carrier gas supply source 130 to the reaction chamber 110 is referred to as the second carrier gas supply pipe 130 a .
  • the pipe may have two or more reference names in the following description.
  • reaction chamber 110 is not specifically illustrated, it is designed such that a substrate, i.e., a wafer, used to fabricate semiconductor devices is loaded and landed therein.
  • the reaction chamber 110 may be a single wafer type or batch type reaction chamber and may be combined with a device for inducing plasma within the reaction chamber 110 .
  • a discharge pump 112 is installed in the back of the reaction chamber 110 to control an inner pressure of the reaction chamber 110 .
  • a throttle valve 114 is installed between the reaction chamber 110 and the discharge pump 112 to maintain the inner pressure of the reaction chamber 110 constant.
  • the first carrier gas supply pipe 126 a is connected to the first carrier gas supply source 126 to supply the carrier gas.
  • the source gas supply source 122 is connected to the first carrier gas supply pipe 126 a in parallel through first and second 3-way valves 132 and 134 .
  • An on/off valve, i.e., a first gate valve 142 is installed between the first and second 3-way valves 132 and 134 .
  • a source gas supply pipe 122 c in the back of the second 3-way valve 134 is connected with the purge gas supply pipe 128 a through first and second inlets 150 c and 150 d of a 4-way valve 150 .
  • the 4-way valve 150 is illustrated functionally and includes the first and second inlets 150 c and 150 d through which a gas material flows in and first and second outlets 150 a and 150 b through which a gas material flows out.
  • the second outlet 150 b of the 4-way valve 150 is connected to the reaction chamber 110 and the first outlet 150 a of the 4-way valve 150 is connected to a bypass 116 .
  • Another end of the bypass 116 is connected to a discharge pipe 113 between the throttle valve 114 and the discharge pump 112 .
  • a fifth gate valve 152 is installed at the bypass 116 . According to the on/off state of the first gate valve 142 , the first carrier gas or the source gas is supplied to the reaction chamber 110 or the bypass 116 via the 4-way valve 150 .
  • the purge gas is supplied from the purge gas supply source 128 to the reaction chamber 110 through the purge gas supply pipe 128 a .
  • the 4-way valve 150 is installed at a junction of the purge gas supply pipe 128 a and the first carrier gas supply pipe 126 a .
  • a second gate valve 144 is installed between the purge gas supply source 128 and the 4-way valve 150 .
  • the second carrier gas is supplied from the second carrier gas supply source 130 to the reaction chamber 110 through the second carrier gas supply pipe 130 a , and the reactive gas is supplied from the reactive gas supply source 124 to the reaction chamber 110 through the reactive gas supply pipe 124 a and the second carrier gas supply pipe 130 a to which the reactive gas supply pipe 124 a is connected.
  • a third gate valve 146 is installed between the reaction chamber 110 and the junction of the reactive gas supply pipe 124 a and the second carrier gas supply pipe 130 a .
  • a fourth gate valve 148 is installed between the junction and the reactive gas supply source 124 .
  • FIG. 5 functionally illustrates the inlets and outlets of the 4-way valve 150 and the fifth gate valve 152 according to a flow direction of supplied gas.
  • FIGS. 6 through 8 specifically illustrate the 4-way valve 150 and the fifth gate valve 152 .
  • the 4-way valve 150 and the fifth gate valve 152 are diaphragm valves in the embodiment of the present invention, but the present invention is not restricted thereto. A flow of a gas material according to on/off states of the 4-way valve 150 and the fifth gate valve 152 will be described.
  • the fifth gate valve 152 installed at the bypass 116 does not allow the bypass 116 to directly penetrate the fifth gate valve 152 in a straight line but includes first and second vertical via holes 152 h 1 and 152 h 2 to be vertically connected to the bypass 116 side by side.
  • the first and second vertical via holes 152 h 1 and 152 h 2 extend to a top surface of a body 152 a .
  • a diaphragm 152 c moved up and down by pressure is installed above the top surface of the body 152 a through which the first and second vertical via holes 152 h 1 and 152 h 2 are exposed within a housing 152 b to define a predetermined space.
  • a gas material flowing into the bypass 116 via the first outlet 150 a of the 4-way valve 150 flows out through the second vertical via hole 152 h 2 , passes through a space between the top surface of the body 152 a and the diaphragm 152 c , and flows into the bypass 116 again via the first vertical via hole 152 h 1 .
  • the 4-way valve 150 includes a first horizontal via hole 128 b connecting the second inlet 150 d and the second outlet 150 b , a first vertical via hole 150 h 1 extending from the middle of the first horizontal via hole 128 b to a top surface of a body 150 e , a third vertical via hole 150 h 3 communicating with the first inlet 150 c connected with the source gas supply pipe 122 c , a second vertical via hole 150 h 2 communicating with the first outlet 150 a connected with the bypass 116 , and a second horizontal via hole 151 connecting the upper portion of the second vertical via hole 150 h 2 and the upper portion of the third vertical via hole 150 h 3 .
  • a housing 150 f is formed above the body 150 e of the 4-way valve 150 to define a predetermined space.
  • a diaphragm 150 g which can be moved up and down is installed within the space defined by the housing 150 f.
  • the 4-way valve 150 when the 4-way valve 150 is turned off, that is, when the diaphragm 150 g moves down and closely contacts the top surface of the body 150 e to close the upper ends of the first through third vertical via holes 150 h 1 through 150 h 3 , the purge gas is supplied to the reaction chamber 110 via the first horizontal via hole 128 b , and the source gas or the first carrier gas flowing in the source gas supply pipe 122 c flows into the bypass 116 via the third vertical via hole 150 h 3 , the second horizontal via hole 151 , and the second vertical via hole 150 h 2 .
  • the 4-way valve 150 When the 4-way valve 150 is turned on, that is, when the diaphragm 150 g moves up and is separated from the top surface of the body 150 e to open the upper ends of the first through third vertical via holes 150 h 1 through 150 h 3 , the purge gas flows into the reaction chamber 110 via the first horizontal via hole 128 b , and the source gas or the first carrier gas flows into the bypass 116 through the third vertical via hole 150 h 3 , the second horizontal via hole 151 or a space between the top surface of the body 150 a and the diaphragm 150 g , and the second vertical via hole 150 h 2 and into the reaction chamber 110 via the through the third vertical via hole 150 h 3 , the space between the top surface of the body 150 a and the diaphragm 150 g , and the first vertical via hole 150 h 1 .
  • fifth gate valve 152 when fifth gate valve 152 is turned off, the first carrier gas or the source gas flows only to the reaction chamber 110 through the third vertical via hole 150 h 3 , the space between the top surface of the body 150 a and the diaphragm 150 g , and the first vertical via hole 150 h 1 .
  • a sequential set of a source gas pulsing stage, a source gas purging stage, a reactive gas pulsing stage, and a reactive gas purging stage is defined as one cycle, and the cycles are repeated until a thin layer having a desired thickness is formed.
  • the source gas is supplied to the reaction chamber 110 loaded with a wafer, i.e., the substrate (not shown), so that a source gas material is attached to the surface of the substrate.
  • the first gate valve 142 is turned off to be closed, a first outlet 132 a of the first 3-way valve 132 is open, and a first inlet 134 a and an outlet 134 b of the second 3-way valve 134 are open, so that the first carrier gas and the source gas are simultaneously supplied.
  • the fifth gate valve 152 installed at the bypass 116 is turned off while the 4-way valve 150 is turned on, so that a gas flow to the bypass 116 is blocked and a gas flow is introduced to the reaction chamber 110 .
  • the source gas is supplied to the reaction chamber 110 together with the first carrier gas.
  • the purge gas is continuously supplied to the reaction chamber 110 .
  • the second carrier gas may be supplied to the reaction chamber 110 by closing the fourth gate valve 148 and opening the third gate valve 146 .
  • the first gate valve 142 is open; the first outlet 132 a of the first 3-way valve 132 is closed while a second outlet 132 b of the first 3-way valve 132 is open; and the first inlet 134 a of the second 3-way valve 134 is closed while the outlet 134 b of the second 3-way valve 134 is open, so that the supply of the reactive gas is interrupted and the first carrier gas is allowed to flow.
  • the fifth gate valve 152 installed at the bypass 116 is turned on while the 4-way valve 150 is turned off, so that the first carrier gas is discharged through the bypass 116 .
  • the source gas remaining between the second 3-way valve 134 and the 4-way valve 150 and between the 4-way valve 150 and the fifth gate valve 152 does not flow into the reaction chamber 110 but is discharged through the bypass 116 together with the first carrier.
  • the source gas remaining in the reaction chamber 110 without being deposited is purged by the purge gas continuously supplied to the reaction chamber 110 .
  • the second carrier gas may be continuously supplied to the reaction chamber 110 in a state where the fourth gate valve 148 is closed.
  • the reactive gas is supplied into the reaction chamber 110 in a state where the source gas has been deposited on the surface of the substrate so that the source gas reacts with part of the reactive gas, thereby forming a reaction product on the surface of the substrate.
  • the first carrier gas is discharged through the bypass 116 and the purge gas is continuously supplied to the reaction chamber 110 .
  • the fourth gate valve 148 installed at the reactive gas supply line and the third gate valve 146 are open so that the reactive gas is supplied to the reaction chamber 110 together with the second carrier gas.
  • the residues of the reactive gas other than the reaction product of the source gas and the reactive gas deposited on the surface of the substrate are removed from the reaction chamber 110 .
  • the first carrier gas is discharged through the bypass 116 and the purge gas is continuously supplied to the reaction chamber 110 .
  • the fourth gate valve 148 at the reactive gas supply line is closed to interrupt the supply of the reactive gas. As a result, only the second carrier gas is supplied to the reaction chamber 110 .
  • the reaction product of the source gas and the reactive gas is deposited to be very thin on the surface of the substrate.
  • Several or several thousands of cycles may be performed to form a desired thin layer on the surface of the substrate.
  • a single layer is formed on the substrate through the ALD process using a single source gas.
  • a layer deposited on the substrate according to the first embodiment of the present invention may be represented by “AB/AB/AB/AB . . . AB/AB”.
  • FIG. 9 is a schematic diagram illustrating an apparatus for fabricating a semiconductor device according to a second embodiment of the present invention.
  • FIG. 10 is an enlarged view of a part of the apparatus illustrated in FIG. 9 .
  • FIG. 11 is a cross sectional view of the part shown in FIG. 9 , taken along the line EE′, in which a 4-way valve is closed.
  • the second embodiment is the same as the first embodiment, with the exception that the structure of the 4-way valve 154 and the disposition of the purge gas supply line and the source gas supply line are different from those in the first embodiment. The differences will be described below.
  • the source gas supply line, the purge gas supply line, and the reactive gas supply line in the second embodiment are substantially similar to those in the first embodiment, but the structure and connection relationship of the 4-way valve 154 is different.
  • the 4-way valve 150 includes the first horizontal via hole 128 b formed straight to be connected to the purge gas supply pipe 128 a .
  • the 4-way valve 154 includes a second horizontal via hole 154 f that communicates with a second inlet 154 d of the 4-way valve 154 and bends at a right angle within the 4-way valve 154 .
  • a second vertical via hole 154 h 2 is formed extending from the middle of the second horizontal via hole 154 f to a top surface of a body 154 g of the 4-way valve 154 .
  • a first horizontal via hole 154 e communicating with a first inlet 154 c connected with the source gas supply pipe 122 c is formed to bend at a right angle within the 4-way valve 154 .
  • a first vertical via hole 154 h 1 is formed extending from the middle of the first horizontal via hole 154 e to the top surface of the body 154 g.
  • the 4-way valve 154 when the 4-way valve 154 is turned off, that is, when a diaphragm 154 i moves down and closely contacts the top surface of the body 154 g to close the upper ends of the first and second vertical via holes 154 h 1 and 154 h 2 , the purge gas is supplied to the reaction chamber 110 via the second horizontal via hole 154 f , and the source gas or the first carrier gas flowing in the source gas supply pipe 122 c flows into the bypass 116 via the first horizontal via hole 154 e .
  • the purge gas flows into the reaction chamber 110 via the second horizontal via hole 154 f or into the bypass 116 through the second horizontal via hole 154 f , the second vertical via hole 154 h 2 , a space between the top surface of the body 154 g and the diaphragm 154 i , and the first vertical via hole 154 h 1 .
  • the fifth gate valve 152 is turned off, the purge gas flows only into the reaction chamber 110 .
  • the source gas or the first carrier gas flowing in the source gas supply pipe 122 c flows into the reaction chamber 110 through the first vertical via hole 154 h 1 , the space between the surface of the body 154 g and the diaphragm 154 i , and the second vertical via hole 154 h 2 or into the bypass 116 through the first horizontal via hole 154 e .
  • the fifth gate valve 152 is turned off, the first carrier gas or the source gas flows only to the reaction chamber 110 through the first vertical via hole 154 h 1 , the space between the surface of the body 154 g and the diaphragm 154 i , and the second vertical via hole 154 h 2 .
  • the first gate valve 142 is turned off to be closed, a first outlet 132 a of the first 3-way valve 132 is open, and a first inlet 134 a and an outlet 134 b of the second 3-way valve 134 are open, so that the first carrier gas and the source gas are simultaneously supplied.
  • the fifth gate valve 152 installed at the bypass 116 is turned off and closed while the 4-way valve 154 is turned on, so that a gas flow to the bypass 116 is blocked and a gas flow is introduced to the reaction chamber 110 .
  • the source gas is supplied to the reaction chamber 110 together with the first carrier gas.
  • the purge gas is continuously supplied to the reaction chamber 110 .
  • the second carrier gas may be supplied to the reaction chamber 110 by closing the fourth gate valve 148 and opening the third gate valve 146 .
  • the first gate valve 142 is open; the first outlet 132 a of the first 3-way valve 132 is closed while a second outlet 132 b of the first 3-way valve 132 is open; and the first inlet 134 a of the second 3-way valve 134 is closed while the outlet 134 b of the second 3-way valve 134 is open, so that the supply of the reactive gas is interrupted and the first carrier gas is allowed to flow.
  • the fifth gate valve 152 installed at the bypass 116 is turned on while the 4-way valve 154 is turned off, so that the first carrier gas is discharged through the bypass 116 .
  • the source gas remaining between the second 3-way valve 134 and the 4-way valve 154 and between the 4-way valve 154 and the fifth gate valve 152 does not flow into the reaction chamber 110 but is discharged through the bypass 116 together with the first carrier gas.
  • the source gas remaining in the reaction chamber 110 without being deposited is purged by the purge gas continuously supplied to the reaction chamber 110 .
  • the second carrier gas may be continuously supplied to the reaction chamber 110 in a state where the fourth gate valve 148 is closed.
  • the first carrier gas is discharged through the bypass 116 and the purge gas is continuously supplied to the reaction chamber 110 .
  • the fourth gate valve 148 installed at the reactive gas supply line and the third gate valve 146 are open so that the reactive gas is supplied to the reaction chamber 110 together with the second carrier gas.
  • FIG. 12 is a schematic diagram illustrating an apparatus for fabricating a semiconductor device according to a third embodiment of the present invention.
  • the third embodiment is substantially similar to the first embodiment illustrated in FIGS. 5 through 8 but relates to a process of forming a multilayer or a complex layer on a substrate through ALD using different kinds of source gas. The difference between the first and third embodiments will be described below.
  • each of two source gas supply sources 122 and 222 is installed together with the first carrier gas supply line to be parallel with the source gas supply pipe 122 c and the two source gas supply sources 122 and 222 are disposed symmetrically.
  • the apparatus illustrated in FIG. 12 is designed such that one of a first source gas and a second source gas is selectively supplied using a plurality of 2-way gate valves and 3-way valves.
  • two kinds of source gas are supplied, but more than two kinds of source gas may be selectively supplied by disposing more than two source supply lines in parallel with the source gas supply pipe 122 c.
  • the carrier gas is supplied from the first carrier gas supply source 126 through the first carrier gas supply pipe 126 a to which the first source gas supply source 122 is connected in parallel through first through fourth 3-way valves 132 , 134 , 136 , and 138 .
  • An on/off valve i.e., the first 2-way gate valve 142
  • An on/off valve i.e., a second 2-way gate valve 242
  • the first source gas supply source 122 is installed between the third and fourth 3-way valves 136 and 138 to be in parallel with the second 2-way gate valve 242 .
  • the carrier gas is supplied from the first carrier gas supply source 126 through the first carrier gas supply pipe 126 a to which the second source gas supply source 222 is connected in parallel through fifth through eighth 3-way valves 232 , 234 , 236 , and 238 .
  • the fifth 3-way valve 232 is disposed between the first carrier gas supply source 126 and the first 3-way valve 132 .
  • the sixth 3-way valve 234 is disposed between the second 3-way valve 134 and the 4-way valve 150 .
  • the seventh 3-way valve 236 and the eighth 3-way valve 238 are installed at the second source gas supply line that is in parallel with the first carrier gas supply pipe 126 a .
  • An on/off valve i.e., a third 2-way gate valve 442 , is installed between the seventh and eighth 3-way valves 236 and 238 .
  • the second source gas supply source 222 is installed between the seventh and eighth 3-way valves 236 and 238 to be in parallel with the third 2-way gate valve 442 .
  • a single cycle of the source gas pulsing stage, the source gas purging stage, the reactive gas pulsing stage, and the reactive gas purging stage is performed using the first source gas, thereby depositing a thin reaction product of the first source gas and the reactive gas on a surface of a substrate.
  • the cycle is repeated using the second source gas, thereby depositing a reaction product of the second source gas and the reactive gas on the reaction product of the first source gas and the reactive gas.
  • a layer deposited on the substrate according to the third embodiment of the present invention may be represented by “A 1 B/A 2 B/A 1 B/A 2 B . . . A 1 B/A 2 B”.
  • the following describes in detail a process of depositing a reaction product of the source gas and the reactive gas on a surface of a substrate through ALD using the first and second source gases A 1 and A 2 and the reactive gas B.
  • a sequential set of a source gas pulsing stage, a source gas purging stage, a reactive gas pulsing stage, and a reactive gas purging stage is defined as one cycle and the cycles are repeated until a thin layer having a desired thickness is formed.
  • the first source gas A 1 is supplied to the reaction chamber 110 loaded with a wafer, i.e., the substrate (not shown), so that a first source gas material is attached to the surface of the substrate.
  • a second outlet 232 b of the fifth 3-way valve 232 and an outlet 234 b of the sixth 3-way valve 234 are open; the first 2-way gate valve 142 is turned off and closed; the first outlet 132 a of the first 3-way valve 132 is open; the first inlet 134 a and the outlet 134 b of the second 3-way valve 134 are open while a first outlet 136 a of the third 3-way valve 136 and an inlet 138 a of the fourth 3-way valve 138 are open; and the second 2-way gate valve 242 is turned off, so that the first carrier gas and the first source gas A 1 are supplied at the same time.
  • the fifth gate valve 152 installed at the bypass 116 is turned off while the 4-way valve 150 is turned on, so that a gas flow to the bypass 116 is blocked and a gas flow is introduced to the reaction chamber 110 .
  • the first source gas A 1 from the first source gas supply source 122 is supplied to the reaction chamber 110 together with the first carrier gas.
  • the second outlet 232 b of the fifth 3-way valve 232 and the outlet 234 b of the sixth 3-way valve 234 are open; the first 2-way gate valve 142 is turned on and is open; the first outlet 132 a of the first 3-way valve 132 is closed while the second outlet 132 b of the first 3-way valve 132 is open; and the first inlet 134 a of the second 3-way valve 134 is closed while the outlet 134 b is open, so that the supply of the first source gas A 1 is interrupted and the first carrier gas is allowed to flow.
  • the fifth gate valve 152 installed at the bypass 116 is turned on while the 4-way valve 150 is turned off, so that the first carrier gas is discharged through the bypass 116 .
  • the first source gas A 1 remaining between the second 3-way valve 134 and the 4-way valve 150 and between the 4-way valve 150 and the fifth gate valve 152 at the bypass 116 does not flow into the reaction chamber 110 but is discharged through the bypass 116 together with the first carrier gas.
  • the first source gas A 1 remaining in the reaction chamber 110 without being deposited is purged by the purge gas continuously supplied from the purge gas supply source 128 to the reaction chamber 110 .
  • the reactive gas B is supplied into the reaction chamber 110 in a state where the first source gas A 1 has been deposited on the surface of the substrate so that the first source gas A 1 reacts with part of the reactive gas B, thereby forming the reaction product A 1 B on the surface of the substrate.
  • the first carrier gas is discharged through the bypass 116 and the purge gas is continuously supplied to the reaction chamber 110 .
  • the fourth gate valve 148 installed at the reactive gas supply line and the third gate valve 146 are open so that the reactive gas B is supplied to the reaction chamber 110 together with the second carrier gas supplied from the second carrier gas supply source 130 .
  • the residues of the reactive gas B other than the reaction product A 1 B of the first source gas A 1 and the reactive gas B deposited on the surface of the substrate are removed from the reaction chamber 110 .
  • the first carrier gas is discharged through the bypass 116 and the purge gas is continuously supplied to the reaction chamber 110 .
  • the fourth gate valve 148 at the reactive gas supply line is closed to interrupt the supply of the reactive gas. As a result, only the second carrier gas is supplied to the reaction chamber 110 .
  • the second source gas A 2 is supplied to the reaction chamber 110 so that a second source gas material is attached to the surface of the substrate on which the reaction product A 1 B has been formed.
  • the first outlet 132 a of the first 3-way valve 132 and the first gate valve 142 are closed; a first outlet 232 a of the fifth 3-way valve 232 is open; and a first outlet 236 a of the seventh 3-way valve 236 is open.
  • the first carrier gas and the second source gas A 2 are simultaneously supplied to the reaction chamber 110 through the eighth 3-way valve 238 and the sixth 3-way valve 234 and through analogous open/closed states of valves as those in the first source gas pulsing stage.
  • a second source gas purging stage, the reactive gas pulsing stage, and the reactive gas purging stage are performed in the same manner as described above with respect to the first source gas, thereby forming the reaction product A 2 B on the reaction product A 1 B.
  • ALD is performed in the order of A 1 B, A 2 B, A 1 B, A 2 B . . . .
  • FIG. 13 is a schematic diagram illustrating an apparatus for fabricating a semiconductor device according to a fourth embodiment of the present invention.
  • different kinds of source gases are supplied to the reaction chamber 110 through the one source gas supply pipe 122 c and the one 4-way valve 150 .
  • the one purge gas supply pipe 128 a is used to supply different kinds of source gases
  • the different kinds of source gases are separately supplied to the reaction chamber 110 through first and second source gas pipes 122 c and 222 c and first and second 4-way valves 150 and 250 .
  • the carrier gas is supplied from the first carrier gas supply source 126 through the first carrier gas supply pipe 126 a to which the first source gas supply source 122 is connected in parallel through first through fourth 3-way valves 132 , 134 , 136 , and 138 .
  • An on/off valve i.e., the first 2-way gate valve 142
  • An on/off valve i.e., a second 2-way gate valve 143
  • the first source gas supply source 122 is installed between the third and fourth 3-way valves 136 and 138 to be in parallel with the second 2-way gate valve 143 .
  • a first source gas from the first source gas supply source 122 is connected to the first 4-way valve 150 .
  • a carrier gas is supplied from a second carrier gas supply source 226 through a second carrier gas supply pipe 226 a to which the second source gas supply source 222 is connected in parallel through the fifth through eighth 3-way valves 232 , 234 , 236 , and 238 .
  • An on/off valve i.e., the third 2-way gate valve 242
  • An on/off valve i.e., a fourth 2-way gate valve 243
  • the second source gas supply source 222 is installed between the seventh and eighth 3-way valves 236 and 238 in parallel with the fourth 2-way gate valve 243 .
  • a second source gas from the second source gas supply source 222 is connected to the second 4-way valve 250 .
  • the first and second 4-way valves operate according to the same principle as that used in the first embodiment.
  • the purge gas is supplied from the purge gas supply source 128 to the reaction chamber 110 through the purge gas supply pipe 128 a .
  • the first 4-way valve 150 is installed at a junction of the purge gas supply pipe 128 a and the first source gas supply pipe 122 c .
  • the second 4-way valve 250 is installed at a junction of the purge gas supply pipe 128 a and the second source gas supply pipe 222 c .
  • the second gate valve 144 is installed between the purge gas supply source 128 and the second 4-way valve 250 .
  • gate valves 152 and 252 are installed at the bypass 116 connected with the first and second 4-way valves 150 and 250 .
  • a reactive gas supply line in the fourth embodiment is the same as those in the above-described embodiments.
  • the following describes in detail a process of depositing a reaction product of the source gas and the reactive gas on a surface of a substrate through ALD using the first and second source gases A 1 and A 2 and the reactive gas B, according to the fourth embodiment of the present invention.
  • the first source gas A 1 is supplied through the source gas supply pipe 122 c in the same manner as that used in the third embodiment in a state where an inlet 250 c of the second 4-way valve 250 is turned off.
  • a first source gas purging stage, a reactive gas pulsing stage, and a reactive gas purging stage are performed in the same manner as that used in the third embodiment, thereby forming a reaction product A 1 B on the surface of the substrate.
  • the first source gas line is blocked and a second source gas line is open to perform a second source gas pulsing stage.
  • the inlet 150 c of the first 4-way valve 150 is closed and the inlet 250 c of the second 4-way valve 250 is open.
  • a second source gas purging stage, the reactive gas pulsing stage, and the reactive gas purging stage are performed in the same manner as that performed with respect to the first source gas A 1 , thereby performing ALD in order of A 1 B, A 2 B, A 1 B, A 2 B, . . . .
  • FIG. 14 is a schematic diagram illustrating an apparatus for fabricating a semiconductor device according to a fifth embodiment of the present invention.
  • An operating principle of the 4-way valve 154 in the fifth embodiment is the same as that in the second embodiment.
  • the fifth embodiment is the same as the third embodiment in that different kinds of source gases are selectively supplied through the single source gas supply pipe 122 c . Thus, a detailed description of the fifth embodiment will not be repeated.
  • FIG. 15 is a schematic diagram illustrating an apparatus for fabricating a semiconductor device according to a sixth embodiment of the present invention.
  • An operating principle of the first and second 4-way valve 154 and 254 in the sixth embodiment is the same as that in the second embodiment.
  • the sixth embodiment is the same as the fourth embodiment in that different kinds of source gases are selectively supplied through different source gas supply lines, respectively. Thus, a detailed description of the sixth embodiment will not be repeated.
  • a complex layer is formed on a substrate through ALD using two kinds of source gases.
  • more than two kinds of source gases may be selectively supplied when necessary.
  • at least two kinds of reactive gases may be selectively used.
  • a reactive gas supply line may use the same gas valve system as a source gas supply line.
  • an ALD cycle using a first source gas alternates with an ALD cycle using a second source gas.
  • a plurality of ALD cycles using the first source gas may be performed to form a first thin layer to a predetermined thickness
  • a plurality of ALD cycles using the second source gas may be performed to form a second thin layer to a predetermined thickness on the first thin layer.
  • a layer deposited on a substrate according to embodiments of the present invention may have diverse structures represented by “A 1 B 1 /A 2 B 2 /A 1 B 1 /A 2 B 2 . . . A 1 B 1 /A 2 B 2 ”, “A 1 B 1 /A 1 B 2 /A 1 B 1 /A 1 B 2 . . .
  • a 1 B 1 /A 1 B 2 “A 1 B 1 /A 1 B 1 . . . /A 1 B 1 /A 2 B 2 /A 2 B 2 . . . A 2 B 2 ”, etc. according to a combination of a source gas and a reactive gas.
  • a stickier source gas among the first and second source gases may be supplied through a source gas supply line nearer to the reaction chamber 110 .
  • ZrO 2 is stickier than HfO 2 and HfO 2 is stickier than Al 2 O 3 .
  • HfO layers and AlO layers were formed using ALD according to the first embodiment.
  • Table 1 shows the characteristics of the layers.
  • case (1) and (2) denote cases where a HfO layer is formed using ALD: case (1) is a result of performing 100 cycles of source gas pulsing (0.3 seconds)/source gas purging (0.5 seconds)/oxygen pre-pulsing (0.2 seconds)/oxygen plasma (0.1 second)/oxygen purging (0.2 seconds); and case (2) is a result of additionally performing a cycle that does not supply a source gas between cycles that supply the source gas.
  • the result (2) proves that dead volume does not occur and uniformity is not degraded in the valve system according to the present invention.
  • results (3) and (4) of forming an AlO layer using ALD also prove that dead volume does not occur in the valve system according to the present invention.
  • a source gas remaining in a supply pipe of a source gas supply line is not allowed to flow into a reaction chamber but is discharged through a bypass, thereby preventing dead volume. Therefore, an additional load of purging dead volume occurring in conventional technology is eliminated. As a result, fabrication of semiconductor devices can be performed more reliably.

Abstract

An apparatus and method for fabricating a semiconductor device using a 4-way valve with improved purge efficiency by improving a gas valve system by preventing dead volume from occurring are provided. The apparatus includes a reaction chamber in which a substrate is processed to fabricate a semiconductor device; a first processing gas supply pipe supplying a first processing gas into the reaction chamber; a 4-way valve having a first inlet, a second inlet, a first outlet, and a second outlet and installed at the first processing gas supply pipe such that the first inlet and the first outlet are connected to the first processing gas supply pipe; a second processing gas supply pipe connected to the second inlet of the 4-way valve to supply a second processing gas; a bypass connected to the second outlet of the 4-way valve; and a gate valve installed at the bypass.

Description

    CROSS-REFERENCE TO RELATED PATENT APPLICATIONS
  • This application is a divisional application of U.S. patent application Ser. No. 11/321,491, filed on Dec. 29, 2005, which claims the benefit of Korean Patent Application No. 10-2005-0005074, filed on Jan. 19, 2005, and Korean Patent Application No. 10-2005-0076968, filed on Aug. 22, 2005, in the Korean Intellectual Property Office, the contents of which are incorporated herein in their entirety by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates to an apparatus for fabricating a semiconductor device, and more particularly, to an apparatus for fabricating a semiconductor device using a 4-way valve with improved purge efficiency by improving a valve system for gas supplied to a reaction chamber, a method of controlling the 4-way valve, and a method of fabricating a semiconductor device using the apparatus.
  • 2. Description of the Related Art
  • Semiconductor devices are fabricated by repeatedly performing processes such as deposition and patterning of a thin layer on a surface of a substrate, i.e., a wafer. Deposition and patterning of a thin layer is usually performed in a semiconductor process module. A semiconductor process module has a configuration that differs depending on a process to be performed in fabrication of a semiconductor device, but it fundamentally includes a reaction chamber defining a reaction area in which a wafer is loaded and hermetically sealed and a valve system which supplies a gas material to the reaction chamber.
  • Chemical vapor deposition (CVD) or atomic layer deposition (ALD) are usually used to deposit a thin film on a wafer through a chemical reaction of a gas material. Unlike physical deposition using sputtering, CVD and ALD are similar to each other in that they use chemical reaction between two or more gas materials. However, in CVD, multiple gas materials are simultaneously supplied to a reaction area in a reaction chamber including a wafer so that a reaction product is deposited onto a surface of the wafer from above. In contrast, in ALD, multiple gas materials are sequentially supplied to the reaction area in the reaction chamber so that chemical reaction between the gas materials is limited to only the surface of the wafer.
  • Despite a disadvantage that the ALD is slow in deposition since the chemical reaction is limited to only the surface of a wafer, ALD is essential to fabrication of a dielectric layer, a diffusion preventing layer, a gate dielectric layer, etc., for a memory capacitor that requires a high-purity and high-uniformity thin film. ALD is advantageous in that deposition and thickness of a thin film whose thickness is decreased with the micronization of a semiconductor device can be controlled precisely.
  • Due to the characteristics of the ALD, a purge process of removing gas remaining in a reaction chamber before and after a gas material is supplied to the reaction chamber when gas materials are sequentially supplied is mandatory.
  • FIG. 1 is a schematic diagram illustrating a gas valve system of a conventional ALD apparatus in which ALD is performed. FIG. 2 is an enlarged view of a part of the gas valve system in which dead volume (DV) occurs. FIG. 3 is a cross sectional view of the part shown in FIG. 2, taken along the line AA′, in which a 2-way valve is closed. FIG. 4 is a cross sectional view of the part shown in FIG. 2, taken along the line AA′, in which the 2-way valve is open.
  • The conventional ALD apparatus and ALD using the same will be described briefly with reference to FIGS. 1 through 4.
  • Referring to FIG. 1, a source gas supply source 22, a reactive gas supply source 24, a purge gas supply source 28, a first carrier gas supply source 26, and a second carrier gas supply source 30 supply a source gas S1, a reactive gas S2, a purge gas P2, and carrier gases P1 and P3, e.g., argon gases, respectively, to a reaction chamber 10 via a source gas supply pipe 22 a, a reactive gas supply pipe 24 a, a purge gas supply pipe 28 a, a first carrier gas supply pipe 26 a, and a second carrier gas supply pipe 30 a, respectively.
  • A discharge pump 12 is installed at the back of the reaction chamber 10 to control the inner pressure of the reaction chamber 10. A throttle valve 14 is installed between the reaction chamber 10 and the discharge pump 12 to maintain the inner pressure of the reaction chamber 10 constant.
  • In a source gas supply line, the first carrier gas supply pipe 26 a is connected to and extended from the first carrier gas supply source 26 to supply the carrier gas P1. The source gas supply source 22 is connected in parallel through first and second 3- way valves 32 and 34. An on/off valve, i.e., a first 2-way gate valve 42 is installed between the first and second 3- way valves 32 and 34. A bypass 16 is connected to the first carrier gas supply pipe 26 a in the back of the second 3-way valve 34 through a third 3-way valve 36. An end of the bypass 16 is connected between the throttle valve 14 and the discharge pump 12 on a discharge pipe 13. An end of the first carrier gas supply pipe 26 a is connected to the purge gas supply pipe 28 a through a fourth 3-way valve 38.
  • In a purge gas supply line, the purge gas P2 is supplied from the purge gas supply source 28 to the reaction chamber 10 through the purge gas supply pipe 28 a. The fourth 3-way valve 38 is installed at a junction of the purge gas supply pipe 28 a and the first carrier gas supply pipe 26 a. A second gate valve 44 is installed between the purge gas supply source 28 and the fourth 3-way valve 38.
  • In a reactive gas supply line, the carrier gas P3 is supplied from the second carrier gas supply source 30 to the reaction chamber 10 through the second carrier gas supply pipe 30 a and the reactive gas S2 is supplied from the reactive gas supply source 24 to the reaction chamber 10 through the reactive gas supply pipe 24 a and the second carrier gas supply pipe 30 a to which the reactive gas supply pipe 24 a is connected. A third gate valve 46 is installed between the reaction chamber 10 and the junction of the reactive gas supply pipe 24 a and the second carrier gas supply pipe 30 a. A fourth gate valve 48 is installed between the junction and the reactive gas supply source 24.
  • The open/closed state of the inlet and outlet of the third and fourth 3- way valves 36 and 38 will be described with reference to FIGS. 2 through 4. Unlike FIGS. 2 through 4, FIG. 1 just functionally illustrates the inlet and outlet of the third and fourth 3- way valves 36 and 38 according to a flow direction of supplied gas.
  • The third and fourth 3- way valves 36 and 38 are diaphragm valves. A flow of a gas material according to on/off of the third 3-way valve 36 will be described. The third 3-way valve 36 installed at the junction of the first carrier gas supply pipe 26 a and the bypass 16 includes a first vertical via hole 36 h 1, which is vertically connected to the first carrier gas supply pipe 26 a penetrating straight through a body 36c, and a second vertical via hole 36 h 2 which is vertically connected to an end of the bypass 16. A diaphragm 36 e moved up and down by a pressure is installed above a surface of the body 36 c through which the first and second vertical via holes 36 h 1 and 36 h 2 are exposed within a housing 36 d to define a predetermined space.
  • When the third 3-way valve 36 is turned off, that is, when the diaphragm 36 e moves downward and closely contacts the surface of the body 36 c to close the first and second vertical via holes 36 h 1 and 36 h 2, as shown in FIG. 3, the first carrier gas supply pipe 26 a is open and enables the first carrier gas P1 or the source gas S2 to flow to the fourth 3-way valve 38, but a gas flow to the bypass 16 is blocked.
  • When the third 3-way valve 36 is turned on, that is, when the diaphragm 36 e moves upward and is separated from the surface of the body 36 c to open the first and second vertical via holes 36 h 1 and 36 h 2, as shown in FIG. 4, the first carrier gas supply pipe 26 a is open and enables the first carrier gas P1 or the source gas S2 to flow to the fourth 3-way valve 38, and simultaneously, a gas material flowing out through the first vertical via hole 36 h 1 passes through a space between the surface of the body 36 c and the diaphragm 36 e and flows into the bypass 16 through the second vertical via hole 36 h 2.
  • Referring to FIGS. 1 through 4, regardless of the on/off state of the third 3-way valve 36, a second outlet 36 b of the third 3-way valve 36 is open. Accordingly, whether the first carrier gas P1 or the source gas 51 is supplied to the reaction chamber 10 through the third and fourth 3- way valves 36 and 38 depends on whether an inlet 38 b of the fourth 3-way valve 38 is open or closed. As a result, when the inlet 38 b of the fourth 3-way valve 38 is closed, the first carrier gas P1 or the source gas S1 does not flow to the fourth 3-way valve 38 but flows into the bypass 16 even when the second outlet 36 b of the third 3-way valve 36 is open.
  • A process of depositing a reaction product S1+S2 to form a thin film on a surface of a substrate using ALD using the source gas 51 and the reactive gas S2 will be described below.
  • In a source gas pulsing stage, the source gas S1 is supplied to the reaction chamber 10 loaded with a wafer, i.e., the substrate (not shown) so that a source gas material is attached to a surface of the substrate. Here, the first gate valve 42 is turned off to be closed; a first outlet 32 a of the first 3-way valve 32 is open; an inlet 34 a and an outlet 34 b of the second 3-way valve 34 are open; a first outlet 36 a of the third 3-way valve 36 toward the bypass 16 is closed; the second outlet 36 b of the third 3-way valve 36 is open; and the inlet 38 b and an outlet 38 a of the fourth 3-way valve 38 are open. Accordingly, the source gas S1 is supplied to the reaction chamber 10 together with the first carrier gas P1. Meanwhile, the purge gas P2 is continuously supplied to the reaction chamber 10 and the second carrier gas P3 is also supplied to the reaction chamber 10 in a state where the fourth gate valve 48 is closed. Generally, in a 3-way valve, when one flow path is closed, another flow path is open.
  • Thereafter, in a source gas purging stage, source gas residues that are not attached to the surface of the substrate are removed from the reaction chamber 10.
  • Here, the first gate valve 42 is open; the first outlet 32 a of the first 3-way valve 32 is closed (when a second outlet 32 b of the first 3-way valve 32 is open according to the characteristic of a 3-way valve); the inlet 34 a of the second 3-way valve 34 is closed (when the outlet 34 b of the second 3-way valve 34 is open); the first outlet 36 a of the third 3-way valve 36 toward the bypass 16 is open (when a second outlet 36 b of the third 3-way valve 36 is open); and the inlet 38 b of the fourth 3-way valve 38 is closed (when the outlet 38 a of the fourth 3-way valve 38 is open). Accordingly, the residues of the source gas S1 within the supply pipes flow to the bypass 16 together with the first carrier gas P1 and the residues of the source gas S1 within the reaction chamber 10 purged by the purge gas P2 continuously supplied to the reaction chamber 10. Here, the second carrier gas P3 is also supplied to the reaction chamber 10 in a state where the fourth gate valve 48 is closed.
  • Subsequently, in a reactive gas pulsing stage, the reactive gas S2 is supplied into the reaction chamber 10 in a state where the source gas S1 has been deposited on the surface of the substrate so that the source gas S1 reacts with part of the reactive gas S2, thereby forming a reaction product on the surface of the substrate. Here, a supply line for the first carrier gas P1 and the purge gas P2 is the same as that in the source gas purging stage, with the exception that the fourth gate valve 48 is open so that the reactive gas S2 is supplied into the reaction chamber 10 together with the second carrier gas P3. Meanwhile, the purge gas P2 is continuously supplied into the reaction chamber 10.
  • Subsequently, in a reactive gas purging stage, the residues of the reactive gas S2 other than the reaction product of the source gas S1 and the reactive gas S2 deposited on the surface of the substrate are removed from the reaction chamber 10. Here, a supply line for the first carrier gas P1 and the purge gas P2 is the same as that in the source gas purging stage. The fourth gate valve 48 is closed and only the second carrier gas P3 is supplied to the reaction chamber 10.
  • As described above, when one cycle of the source gas pulsing stage, the source gas purging stage, the reactive gas pulsing stage, and the reactive gas purging stage is performed, the reaction product of the source gas 51 and the reactive gas S2 is deposited to be very thin on the surface of the substrate. Several or several thousands of cycles may be performed to form a desired thin layer on the surface of the substrate.
  • However, the conventional ALD apparatus has a problem in that dead volume (DV), in which purging is not performed and a source gas material is stagnant between valves, occurs. In FIG. 2, a hatched portion between the third 3-way valve 36 and the fourth 3-way valve 38 corresponds to a DV portion. In detail, when source gas purging starts after source gas pulsing in which the source gas S1 is supplied to the reaction chamber 10 through the third 3-way valve 36 and the fourth 3-way valve 38, as described above, supply of the source gas S1 is interrupted and the first carrier gas P1 is discharged through the bypass 16. Here, the source gas S1 remains in the portion of the first carrier gas supply pipe 26 a corresponding to the DV portion between the third 3-way valve 36 and the fourth 3-way valve 38. The remaining source gas is still stagnant in the first carrier gas supply pipe 26 a during the succeeding reactive gas pulsing and purging stages. Only after a single ALD cycle is completed, the remaining source gas in the DV portion flows into the reaction chamber 10 when the outlet 38 b of the fourth 3-way valve 38 is open in the source gas pulsing stage in a subsequent cycle.
  • When a gas material such as a source gas is stagnant in a DV portion for a long time, degradation occurs and an additional dummy process of removing the remaining source gas is required. In particular, when a dielectric layer or a complex layer, which includes multiple layers made of different materials, is formed using the conventional ALD apparatus, different source gas materials may react with each other in the DV portion, thereby generating unnecessary particles. As a result, a thin film formed through the ALD may have defects or low uniformity.
  • The source gas material remaining in the DV portion may be slowly diffused and discharged, but it is not completely removed even after several minutes. Taking into account that an ALD cycle takes several seconds, it is very difficult to perform ALD using different kinds of source gas without purging and removing the source gas remaining in the DV portion.
  • SUMMARY OF THE INVENTION
  • The present invention provides an apparatus for fabricating a semiconductor device, by which purge efficiency is increased by preventing dead volume from occurring in a gas valve system.
  • The present invention also provides a method of controlling a valve in the apparatus for fabricating a semiconductor device, by which purge efficiency is increased by preventing dead volume from occurring in a gas valve system.
  • The present invention also provides a method of fabricating a semiconductor device, by which purge efficiency is increased by preventing dead volume from occurring in a gas valve system of the apparatus.
  • According to a first aspect of the present invention, there is provided an apparatus for fabricating a semiconductor device. The apparatus includes a reaction chamber in which a substrate is processed to fabricate a semiconductor device; a first processing gas supply pipe supplying a first processing gas into the reaction chamber; a 4-way valve having a first inlet, a second inlet, a first outlet, and a second outlet and installed at the first processing gas supply pipe such that the first inlet and the first outlet are connected to the first processing gas supply pipe; a second processing gas supply pipe connected to the second inlet of the 4-way valve to supply a second processing gas; a bypass connected to the second outlet of the 4-way valve; and a gate valve installed at the bypass.
  • According to a second aspect of the present invention, there is provided an apparatus for fabricating a semiconductor device. The apparatus includes a reaction chamber in which a substrate is processed to fabricate a semiconductor device; a purge gas supply pipe connected to the reaction chamber to supply a purge gas to the reaction chamber; a 4-way valve having a first inlet, a second inlet, a first outlet, and a second outlet and installed at the purge gas supply pipe such that the first inlet and the first outlet are connected to the purge gas supply pipe; a source gas supply pipe connected to the second inlet of the 4-way valve to supply a source gas to the reaction chamber; a first carrier gas supply pipe connected to the source gas supply pipe; a reactive gas supply pipe connected to the reaction chamber to supply a reactive gas to the reaction chamber; a second carrier gas supply pipe connected to the reactive gas supply pipe; a discharge pipe connected to the reaction chamber to discharge gas from the reaction chamber; a discharge pump installed at the discharge pipe; a bypass connected to the second outlet of the 4-way valve and to the discharge pipe in front of the discharge pump; and a gate valve installed at the bypass.
  • According to a third aspect of the present invention, there is provided a method of controlling a valve of the apparatus according to the first aspect of the present invention. The method includes closing the gate valve installed at the bypass and opening the 4-way valve while the second processing gas is supplied to the reaction chamber, and opening the gate valve and closing the 4-way valve while supply of the second processing gas to the reaction chamber is interrupted.
  • According to a fourth aspect of the present invention, there is provided a method of controlling a valve of the apparatus according to the second aspect of the present invention. The method includes closing the gate valve installed at the bypass and opening the 4-way valve while the source gas is supplied to the reaction chamber, and opening the gate valve and closing the 4-way valve while supply of the source gas to the reaction chamber is interrupted.
  • According to a fifth aspect of the present invention, there is provided a method of fabricating a semiconductor device using the apparatus according to the second aspect of the present invention. The method includes loading the substrate into the reaction chamber, attaching a source gas material to the substrate by supplying the source gas to the reaction chamber, purging a source gas material that is not attached to the substrate by supplying the purge gas to the reaction chamber, forming a first reaction product layer on the substrate by supplying the reactive gas to the reaction chamber to allow the reactive gas to react with the source gas material attached to the substrate, and purging the reactive gas that has not reacted with the source gas material by supplying the purge gas to the reaction chamber.
  • According to a sixth aspect of the present invention, there is provided an apparatus for fabricating a semiconductor device. The apparatus includes a reaction chamber in which a substrate is processed to fabricate a semiconductor device; a purge gas supply pipe connected to the reaction chamber to supply a purge gas to the reaction chamber; a first 4-way valve having a first inlet, a second inlet, a first outlet, and a second outlet and installed at the purge gas supply pipe such that the first inlet and the first outlet are connected to the purge gas supply pipe; a second 4-way valve having a first inlet, a second inlet, a first outlet, and a second outlet and installed at the purge gas supply pipe such that the first inlet and the first outlet are connected to the purge gas supply pipe and that the second 4-way valve is connected to the first 4-way valve in series; a first source gas supply pipe and a second source gas supply pipe respectively connected to the second inlets of the respective first and second 4-way valves to supply a source gas to the reaction chamber; first carrier gas supply pipes respectively connected to the first and second source gas supply pipes; a reactive gas supply pipe connected to the reaction chamber to supply a reactive gas to the reaction chamber; a second carrier gas supply pipe connected to the reactive gas supply pipe; a discharge pipe connected to the reaction chamber to discharge gas from the reaction chamber; a discharge pump installed at the discharge pipe; a bypass comprising two branches respectively connected to the second outlets of the respective first and second 4-way valves and an end connected to the discharge pipe in front of the discharge pump; and gate valves installed at the two branches, respectively, of the bypass.
  • According to a seventh aspect of the present invention, there is provided a method of fabricating a semiconductor device using the apparatus according to the sixth aspect of the present invention. The method includes loading the substrate into the reaction chamber, attaching a source gas material to the substrate by selectively supplying one of the first and second source gases to the reaction chamber, purging a source gas material that is not attached to the substrate by supplying the purge gas to the reaction chamber, forming a first reaction product layer on the substrate by supplying the reactive gas to the reaction chamber to allow the reactive gas to react with the source gas material attached to the substrate, and purging the reactive gas that has not reacted with the source gas material by supplying the purge gas to the reaction chamber.
  • According to the present invention, a 4-way valve is formed at a junction of a purge gas supply pipe and a source gas supply pipe and a bypass is connected to one outlet of the 4-way valve so that dead volume caused by the stagnation of a source gas is prevented. Even when the dead volume occurs, a gas material stagnant in a dead volume portion is not supplied to a reaction chamber but is discharged through the bypass. Accordingly, purge efficiency is increased and reliable semiconductor devices can be fabricated.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The foregoing and other objects, features and advantages of the invention will be apparent from the more particular description of preferred aspects of the invention, as illustrated in the accompanying drawings in which like reference characters refer to the same parts throughout the different views. The drawings are not necessarily to scale, emphasis instead being placed upon illustrating the principles of the invention.
  • FIG. 1 is a schematic diagram illustrating a gas valve system of a conventional apparatus for fabricating a semiconductor device.
  • FIG. 2 is an enlarged view of a part of the gas valve system in which dead volume (DV) occurs.
  • FIG. 3 is a cross sectional view of the part shown in FIG. 2, taken along the line AA′, in which a 2-way valve is closed.
  • FIG. 4 is a cross sectional view of the part shown in FIG. 2, taken along the line AA′, in which the 2-way valve is open.
  • FIG. 5 is a schematic diagram illustrating an apparatus for fabricating a semiconductor device according to a first embodiment of the present invention.
  • FIG. 6 is an enlarged view of an essential part of the apparatus illustrated in FIG. 5.
  • FIG. 7 is a cross sectional view of the part shown in FIG. 6, taken along the line CC′, in which a 2-way valve is closed.
  • FIG. 8 is a cross sectional view of the part shown in FIG. 6, taken along the line DD′, in which a 4-way valve is closed.
  • FIG. 9 is a schematic diagram illustrating an apparatus for fabricating a semiconductor device according to a second embodiment of the present invention.
  • FIG. 10 is an enlarged view of an essential part of the apparatus illustrated in FIG. 9.
  • FIG. 11 is a cross sectional view of the part shown in FIG. 9, taken along the line EE′, in which a 4-way valve is closed.
  • FIG. 12 is a schematic diagram illustrating an apparatus for fabricating a semiconductor device according to a third embodiment of the present invention.
  • FIG. 13 is a schematic diagram illustrating an apparatus for fabricating a semiconductor device according to a fourth embodiment of the present invention.
  • FIG. 14 is a schematic diagram illustrating an apparatus for fabricating a semiconductor device according to a fifth embodiment of the present invention.
  • FIG. 15 is a schematic diagram illustrating an apparatus for fabricating a semiconductor device according to a sixth embodiment of the present invention.
  • DETAILED DESCRIPTION OF THE INVENTION
  • The present invention can be applied to any equipment that fundamentally supplies a gas material into a reaction chamber and performs semiconductor fabrication processes in the reaction chamber using the gas material. Accordingly, the present invention can be widely used in deposition equipment such as chemical vapor deposition (CVD) or atomic layer deposition (ALD) equipment and etching equipment. The following exemplary embodiments of the present invention are described in connection with ALD, but the invention is applicable to other processes.
  • FIG. 5 is a schematic diagram illustrating an apparatus for fabricating a semiconductor device according to a first embodiment of the present invention. FIG. 6 is an enlarged view of a part of the apparatus illustrated in FIG. 5. FIG. 7 is a cross sectional view of the part shown in FIG. 6, taken along the line CC′, in which a 2-way valve is closed. FIG. 8 is a cross sectional view of the part shown in FIG. 6, taken along the line DD′, in which a 4-way valve is closed.
  • The apparatus for fabricating a semiconductor device, a valve control method, and a method of fabricating a semiconductor device using the apparatus, according to the first embodiment of the present invention will be described in detail with reference to FIGS. 5 through 8.
  • Referring to FIG. 5, a source gas supply source 122, a reactive gas supply source 124, a purge gas supply source 128, a first carrier gas supply source 126, and a second carrier gas supply source 130 supply a source gas, a reactive gas, a purge gas, and first and second carrier gases, respectively, to a reaction chamber 110 via a source gas supply pipe 122 a, a reactive gas supply pipe 124 a, a purge gas supply pipe 128 a, a first carrier gas supply pipe 126 a, and a second carrier gas supply pipe 130 a, respectively. Here, the source gas and the reactive gas used to form materials using ALD, and particularly, oxide materials such as SiO2, Al2O3, Ta2O5, and HfO2 and nitride materials such as SiN, TiN, and TaN, may be appropriately selected. For example, to form alumina (Al2O3) using ALD, trimethylaluminum (TMA) may be used as the source gas and H2O may be used as the reactive gas. According to a material to be formed, hydrogen plasma may be used as the reactive gas or oxygen plasma instead of H2O may be used as a source of oxygen. Argon gases are used as the purge gas and the first and second carrier gases in this embodiment, but various gases may be used.
  • In the drawings and the following descriptions, fundamentally, a pipe section through which the source gas is supplied from the source gas supply source 122 to the reaction chamber 110 is referred to as the source gas supply pipe 122 a; a pipe section through which the reactive gas is supplied from the reactive gas supply source 124 to the reaction chamber 110 is referred to as the reactive gas supply pipe 124 a; a pipe section through which the purge gas is supplied from the purge gas supply source 128 to the reaction chamber 110 is referred to as the purge gas supply pipe 128 a; a pipe section through which the first carrier gas is supplied from the first carrier gas supply source 126 to the reaction chamber 110 is referred to as the first carrier gas supply pipe 126 a; and a pipe section through which the second carrier gas is supplied from the second carrier gas supply source 130 to the reaction chamber 110 is referred to as the second carrier gas supply pipe 130 a. However, since two or more gases may be supplied through a single pipe, the pipe may have two or more reference names in the following description.
  • In addition, although the reaction chamber 110 is not specifically illustrated, it is designed such that a substrate, i.e., a wafer, used to fabricate semiconductor devices is loaded and landed therein. The reaction chamber 110 may be a single wafer type or batch type reaction chamber and may be combined with a device for inducing plasma within the reaction chamber 110. A discharge pump 112 is installed in the back of the reaction chamber 110 to control an inner pressure of the reaction chamber 110. A throttle valve 114 is installed between the reaction chamber 110 and the discharge pump 112 to maintain the inner pressure of the reaction chamber 110 constant.
  • In a source gas supply line, the first carrier gas supply pipe 126 a is connected to the first carrier gas supply source 126 to supply the carrier gas. The source gas supply source 122 is connected to the first carrier gas supply pipe 126 a in parallel through first and second 3- way valves 132 and 134. An on/off valve, i.e., a first gate valve 142 is installed between the first and second 3- way valves 132 and 134. A source gas supply pipe 122 c in the back of the second 3-way valve 134 is connected with the purge gas supply pipe 128 a through first and second inlets 150 c and 150 d of a 4-way valve 150. In FIG. 5, the 4-way valve 150 is illustrated functionally and includes the first and second inlets 150 c and 150 d through which a gas material flows in and first and second outlets 150 a and 150 b through which a gas material flows out. The second outlet 150 b of the 4-way valve 150 is connected to the reaction chamber 110 and the first outlet 150 a of the 4-way valve 150 is connected to a bypass 116. Another end of the bypass 116 is connected to a discharge pipe 113 between the throttle valve 114 and the discharge pump 112. A fifth gate valve 152 is installed at the bypass 116. According to the on/off state of the first gate valve 142, the first carrier gas or the source gas is supplied to the reaction chamber 110 or the bypass 116 via the 4-way valve 150.
  • In a purge gas supply line, the purge gas is supplied from the purge gas supply source 128 to the reaction chamber 110 through the purge gas supply pipe 128 a. The 4-way valve 150 is installed at a junction of the purge gas supply pipe 128 a and the first carrier gas supply pipe 126 a. A second gate valve 144 is installed between the purge gas supply source 128 and the 4-way valve 150.
  • In a reactive gas supply line, the second carrier gas is supplied from the second carrier gas supply source 130 to the reaction chamber 110 through the second carrier gas supply pipe 130 a, and the reactive gas is supplied from the reactive gas supply source 124 to the reaction chamber 110 through the reactive gas supply pipe 124 a and the second carrier gas supply pipe 130 a to which the reactive gas supply pipe 124 a is connected. A third gate valve 146 is installed between the reaction chamber 110 and the junction of the reactive gas supply pipe 124 a and the second carrier gas supply pipe 130 a. A fourth gate valve 148 is installed between the junction and the reactive gas supply source 124.
  • The structure of the 4-way valve 150 and the fifth gate valve 152 and the open/closed states of their inlets and outlets will be described with reference to FIGS. 5 through 8. FIG. 5 functionally illustrates the inlets and outlets of the 4-way valve 150 and the fifth gate valve 152 according to a flow direction of supplied gas. FIGS. 6 through 8 specifically illustrate the 4-way valve 150 and the fifth gate valve 152.
  • The 4-way valve 150 and the fifth gate valve 152 are diaphragm valves in the embodiment of the present invention, but the present invention is not restricted thereto. A flow of a gas material according to on/off states of the 4-way valve 150 and the fifth gate valve 152 will be described.
  • As illustrated in FIG. 7, the fifth gate valve 152 installed at the bypass 116 does not allow the bypass 116 to directly penetrate the fifth gate valve 152 in a straight line but includes first and second vertical via holes 152 h 1 and 152 h 2 to be vertically connected to the bypass 116 side by side. The first and second vertical via holes 152 h 1 and 152 h 2 extend to a top surface of a body 152 a. A diaphragm 152 c moved up and down by pressure is installed above the top surface of the body 152 a through which the first and second vertical via holes 152 h 1 and 152 h 2 are exposed within a housing 152 b to define a predetermined space.
  • When the fifth gate valve 152 is turned off, that is, when the diaphragm 152 c moves downward and closely contacts the surface of the body 152 a to close the first and second vertical via holes 152 h 1 and 152 h 2, as shown in FIG. 7, a flow of a gas material through the bypass 116 is blocked. When the fifth gate valve 152 is turned on, that is, when the diaphragm 152 c moves upward and is separated from the surface of the body 152 a to open the first and second vertical via holes 152 h 1 and 152 h 2, a gas material can flow through the bypass 116. That is, a gas material flowing into the bypass 116 via the first outlet 150 a of the 4-way valve 150 flows out through the second vertical via hole 152 h 2, passes through a space between the top surface of the body 152 a and the diaphragm 152 c, and flows into the bypass 116 again via the first vertical via hole 152 h 1.
  • As shown in FIGS. 5, 6, and 8, the 4-way valve 150 includes a first horizontal via hole 128 b connecting the second inlet 150 d and the second outlet 150 b, a first vertical via hole 150 h 1 extending from the middle of the first horizontal via hole 128 b to a top surface of a body 150 e, a third vertical via hole 150 h 3 communicating with the first inlet 150 c connected with the source gas supply pipe 122 c, a second vertical via hole 150 h 2 communicating with the first outlet 150 a connected with the bypass 116, and a second horizontal via hole 151 connecting the upper portion of the second vertical via hole 150 h 2 and the upper portion of the third vertical via hole 150 h 3. A housing 150 f is formed above the body 150 e of the 4-way valve 150 to define a predetermined space. A diaphragm 150 g which can be moved up and down is installed within the space defined by the housing 150 f.
  • As illustrated in FIG. 8, when the 4-way valve 150 is turned off, that is, when the diaphragm 150 g moves down and closely contacts the top surface of the body 150 e to close the upper ends of the first through third vertical via holes 150 h 1 through 150 h 3, the purge gas is supplied to the reaction chamber 110 via the first horizontal via hole 128 b, and the source gas or the first carrier gas flowing in the source gas supply pipe 122 c flows into the bypass 116 via the third vertical via hole 150 h 3, the second horizontal via hole 151, and the second vertical via hole 150 h 2. When the 4-way valve 150 is turned on, that is, when the diaphragm 150 g moves up and is separated from the top surface of the body 150 e to open the upper ends of the first through third vertical via holes 150 h 1 through 150 h 3, the purge gas flows into the reaction chamber 110 via the first horizontal via hole 128 b, and the source gas or the first carrier gas flows into the bypass 116 through the third vertical via hole 150 h 3, the second horizontal via hole 151 or a space between the top surface of the body 150 a and the diaphragm 150 g, and the second vertical via hole 150 h 2 and into the reaction chamber 110 via the through the third vertical via hole 150 h 3, the space between the top surface of the body 150 a and the diaphragm 150 g, and the first vertical via hole 150 h 1. Here, when fifth gate valve 152 is turned off, the first carrier gas or the source gas flows only to the reaction chamber 110 through the third vertical via hole 150 h 3, the space between the top surface of the body 150 a and the diaphragm 150 g, and the first vertical via hole 150 h 1.
  • Selection between the source gas and the first carrier gas and selection between the reactive gas and the second carrier gas will be described below in connection with description of an ALD process.
  • The following describes in detail a process of depositing a reaction product of the source gas and the reactive gas on a surface of a substrate using ALD. In performing the ALD process, a sequential set of a source gas pulsing stage, a source gas purging stage, a reactive gas pulsing stage, and a reactive gas purging stage is defined as one cycle, and the cycles are repeated until a thin layer having a desired thickness is formed.
  • In the source gas pulsing stage, the source gas is supplied to the reaction chamber 110 loaded with a wafer, i.e., the substrate (not shown), so that a source gas material is attached to the surface of the substrate. Here, the first gate valve 142 is turned off to be closed, a first outlet 132 a of the first 3-way valve 132 is open, and a first inlet 134 a and an outlet 134 b of the second 3-way valve 134 are open, so that the first carrier gas and the source gas are simultaneously supplied. In addition, the fifth gate valve 152 installed at the bypass 116 is turned off while the 4-way valve 150 is turned on, so that a gas flow to the bypass 116 is blocked and a gas flow is introduced to the reaction chamber 110. As a result, the source gas is supplied to the reaction chamber 110 together with the first carrier gas. In one embodiment, at the same time, the purge gas is continuously supplied to the reaction chamber 110. The second carrier gas may be supplied to the reaction chamber 110 by closing the fourth gate valve 148 and opening the third gate valve 146.
  • Subsequently, in the source gas purging stage, source gas residues that are not attached to the surface of the substrate are removed from the reaction chamber 110. In this purging stage, the first gate valve 142 is open; the first outlet 132 a of the first 3-way valve 132 is closed while a second outlet 132 b of the first 3-way valve 132 is open; and the first inlet 134 a of the second 3-way valve 134 is closed while the outlet 134 b of the second 3-way valve 134 is open, so that the supply of the reactive gas is interrupted and the first carrier gas is allowed to flow. In addition, the fifth gate valve 152 installed at the bypass 116 is turned on while the 4-way valve 150 is turned off, so that the first carrier gas is discharged through the bypass 116. Accordingly, the source gas remaining between the second 3-way valve 134 and the 4-way valve 150 and between the 4-way valve 150 and the fifth gate valve 152 does not flow into the reaction chamber 110 but is discharged through the bypass 116 together with the first carrier. The source gas remaining in the reaction chamber 110 without being deposited is purged by the purge gas continuously supplied to the reaction chamber 110. Here, the second carrier gas may be continuously supplied to the reaction chamber 110 in a state where the fourth gate valve 148 is closed.
  • Subsequently, in the reactive gas pulsing stage, the reactive gas is supplied into the reaction chamber 110 in a state where the source gas has been deposited on the surface of the substrate so that the source gas reacts with part of the reactive gas, thereby forming a reaction product on the surface of the substrate. Here, similarly to the source gas purging stage, the first carrier gas is discharged through the bypass 116 and the purge gas is continuously supplied to the reaction chamber 110. However, in the reactive gas pulsing stage, the fourth gate valve 148 installed at the reactive gas supply line and the third gate valve 146 are open so that the reactive gas is supplied to the reaction chamber 110 together with the second carrier gas.
  • Subsequently, in the reactive gas purging stage, the residues of the reactive gas other than the reaction product of the source gas and the reactive gas deposited on the surface of the substrate are removed from the reaction chamber 110. Here, similarly to the source gas purging stage, the first carrier gas is discharged through the bypass 116 and the purge gas is continuously supplied to the reaction chamber 110. However, in the reactive gas purging stage, the fourth gate valve 148 at the reactive gas supply line is closed to interrupt the supply of the reactive gas. As a result, only the second carrier gas is supplied to the reaction chamber 110.
  • As described above, when a cycle of the source gas pulsing stage, the source gas purging stage, the reactive gas pulsing stage, and the reactive gas purging stage is performed, the reaction product of the source gas and the reactive gas is deposited to be very thin on the surface of the substrate. Several or several thousands of cycles may be performed to form a desired thin layer on the surface of the substrate. In the above-described embodiment of the present invention, a single layer is formed on the substrate through the ALD process using a single source gas. For example, when the source gas, the reactive gas, and the reaction product of the source gas and the reactive gas are represented by “A”, “B”, and “AB”, respectively, a layer deposited on the substrate according to the first embodiment of the present invention may be represented by “AB/AB/AB/AB . . . AB/AB”.
  • FIG. 9 is a schematic diagram illustrating an apparatus for fabricating a semiconductor device according to a second embodiment of the present invention. FIG. 10 is an enlarged view of a part of the apparatus illustrated in FIG. 9. FIG. 11 is a cross sectional view of the part shown in FIG. 9, taken along the line EE′, in which a 4-way valve is closed. The second embodiment is the same as the first embodiment, with the exception that the structure of the 4-way valve 154 and the disposition of the purge gas supply line and the source gas supply line are different from those in the first embodiment. The differences will be described below.
  • Referring to FIGS. 9 through 11, the source gas supply line, the purge gas supply line, and the reactive gas supply line in the second embodiment are substantially similar to those in the first embodiment, but the structure and connection relationship of the 4-way valve 154 is different. In detail, in the first embodiment, the 4-way valve 150 includes the first horizontal via hole 128 b formed straight to be connected to the purge gas supply pipe 128 a. In contrast, in the second embodiment, the 4-way valve 154 includes a second horizontal via hole 154 f that communicates with a second inlet 154 d of the 4-way valve 154 and bends at a right angle within the 4-way valve 154. A second vertical via hole 154 h 2 is formed extending from the middle of the second horizontal via hole 154 f to a top surface of a body 154 g of the 4-way valve 154. A first horizontal via hole 154 e communicating with a first inlet 154 c connected with the source gas supply pipe 122 c is formed to bend at a right angle within the 4-way valve 154. A first vertical via hole 154 h 1 is formed extending from the middle of the first horizontal via hole 154 e to the top surface of the body 154 g.
  • As illustrated in FIG. 11, when the 4-way valve 154 is turned off, that is, when a diaphragm 154 i moves down and closely contacts the top surface of the body 154 g to close the upper ends of the first and second vertical via holes 154 h 1 and 154 h 2, the purge gas is supplied to the reaction chamber 110 via the second horizontal via hole 154 f, and the source gas or the first carrier gas flowing in the source gas supply pipe 122 c flows into the bypass 116 via the first horizontal via hole 154 e. When the 4-way valve 154 is turned on, that is, when the diaphragm 154 i moves up and is separated from the top surface of the body 154 g to open the upper ends of the first and second vertical via holes 154 h 1 and 154 h 2, the purge gas flows into the reaction chamber 110 via the second horizontal via hole 154 f or into the bypass 116 through the second horizontal via hole 154 f, the second vertical via hole 154 h 2, a space between the top surface of the body 154 g and the diaphragm 154 i, and the first vertical via hole 154 h 1. When the fifth gate valve 152 is turned off, the purge gas flows only into the reaction chamber 110. Meanwhile, the source gas or the first carrier gas flowing in the source gas supply pipe 122 c flows into the reaction chamber 110 through the first vertical via hole 154 h 1, the space between the surface of the body 154 g and the diaphragm 154 i, and the second vertical via hole 154 h 2 or into the bypass 116 through the first horizontal via hole 154 e. Here, when the fifth gate valve 152 is turned off, the first carrier gas or the source gas flows only to the reaction chamber 110 through the first vertical via hole 154 h 1, the space between the surface of the body 154 g and the diaphragm 154 i, and the second vertical via hole 154 h 2.
  • The following describes in detail the closed and open states of each valve in each stage of a process of depositing a reaction product of the source gas and the reactive gas on a surface of a substrate using ALD.
  • In the source gas pulsing stage, the first gate valve 142 is turned off to be closed, a first outlet 132 a of the first 3-way valve 132 is open, and a first inlet 134 a and an outlet 134 b of the second 3-way valve 134 are open, so that the first carrier gas and the source gas are simultaneously supplied. In addition, the fifth gate valve 152 installed at the bypass 116 is turned off and closed while the 4-way valve 154 is turned on, so that a gas flow to the bypass 116 is blocked and a gas flow is introduced to the reaction chamber 110. As a result, the source gas is supplied to the reaction chamber 110 together with the first carrier gas. Meanwhile, the purge gas is continuously supplied to the reaction chamber 110. The second carrier gas may be supplied to the reaction chamber 110 by closing the fourth gate valve 148 and opening the third gate valve 146.
  • Subsequently, in the source gas purging stage, the first gate valve 142 is open; the first outlet 132 a of the first 3-way valve 132 is closed while a second outlet 132 b of the first 3-way valve 132 is open; and the first inlet 134 a of the second 3-way valve 134 is closed while the outlet 134 b of the second 3-way valve 134 is open, so that the supply of the reactive gas is interrupted and the first carrier gas is allowed to flow. In addition, the fifth gate valve 152 installed at the bypass 116 is turned on while the 4-way valve 154 is turned off, so that the first carrier gas is discharged through the bypass 116. Accordingly, the source gas remaining between the second 3-way valve 134 and the 4-way valve 154 and between the 4-way valve 154 and the fifth gate valve 152 does not flow into the reaction chamber 110 but is discharged through the bypass 116 together with the first carrier gas. The source gas remaining in the reaction chamber 110 without being deposited is purged by the purge gas continuously supplied to the reaction chamber 110. Here, the second carrier gas may be continuously supplied to the reaction chamber 110 in a state where the fourth gate valve 148 is closed.
  • In the reactive gas pulsing and purging stages, similarly to the source gas purging stage, the first carrier gas is discharged through the bypass 116 and the purge gas is continuously supplied to the reaction chamber 110. However, in the reactive gas pulsing and purging stages, the fourth gate valve 148 installed at the reactive gas supply line and the third gate valve 146 are open so that the reactive gas is supplied to the reaction chamber 110 together with the second carrier gas.
  • FIG. 12 is a schematic diagram illustrating an apparatus for fabricating a semiconductor device according to a third embodiment of the present invention. The third embodiment is substantially similar to the first embodiment illustrated in FIGS. 5 through 8 but relates to a process of forming a multilayer or a complex layer on a substrate through ALD using different kinds of source gas. The difference between the first and third embodiments will be described below.
  • Referring to FIG. 12, each of two source gas supply sources 122 and 222 is installed together with the first carrier gas supply line to be parallel with the source gas supply pipe 122 c and the two source gas supply sources 122 and 222 are disposed symmetrically. The apparatus illustrated in FIG. 12 is designed such that one of a first source gas and a second source gas is selectively supplied using a plurality of 2-way gate valves and 3-way valves. In the third embodiment, two kinds of source gas are supplied, but more than two kinds of source gas may be selectively supplied by disposing more than two source supply lines in parallel with the source gas supply pipe 122 c.
  • In a first source gas supply line starting from the first source gas supply source 122 supplying the first source gas, the carrier gas is supplied from the first carrier gas supply source 126 through the first carrier gas supply pipe 126 a to which the first source gas supply source 122 is connected in parallel through first through fourth 3- way valves 132, 134, 136, and 138. An on/off valve, i.e., the first 2-way gate valve 142, is installed at the first carrier gas supply pipe 126 a between the first and second 3- way valves 132 and 134. An on/off valve, i.e., a second 2-way gate valve 242, is installed between the third and fourth 3- way valves 136 and 138. The first source gas supply source 122 is installed between the third and fourth 3- way valves 136 and 138 to be in parallel with the second 2-way gate valve 242.
  • In a second source gas supply line starting from the second source gas supply source 222 supplying the second source gas, the carrier gas is supplied from the first carrier gas supply source 126 through the first carrier gas supply pipe 126 a to which the second source gas supply source 222 is connected in parallel through fifth through eighth 3- way valves 232, 234, 236, and 238. In detail, the fifth 3-way valve 232 is disposed between the first carrier gas supply source 126 and the first 3-way valve 132. The sixth 3-way valve 234 is disposed between the second 3-way valve 134 and the 4-way valve 150. The seventh 3-way valve 236 and the eighth 3-way valve 238 are installed at the second source gas supply line that is in parallel with the first carrier gas supply pipe 126 a. An on/off valve, i.e., a third 2-way gate valve 442, is installed between the seventh and eighth 3- way valves 236 and 238. The second source gas supply source 222 is installed between the seventh and eighth 3- way valves 236 and 238 to be in parallel with the third 2-way gate valve 442.
  • In the third embodiment illustrated in FIG. 12, a single cycle of the source gas pulsing stage, the source gas purging stage, the reactive gas pulsing stage, and the reactive gas purging stage is performed using the first source gas, thereby depositing a thin reaction product of the first source gas and the reactive gas on a surface of a substrate. Thereafter, the cycle is repeated using the second source gas, thereby depositing a reaction product of the second source gas and the reactive gas on the reaction product of the first source gas and the reactive gas. Several through several thousands of cycles are performed while the first and second source gases are alternately supplied to form a complex layer on the surface of the substrate. For example, when the first source gas, the second source gas, the reactive gas, the reaction product of the first source gas and the reactive gas, and the reaction product of the second source gas and the reactive gas are represented by “A1”, “A2”, “B”, “A1B”, and “A2B”, respectively, a layer deposited on the substrate according to the third embodiment of the present invention may be represented by “A1B/A2B/A1B/A2B . . . A1B/A2B”.
  • The following describes in detail a process of depositing a reaction product of the source gas and the reactive gas on a surface of a substrate through ALD using the first and second source gases A1 and A2 and the reactive gas B. In performing the ALD process, a sequential set of a source gas pulsing stage, a source gas purging stage, a reactive gas pulsing stage, and a reactive gas purging stage is defined as one cycle and the cycles are repeated until a thin layer having a desired thickness is formed.
  • In a first source gas pulsing stage, the first source gas A1 is supplied to the reaction chamber 110 loaded with a wafer, i.e., the substrate (not shown), so that a first source gas material is attached to the surface of the substrate. Here, a second outlet 232 b of the fifth 3-way valve 232 and an outlet 234 b of the sixth 3-way valve 234 are open; the first 2-way gate valve 142 is turned off and closed; the first outlet 132 a of the first 3-way valve 132 is open; the first inlet 134 a and the outlet 134 b of the second 3-way valve 134 are open while a first outlet 136 a of the third 3-way valve 136 and an inlet 138 a of the fourth 3-way valve 138 are open; and the second 2-way gate valve 242 is turned off, so that the first carrier gas and the first source gas A1 are supplied at the same time. In addition, the fifth gate valve 152 installed at the bypass 116 is turned off while the 4-way valve 150 is turned on, so that a gas flow to the bypass 116 is blocked and a gas flow is introduced to the reaction chamber 110. As a result, the first source gas A1 from the first source gas supply source 122 is supplied to the reaction chamber 110 together with the first carrier gas.
  • Subsequently, in the first source gas purging stage, source gas residues that are not attached to the surface of the substrate are removed from the reaction chamber 110. Here, the second outlet 232 b of the fifth 3-way valve 232 and the outlet 234 b of the sixth 3-way valve 234 are open; the first 2-way gate valve 142 is turned on and is open; the first outlet 132 a of the first 3-way valve 132 is closed while the second outlet 132 b of the first 3-way valve 132 is open; and the first inlet 134 a of the second 3-way valve 134 is closed while the outlet 134 b is open, so that the supply of the first source gas A1 is interrupted and the first carrier gas is allowed to flow. In addition, the fifth gate valve 152 installed at the bypass 116 is turned on while the 4-way valve 150 is turned off, so that the first carrier gas is discharged through the bypass 116. As a result, the first source gas A1 remaining between the second 3-way valve 134 and the 4-way valve 150 and between the 4-way valve 150 and the fifth gate valve 152 at the bypass 116 does not flow into the reaction chamber 110 but is discharged through the bypass 116 together with the first carrier gas. The first source gas A1 remaining in the reaction chamber 110 without being deposited is purged by the purge gas continuously supplied from the purge gas supply source 128 to the reaction chamber 110.
  • Subsequently, in the reactive gas pulsing stage, the reactive gas B is supplied into the reaction chamber 110 in a state where the first source gas A1 has been deposited on the surface of the substrate so that the first source gas A1 reacts with part of the reactive gas B, thereby forming the reaction product A1 B on the surface of the substrate. Here, similarly to the first source gas purging stage, the first carrier gas is discharged through the bypass 116 and the purge gas is continuously supplied to the reaction chamber 110. However, in the reactive gas pulsing stage, the fourth gate valve 148 installed at the reactive gas supply line and the third gate valve 146 are open so that the reactive gas B is supplied to the reaction chamber 110 together with the second carrier gas supplied from the second carrier gas supply source 130.
  • Subsequently, in the reactive gas purging stage, the residues of the reactive gas B other than the reaction product A1B of the first source gas A1 and the reactive gas B deposited on the surface of the substrate are removed from the reaction chamber 110. Here, similarly to the first source gas purging stage, the first carrier gas is discharged through the bypass 116 and the purge gas is continuously supplied to the reaction chamber 110. However, in the reactive gas purging stage, the fourth gate valve 148 at the reactive gas supply line is closed to interrupt the supply of the reactive gas. As a result, only the second carrier gas is supplied to the reaction chamber 110.
  • In a second source gas pulsing stage, the second source gas A2 is supplied to the reaction chamber 110 so that a second source gas material is attached to the surface of the substrate on which the reaction product A1B has been formed. Here, the first outlet 132 a of the first 3-way valve 132 and the first gate valve 142 are closed; a first outlet 232 a of the fifth 3-way valve 232 is open; and a first outlet 236 a of the seventh 3-way valve 236 is open. In this state, the first carrier gas and the second source gas A2 are simultaneously supplied to the reaction chamber 110 through the eighth 3-way valve 238 and the sixth 3-way valve 234 and through analogous open/closed states of valves as those in the first source gas pulsing stage.
  • A second source gas purging stage, the reactive gas pulsing stage, and the reactive gas purging stage are performed in the same manner as described above with respect to the first source gas, thereby forming the reaction product A2B on the reaction product A1B. In this way, when the cycle is repeated while the first and second sources gases A1 and A2 are alternately supplied, ALD is performed in the order of A1B, A2B, A1B, A2B . . . .
  • FIG. 13 is a schematic diagram illustrating an apparatus for fabricating a semiconductor device according to a fourth embodiment of the present invention. In the third embodiment illustrated in FIG. 12, different kinds of source gases are supplied to the reaction chamber 110 through the one source gas supply pipe 122 c and the one 4-way valve 150. However, in the fourth embodiment illustrated in FIG. 13, although the one purge gas supply pipe 128 a is used to supply different kinds of source gases, the different kinds of source gases are separately supplied to the reaction chamber 110 through first and second source gas pipes 122 c and 222 c and first and second 4- way valves 150 and 250.
  • In a first source gas supply line, the carrier gas is supplied from the first carrier gas supply source 126 through the first carrier gas supply pipe 126 a to which the first source gas supply source 122 is connected in parallel through first through fourth 3- way valves 132, 134, 136, and 138. An on/off valve, i.e., the first 2-way gate valve 142, is installed at the first carrier gas supply pipe 126 a between the first and second 3- way valves 132 and 134. An on/off valve, i.e., a second 2-way gate valve 143, is installed between the third and fourth 3- way valves 136 and 138. The first source gas supply source 122 is installed between the third and fourth 3- way valves 136 and 138 to be in parallel with the second 2-way gate valve 143. A first source gas from the first source gas supply source 122 is connected to the first 4-way valve 150.
  • In a second source gas supply line, a carrier gas is supplied from a second carrier gas supply source 226 through a second carrier gas supply pipe 226 a to which the second source gas supply source 222 is connected in parallel through the fifth through eighth 3- way valves 232, 234, 236, and 238. An on/off valve, i.e., the third 2-way gate valve 242, is installed at the second carrier gas supply pipe 226 a between the fifth and sixth 3- way valves 232 and 234. An on/off valve, i.e., a fourth 2-way gate valve 243, is installed between the seventh and eighth 3- way valves 236 and 238. The second source gas supply source 222 is installed between the seventh and eighth 3- way valves 236 and 238 in parallel with the fourth 2-way gate valve 243. A second source gas from the second source gas supply source 222 is connected to the second 4-way valve 250. The first and second 4-way valves operate according to the same principle as that used in the first embodiment.
  • In a purge gas supply line, the purge gas is supplied from the purge gas supply source 128 to the reaction chamber 110 through the purge gas supply pipe 128 a. The first 4-way valve 150 is installed at a junction of the purge gas supply pipe 128 a and the first source gas supply pipe 122 c. The second 4-way valve 250 is installed at a junction of the purge gas supply pipe 128 a and the second source gas supply pipe 222 c. The second gate valve 144 is installed between the purge gas supply source 128 and the second 4-way valve 250. In addition, gate valves 152 and 252 are installed at the bypass 116 connected with the first and second 4- way valves 150 and 250. A reactive gas supply line in the fourth embodiment is the same as those in the above-described embodiments.
  • The following describes in detail a process of depositing a reaction product of the source gas and the reactive gas on a surface of a substrate through ALD using the first and second source gases A1 and A2 and the reactive gas B, according to the fourth embodiment of the present invention.
  • In a first source gas pulsing stage, the first source gas A1 is supplied through the source gas supply pipe 122 c in the same manner as that used in the third embodiment in a state where an inlet 250 c of the second 4-way valve 250 is turned off.
  • Thereafter, a first source gas purging stage, a reactive gas pulsing stage, and a reactive gas purging stage are performed in the same manner as that used in the third embodiment, thereby forming a reaction product A1B on the surface of the substrate.
  • The first source gas line is blocked and a second source gas line is open to perform a second source gas pulsing stage. Here, the inlet 150 c of the first 4-way valve 150 is closed and the inlet 250 c of the second 4-way valve 250 is open.
  • Subsequently, a second source gas purging stage, the reactive gas pulsing stage, and the reactive gas purging stage are performed in the same manner as that performed with respect to the first source gas A1, thereby performing ALD in order of A1B, A2B, A1B, A2B, . . . .
  • FIG. 14 is a schematic diagram illustrating an apparatus for fabricating a semiconductor device according to a fifth embodiment of the present invention. An operating principle of the 4-way valve 154 in the fifth embodiment is the same as that in the second embodiment. In addition, the fifth embodiment is the same as the third embodiment in that different kinds of source gases are selectively supplied through the single source gas supply pipe 122 c. Thus, a detailed description of the fifth embodiment will not be repeated.
  • FIG. 15 is a schematic diagram illustrating an apparatus for fabricating a semiconductor device according to a sixth embodiment of the present invention. An operating principle of the first and second 4- way valve 154 and 254 in the sixth embodiment is the same as that in the second embodiment. In addition, the sixth embodiment is the same as the fourth embodiment in that different kinds of source gases are selectively supplied through different source gas supply lines, respectively. Thus, a detailed description of the sixth embodiment will not be repeated.
  • In the third through sixth embodiments of the present invention, as described above, a complex layer is formed on a substrate through ALD using two kinds of source gases. However, more than two kinds of source gases may be selectively supplied when necessary. In addition, at least two kinds of reactive gases may be selectively used. Here, to increase purge efficiency, a reactive gas supply line may use the same gas valve system as a source gas supply line.
  • Moreover, in the third through sixth embodiments of the present invention, according to the foregoing description, an ALD cycle using a first source gas alternates with an ALD cycle using a second source gas. However, a plurality of ALD cycles using the first source gas may be performed to form a first thin layer to a predetermined thickness, and then a plurality of ALD cycles using the second source gas may be performed to form a second thin layer to a predetermined thickness on the first thin layer.
  • For example, when a first source gas, a second source gas, a first reactive gas, a second reactive gas, a reaction product of the first source gas and the first reactive gas, and a reaction product of the second source gas and the second reactive gas are represented by “A1”, “A2”, “B1”, “B2”, “A1B1”, and “A2B2”, respectively, a layer deposited on a substrate according to embodiments of the present invention may have diverse structures represented by “A1B1/A2B2/A1B1/A2B2 . . . A1B1/A2B2”, “A1B1/A1B2/A1B1/A1B2 . . . A1B1/A1B2”, “A1B1/A1B1 . . . /A1B1/A2B2/A2B2 . . . A2B2”, etc. according to a combination of a source gas and a reactive gas.
  • Different kinds of source gases are supplied using the two 4- way valves 150 and 250 in the fourth embodiment of the present invention and the two 4- way valves 154 and 254 in the sixth embodiment of the present invention. Here, a stickier source gas among the first and second source gases may be supplied through a source gas supply line nearer to the reaction chamber 110. Generally, ZrO2 is stickier than HfO2 and HfO2 is stickier than Al2O3.
  • To prove that dead volume is eliminated from a valve system according to the present invention, HfO layers and AlO layers were formed using ALD according to the first embodiment. Table 1 shows the characteristics of the layers.
  • TABLE 1
    Switching information Number Thickness Uniformity Deposition Maximum/
    (27 MHz) of cycles (Å) (%) rate (Å/cycle) Minimum
    HfO {circle around (1)}0.3/0.5/0.2/1.0/0.2 100 91.33 1.48 0.91 92.88/90.19
    {circle around (2)}(0.3/0.5/0.2/1.0/0.2) + 100 88.66 1.29 0.89 89.97/87.68
    1.0/0.5/0.2/1.0/0.2
    AlO {circle around (3)}0.1/0.3/1.0 100 141.25 1.68 1.41 143.09/138.34
    {circle around (4)}(0.1/0.3/1.0) + 100 142.38 1.52 1.42 143.95/139.63
    1.0/0.3/1.0
  • In Table 1, (1) and (2) denote cases where a HfO layer is formed using ALD: case (1) is a result of performing 100 cycles of source gas pulsing (0.3 seconds)/source gas purging (0.5 seconds)/oxygen pre-pulsing (0.2 seconds)/oxygen plasma (0.1 second)/oxygen purging (0.2 seconds); and case (2) is a result of additionally performing a cycle that does not supply a source gas between cycles that supply the source gas. The result (2) proves that dead volume does not occur and uniformity is not degraded in the valve system according to the present invention.
  • Similarly, results (3) and (4) of forming an AlO layer using ALD also prove that dead volume does not occur in the valve system according to the present invention.
  • As described above, according to the present invention, a source gas remaining in a supply pipe of a source gas supply line is not allowed to flow into a reaction chamber but is discharged through a bypass, thereby preventing dead volume. Therefore, an additional load of purging dead volume occurring in conventional technology is eliminated. As a result, fabrication of semiconductor devices can be performed more reliably.
  • While the present invention has been particularly shown and described with reference to exemplary embodiments thereof, it will be understood by those of ordinary skill in the art that various changes in form and details may be made therein without departing from the spirit and scope of the present invention as defined by the following claims.

Claims (27)

1. A method of controlling a valve of an apparatus for fabricating a semiconductor device, which includes a reaction chamber in which a substrate is processed to fabricate a semiconductor device; a first processing gas supply pipe supplying a first processing gas into the reaction chamber; a 4-way valve having a first inlet, a second inlet, a first outlet, and a second outlet, the 4-way valve being installed at the first processing gas supply pipe such that the first inlet and the first outlet are connected to the first processing gas supply pipe; a second processing gas supply pipe connected to the second inlet of the 4-way valve to supply a second processing gas; a bypass connected to the second outlet of the 4-way valve; and a gate valve installed at the bypass, the method comprising:
closing the gate valve installed at the bypass and opening the 4-way valve while the second processing gas is supplied to the reaction chamber; and
opening the gate valve and closing the 4-way valve while supply of the second processing gas to the reaction chamber is interrupted.
2. The method of claim 1, wherein, while the second processing gas is supplied into the reaction chamber or the supply of the second processing gas is interrupted, the first processing gas is continuously supplied to the reaction chamber regardless of an open or closed state of the 4-way valve.
3. The method of claim 1, wherein the first processing gas is a purge gas and the second processing gas is a source gas that reacts in the reaction chamber to fabricate a semiconductor device on the substrate.
4. The method of claim 1, wherein, when the apparatus further includes a third processing gas supply pipe connected to the second processing gas supply pipe to allow a third processing gas and the second processing gas to be selectively supplied to the second inlet of the 4-way valve,
the third processing gas is supplied to the reaction chamber while the second processing gas is supplied to the reaction chamber, and
only the third processing gas flows into the bypass while the supply of the second processing gas to the reaction chamber is interrupted.
5. A method of controlling a valve of an apparatus for fabricating a semiconductor device, which includes a reaction chamber in which a substrate is processed to fabricate a semiconductor device; a purge gas supply pipe connected to the reaction chamber to supply a purge gas to the reaction chamber; a 4-way valve having a first inlet, a second inlet, a first outlet, and a second outlet, the 4-way valve being installed at the purge gas supply pipe such that the first inlet and the first outlet are connected to the purge gas supply pipe; a source gas supply pipe connected to the second inlet of the 4-way valve to supply a source gas to the reaction chamber; a first carrier gas supply pipe connected to the source gas supply pipe; a reactive gas supply pipe connected to the reaction chamber to supply a reactive gas to the reaction chamber; a second carrier gas supply pipe connected to the reactive gas supply pipe; a discharge pipe connected to the reaction chamber to discharge gas from the reaction chamber; a discharge pump installed at the discharge pipe; a bypass connected to the second outlet of the 4-way valve and to the discharge pipe in front of the discharge pump; and a gate valve installed at the bypass, the method comprising:
closing the gate valve installed at the bypass and opening the 4-way valve while the source gas is supplied to the reaction chamber; and
opening the gate valve and closing the 4-way valve while supply of the source gas to the reaction chamber is interrupted.
6. The method of claim 5, wherein, while the source gas is supplied into the reaction chamber or the supply of the source gas is interrupted, the purge gas is continuously supplied to the reaction chamber regardless of an open or closed state of the 4-way valve.
7. The method of claim 5, wherein when the apparatus further includes a source gas supply source installed at an end of the source gas supply pipe, a first carrier gas supply source installed at an end of the first carrier gas supply pipe connected to the source supply pipe between the 4-way valve and the source gas supply source, a first carrier gas supply pipe branch extending from the first carrier gas supply pipe to the source gas supply source, and a first gate valve installed between a junction of the first carrier gas supply pipe and the first carrier gas supply pipe branch and a junction of the source gas supply pipe and the first carrier supply pipe,
the first gate valve is closed and the first carrier gas and the source gas are simultaneously supplied to the reaction chamber while the source gas is supplied to the reaction chamber, and
the first gate valve and the gate valve installed at the bypass are open and only the first carrier gas flows into the bypass while the supply of the source gas to the reaction chamber is interrupted.
8. A method of fabricating a semiconductor device using an apparatus for fabricating a semiconductor device, which includes a reaction chamber in which a substrate is processed to fabricate a semiconductor device; a purge gas supply pipe connected to the reaction chamber to supply a purge gas to the reaction chamber; a 4-way valve having a first inlet, a second inlet, a first outlet, and a second outlet, the 4-way valve being installed at the purge gas supply pipe such that the first inlet and the first outlet are connected to the purge gas supply pipe; a source gas supply pipe connected to the second inlet of the 4-way valve to supply a source gas to the reaction chamber; a first carrier gas supply pipe connected to the source gas supply pipe; a reactive gas supply pipe connected to the reaction chamber to supply a reactive gas to the reaction chamber; a second carrier gas supply pipe connected to the reactive gas supply pipe; a discharge pipe connected to the reaction chamber to discharge gas from the reaction chamber; a discharge pump installed at the discharge pipe; a bypass connected to the second outlet of the 4-way valve and to the discharge pipe in front of the discharge pump; and a gate valve installed at the bypass, the method comprising:
loading the substrate into the reaction chamber;
attaching a source gas material to the substrate by supplying the source gas to the reaction chamber;
purging a source gas material that is not attached to the substrate by supplying the purge gas to the reaction chamber;
forming a first reaction product layer on the substrate by supplying the reactive gas to the reaction chamber to allow the reactive gas to react with the source gas material attached to the substrate; and
purging the reactive gas that has not reacted with the source gas material by supplying the purge gas to the reaction chamber.
9. The method of claim 8, further comprising repeating a single cycle comprised of the attaching of the source gas material, the purging of the source gas material, the forming of the first reaction product layer, and the purging of the reactive gas.
10. The method of claim 8, wherein the forming of the first reaction product layer comprises allowing the reactive gas to react with the source gas material in a plasma state.
11. The method of claim 8, wherein the purge gas is continuously supplied to the reaction chamber while the substrate is processed in the reaction chamber.
12. The method of claim 8, wherein the attaching of the source gas material comprises supplying the purge gas, the first carrier gas, and the second carrier gas to the reaction chamber together with the source gas.
13. The method of claim 8, wherein the attaching of the source gas material comprises closing the gate valve installed at the bypass and opening the second inlet and the first outlet of the 4-way valve to allow the source gas to flow into the reaction chamber through the source gas supply pipe.
14. The method of claim 8, wherein the purging of the source gas material comprises supplying the second carrier gas to the reaction chamber together with the purge gas.
15. The method of claim 8, wherein purging of the source gas material comprises opening the gate valve installed at the bypass and simultaneously opening the second inlet and the second outlet of the 4-way valve to allow the first carrier gas to flow into the bypass through the source gas supply pipe.
16. The method of claim 8, wherein the forming of the first reaction product layer comprises supplying the purge gas and the second carrier gas to the reaction chamber together with the reaction gas.
17. The method of claim 8, wherein the forming of the first reaction product layer comprises opening the gate valve installed at the bypass and simultaneously opening the second inlet and the second outlet of the 4-way valve to allow the first carrier gas to flow into the bypass through the source gas supply pipe.
18. The method of claim 8, wherein when the apparatus further includes a source gas supply source installed at an end of the source gas supply pipe, a first carrier gas supply source installed at an end of the first carrier gas supply pipe connected to the source supply pipe between the 4-way valve and the source gas supply source, a first carrier gas supply pipe branch extending from the first carrier gas supply pipe to the source gas supply source, and a first gate valve installed between a junction of the first carrier gas supply pipe and the first carrier gas supply pipe branch and a junction of the source gas supply pipe and the first carrier supply pipe,
the first gate valve is closed and the first carrier gas and the source gas are simultaneously supplied to the reaction chamber while the source gas is supplied to the reaction chamber, and
the first gate valve and the gate valve installed at the bypass are open and only the first carrier gas flows into the bypass while the supply of the source gas to the reaction chamber is interrupted.
19. The method of claim 18, wherein different source gases are selectively supplied by a plurality of source gas supply sources which are installed in parallel.
20. The method of claim 18, wherein different reactive gases are selectively supplied by a plurality of reactive gas supply sources which are installed in parallel at the reactive gas supply pipe.
21. The method of claim 19, wherein the different source gases are alternately supplied in source gas pulsing stages.
22. The method of claim 19, wherein a plurality of source gas pulsing stages supplying one source gas among the different source gases are performed and then a plurality of source gas pulsing stages supplying another source gas are performed.
23. The method of claim 20, wherein the different reactive gases are alternately supplied in reactive gas pulsing stages.
24. The method of claim 20, wherein a plurality of reactive gas pulsing stages supplying one reactive gas among the different reactive gases are performed and then a plurality of reactive gas pulsing stages supplying another reactive gas are performed.
25. The method of claim 8, wherein the 4-way valve is a 4-way diaphragm valve.
26. The method of claim 25, wherein, when the 4-way diaphragm valve is closed, the purge gas is supplied to the reaction chamber and one of the first carrier gas and the source gas flows into the bypass, and when the 4-way diaphragm valve is open, the purge gas is supplied to the reaction chamber and one of the first carrier gas and the source gas flows into the bypass and the reaction chamber.
27. The method of claim 25, wherein when the 4-way diaphragm valve is closed, the gate valve is open, the purge gas is supplied to the reaction chamber, and one of the first carrier gas and the source gas flows into the bypass, and when the 4-way diaphragm valve is open, the gate valve is closed and the purge gas and one of the first carrier gas and the source gas are supplied to the reaction chamber.
US12/980,633 2005-01-19 2010-12-29 Apparatus including 4-way valve for fabricating semiconductor device, method of controlling valve, and method of fabricating semiconductor device using the apparatus Abandoned US20110097905A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
US12/980,633 US20110097905A1 (en) 2005-01-19 2010-12-29 Apparatus including 4-way valve for fabricating semiconductor device, method of controlling valve, and method of fabricating semiconductor device using the apparatus
US13/624,609 US9029244B2 (en) 2005-01-19 2012-09-21 Apparatus including 4-way valve for fabricating semiconductor device, method of controlling valve, and method of fabricating semiconductor device using the apparatus
US14/685,697 US9406502B2 (en) 2005-01-19 2015-04-14 Apparatus including 4-way valve for fabricating semiconductor device, method of controlling valve, and method of fabricating semiconductor device using the apparatus
US15/176,684 US9702041B2 (en) 2005-01-19 2016-06-08 Apparatus including 4-way valve for fabricating semiconductor device, method of controlling valve, and method of fabricating semiconductor device using the apparatus

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
KR10-2005-0005074 2005-01-19
KR20050005074 2005-01-19
KR10-2005-0076968 2005-08-22
KR1020050076968A KR100699861B1 (en) 2005-01-19 2005-08-22 Apparatus having 4-way valve for fabricating semiconductor device, method of controling valve and method of fabricating semiconductor device using the same
US11/321,491 US20060156980A1 (en) 2005-01-19 2005-12-29 Apparatus including 4-way valve for fabricating semiconductor device, method of controlling valve, and method of fabricating semiconductor device using the apparatus
US12/980,633 US20110097905A1 (en) 2005-01-19 2010-12-29 Apparatus including 4-way valve for fabricating semiconductor device, method of controlling valve, and method of fabricating semiconductor device using the apparatus

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/321,491 Division US20060156980A1 (en) 2005-01-19 2005-12-29 Apparatus including 4-way valve for fabricating semiconductor device, method of controlling valve, and method of fabricating semiconductor device using the apparatus

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US13/624,609 Continuation US9029244B2 (en) 2005-01-19 2012-09-21 Apparatus including 4-way valve for fabricating semiconductor device, method of controlling valve, and method of fabricating semiconductor device using the apparatus

Publications (1)

Publication Number Publication Date
US20110097905A1 true US20110097905A1 (en) 2011-04-28

Family

ID=36682542

Family Applications (5)

Application Number Title Priority Date Filing Date
US11/321,491 Abandoned US20060156980A1 (en) 2005-01-19 2005-12-29 Apparatus including 4-way valve for fabricating semiconductor device, method of controlling valve, and method of fabricating semiconductor device using the apparatus
US12/980,633 Abandoned US20110097905A1 (en) 2005-01-19 2010-12-29 Apparatus including 4-way valve for fabricating semiconductor device, method of controlling valve, and method of fabricating semiconductor device using the apparatus
US13/624,609 Active US9029244B2 (en) 2005-01-19 2012-09-21 Apparatus including 4-way valve for fabricating semiconductor device, method of controlling valve, and method of fabricating semiconductor device using the apparatus
US14/685,697 Active US9406502B2 (en) 2005-01-19 2015-04-14 Apparatus including 4-way valve for fabricating semiconductor device, method of controlling valve, and method of fabricating semiconductor device using the apparatus
US15/176,684 Active US9702041B2 (en) 2005-01-19 2016-06-08 Apparatus including 4-way valve for fabricating semiconductor device, method of controlling valve, and method of fabricating semiconductor device using the apparatus

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/321,491 Abandoned US20060156980A1 (en) 2005-01-19 2005-12-29 Apparatus including 4-way valve for fabricating semiconductor device, method of controlling valve, and method of fabricating semiconductor device using the apparatus

Family Applications After (3)

Application Number Title Priority Date Filing Date
US13/624,609 Active US9029244B2 (en) 2005-01-19 2012-09-21 Apparatus including 4-way valve for fabricating semiconductor device, method of controlling valve, and method of fabricating semiconductor device using the apparatus
US14/685,697 Active US9406502B2 (en) 2005-01-19 2015-04-14 Apparatus including 4-way valve for fabricating semiconductor device, method of controlling valve, and method of fabricating semiconductor device using the apparatus
US15/176,684 Active US9702041B2 (en) 2005-01-19 2016-06-08 Apparatus including 4-way valve for fabricating semiconductor device, method of controlling valve, and method of fabricating semiconductor device using the apparatus

Country Status (3)

Country Link
US (5) US20060156980A1 (en)
JP (1) JP5473184B2 (en)
DE (1) DE102006003100B4 (en)

Families Citing this family (270)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060156980A1 (en) * 2005-01-19 2006-07-20 Samsung Electronics Co., Ltd. Apparatus including 4-way valve for fabricating semiconductor device, method of controlling valve, and method of fabricating semiconductor device using the apparatus
JP5289321B2 (en) * 2006-11-13 2013-09-11 インフィコン ゲゼルシャフト ミット ベシュレンクテル ハフツング Vacuum diaphragm measuring cell and method for manufacturing such a measuring cell
US20080163817A1 (en) * 2007-01-04 2008-07-10 Oc Oerlikon Balzers Ag Apparatus for gas handling in vacuum processes
JP4553265B2 (en) * 2007-03-23 2010-09-29 東京エレクトロン株式会社 Heat treatment apparatus and heat treatment method
JP4961381B2 (en) * 2008-04-14 2012-06-27 株式会社日立国際電気 Substrate processing apparatus, substrate processing method, and semiconductor device manufacturing method
US20100015816A1 (en) * 2008-07-15 2010-01-21 Kelvin Chan Methods to promote adhesion between barrier layer and porous low-k film deposited from multiple liquid precursors
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5504793B2 (en) * 2009-09-26 2014-05-28 東京エレクトロン株式会社 Heat treatment apparatus and cooling method
US9513003B2 (en) * 2010-08-16 2016-12-06 Purpose Company Limited Combustion apparatus, method for combustion control, board, combustion control system and water heater
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9238865B2 (en) * 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP2016134569A (en) * 2015-01-21 2016-07-25 株式会社東芝 Semiconductor manufacturing equipment
TW201634738A (en) * 2015-01-22 2016-10-01 應用材料股份有限公司 Improved injector for spatially separated atomic layer deposition chamber
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN104882352B (en) * 2015-05-18 2017-04-05 中国计量科学研究院 The mass spectrometric apparatus and analysis method of ion molecule reaction
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US20170162366A1 (en) * 2015-12-08 2017-06-08 Asm Ip Holding B.V. Film forming apparatus, recording medium, and film forming method
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (en) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. Exhaust apparatus and substrate processing apparatus and thin film fabricating method using the same
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
CN106531666A (en) * 2016-11-22 2017-03-22 上海华力微电子有限公司 Process chamber and semiconductor process apparatus
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
EP3619335A4 (en) 2017-05-02 2021-03-10 Picosun Oy Ald apparatus, method and valve
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (en) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
US11661654B2 (en) 2018-04-18 2023-05-30 Lam Research Corporation Substrate processing systems including gas delivery system with reduced dead legs
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
US11077401B2 (en) * 2018-05-16 2021-08-03 Highvac Corporation Separated gas stream point of use abatement device
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
US11788190B2 (en) 2019-07-05 2023-10-17 Asm Ip Holding B.V. Liquid vaporizer
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11946136B2 (en) 2019-09-20 2024-04-02 Asm Ip Holding B.V. Semiconductor processing device
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
TW202125596A (en) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
CN114639631A (en) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 Fixing device for measuring jumping and swinging
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4906257A (en) * 1987-09-25 1990-03-06 Takeda Chemical Industries, Ltd. Method of and apparatus for treating waste gas from semiconductor manufacturing process
US4911101A (en) * 1988-07-20 1990-03-27 General Electric Company Metal organic molecular beam epitaxy (MOMBE) apparatus
US4950621A (en) * 1984-11-06 1990-08-21 Secretary of the State for Defence in Her Majesty's Government of the United Kingdom of Great Britain and Northern Ireland Method of growing crystalline layers by vapor phase epitaxy
US5259233A (en) * 1991-04-24 1993-11-09 American Air Liquide Counterflow valve
US5458086A (en) * 1993-10-13 1995-10-17 Superconductor Technologies, Inc. Apparatus for growing metal oxides using organometallic vapor phase epitaxy
US5866198A (en) * 1992-06-17 1999-02-02 Kabushiki Kaisha Toshiba Method of fabricating a compound semiconductor having a plurality of layers using a flow compensation technique
US5922286A (en) * 1996-06-18 1999-07-13 L'air Liquide, Societe Anonyme Pour L'atude Et L'exploitation Des Procedes Georges Claude Device for delivering any one of a plurality of gases to an apparatus
US5948169A (en) * 1998-03-11 1999-09-07 Vanguard International Semiconductor Corporation Apparatus for preventing particle deposition in a capacitance diaphragm gauge
US6063198A (en) * 1998-01-21 2000-05-16 Applied Materials, Inc. High pressure release device for semiconductor fabricating equipment
US20020050322A1 (en) * 2000-10-31 2002-05-02 Junji Kunisawa Holding unit, processing apparatus and holding method of substrates
US6523567B2 (en) * 1999-07-01 2003-02-25 Nippon Sanso Corporation Apparatus and process for supplying gas
US20040115584A1 (en) * 2001-03-30 2004-06-17 Tsuneyuki Okabe Heat treating method and heat treating device
US20050051100A1 (en) * 2000-12-15 2005-03-10 Chiang Tony P. Variable gas conductance control for a process chamber
US20050263803A1 (en) * 2001-03-28 2005-12-01 Mariko Takayanagi Semiconductor device includes gate insulating film having a high dielectric constant
US20060130755A1 (en) * 2004-12-17 2006-06-22 Clark William R Pulsed mass flow measurement system and method
US20060156980A1 (en) * 2005-01-19 2006-07-20 Samsung Electronics Co., Ltd. Apparatus including 4-way valve for fabricating semiconductor device, method of controlling valve, and method of fabricating semiconductor device using the apparatus
US20060231026A1 (en) * 2003-07-18 2006-10-19 Moon-Sook Lee Vapor deposition systems having separate portions configured for purging using different materials

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61195043A (en) 1985-02-25 1986-08-29 Mitsubishi Electric Corp Impedance matching system for quick digital signal transmission line
JPH0526736Y2 (en) * 1985-05-24 1993-07-07
DE3537544C1 (en) * 1985-10-22 1987-05-21 Aixtron Gmbh Gas inlet device for reaction vessels
JPH0647073B2 (en) * 1988-07-08 1994-06-22 忠弘 大見 Gas supply piping equipment for process equipment
JPH01220821A (en) * 1988-02-29 1989-09-04 Furukawa Electric Co Ltd:The Gas controlling method for vapor growth equipment
US4907534A (en) * 1988-12-09 1990-03-13 Siemens Aktiengesellschaft Gas distributor for OMVPE Growth
JPH0323625A (en) * 1989-06-21 1991-01-31 Matsushita Electric Ind Co Ltd Semiconductor vapor growth apparatus
JPH0362921A (en) * 1989-07-31 1991-03-19 Matsushita Electric Ind Co Ltd Vapor growth apparatus for organic metal
JPH0478388A (en) * 1990-07-20 1992-03-12 Nippon Sanso Kk Valve device
JPH04302138A (en) * 1991-03-29 1992-10-26 Furukawa Electric Co Ltd:The Vapor growth device for semiconductor wafer
JP3046643B2 (en) * 1991-06-10 2000-05-29 富士通株式会社 Method for manufacturing semiconductor device
JPH0547665A (en) * 1991-08-12 1993-02-26 Fujitsu Ltd Vapor growth method
JP3405466B2 (en) * 1992-09-17 2003-05-12 富士通株式会社 Fluid switching valve and semiconductor device manufacturing apparatus
JPH06163425A (en) * 1992-11-20 1994-06-10 Toshiba Corp Vapor growth device
JPH07175A (en) 1993-06-15 1995-01-06 Kubota Corp Device for culturing nematodes
JPH0758032A (en) * 1993-08-09 1995-03-03 Hitachi Electron Eng Co Ltd Apparatus and method for controlling pressure
JP4002060B2 (en) * 2000-09-26 2007-10-31 株式会社島津製作所 Liquid material supply device
JP3814526B2 (en) * 2001-11-29 2006-08-30 東京エレクトロン株式会社 Processing method and processing apparatus
KR100452525B1 (en) 2001-12-26 2004-10-12 주성엔지니어링(주) Gas injector suitable for ALD process
JP2003257875A (en) * 2002-03-05 2003-09-12 Fujitsu Ltd Method for manufacturing semiconductor device and film forming method
KR100478012B1 (en) 2002-05-02 2005-03-22 주성엔지니어링(주) Gas providing system of ALD process module
KR100483208B1 (en) 2002-08-02 2005-04-15 주성엔지니어링(주) Valve system of thin-firm deposition apparatus for atomic layer deposition
JP4251020B2 (en) * 2003-06-13 2009-04-08 セイコーエプソン株式会社 Manufacturing method of semiconductor device
JP2005015904A (en) * 2003-06-30 2005-01-20 Fuji Electric Device Technology Co Ltd Method and apparatus for manufacturing semiconductor device

Patent Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4950621A (en) * 1984-11-06 1990-08-21 Secretary of the State for Defence in Her Majesty's Government of the United Kingdom of Great Britain and Northern Ireland Method of growing crystalline layers by vapor phase epitaxy
US4906257A (en) * 1987-09-25 1990-03-06 Takeda Chemical Industries, Ltd. Method of and apparatus for treating waste gas from semiconductor manufacturing process
US4911101A (en) * 1988-07-20 1990-03-27 General Electric Company Metal organic molecular beam epitaxy (MOMBE) apparatus
US5259233A (en) * 1991-04-24 1993-11-09 American Air Liquide Counterflow valve
US5866198A (en) * 1992-06-17 1999-02-02 Kabushiki Kaisha Toshiba Method of fabricating a compound semiconductor having a plurality of layers using a flow compensation technique
US5458086A (en) * 1993-10-13 1995-10-17 Superconductor Technologies, Inc. Apparatus for growing metal oxides using organometallic vapor phase epitaxy
US5922286A (en) * 1996-06-18 1999-07-13 L'air Liquide, Societe Anonyme Pour L'atude Et L'exploitation Des Procedes Georges Claude Device for delivering any one of a plurality of gases to an apparatus
US6063198A (en) * 1998-01-21 2000-05-16 Applied Materials, Inc. High pressure release device for semiconductor fabricating equipment
US5948169A (en) * 1998-03-11 1999-09-07 Vanguard International Semiconductor Corporation Apparatus for preventing particle deposition in a capacitance diaphragm gauge
US6523567B2 (en) * 1999-07-01 2003-02-25 Nippon Sanso Corporation Apparatus and process for supplying gas
US20020050322A1 (en) * 2000-10-31 2002-05-02 Junji Kunisawa Holding unit, processing apparatus and holding method of substrates
US20050051100A1 (en) * 2000-12-15 2005-03-10 Chiang Tony P. Variable gas conductance control for a process chamber
US20050263803A1 (en) * 2001-03-28 2005-12-01 Mariko Takayanagi Semiconductor device includes gate insulating film having a high dielectric constant
US20040115584A1 (en) * 2001-03-30 2004-06-17 Tsuneyuki Okabe Heat treating method and heat treating device
US20060231026A1 (en) * 2003-07-18 2006-10-19 Moon-Sook Lee Vapor deposition systems having separate portions configured for purging using different materials
US20060130755A1 (en) * 2004-12-17 2006-06-22 Clark William R Pulsed mass flow measurement system and method
US20060156980A1 (en) * 2005-01-19 2006-07-20 Samsung Electronics Co., Ltd. Apparatus including 4-way valve for fabricating semiconductor device, method of controlling valve, and method of fabricating semiconductor device using the apparatus

Also Published As

Publication number Publication date
DE102006003100B4 (en) 2008-04-10
US20130029477A1 (en) 2013-01-31
US9406502B2 (en) 2016-08-02
US20060156980A1 (en) 2006-07-20
JP5473184B2 (en) 2014-04-16
US9029244B2 (en) 2015-05-12
US20150221497A1 (en) 2015-08-06
DE102006003100A1 (en) 2006-08-17
JP2006203208A (en) 2006-08-03
US20160281234A1 (en) 2016-09-29
US9702041B2 (en) 2017-07-11

Similar Documents

Publication Publication Date Title
US9702041B2 (en) Apparatus including 4-way valve for fabricating semiconductor device, method of controlling valve, and method of fabricating semiconductor device using the apparatus
KR100699861B1 (en) Apparatus having 4-way valve for fabricating semiconductor device, method of controling valve and method of fabricating semiconductor device using the same
US20040250765A1 (en) Processing apparatus
JP2000212752A (en) Reaction chamber gas flowing method and shower head used therefor
US20060175012A1 (en) Semiconductor fabrication equipment and method for controlling pressure
US20070051310A1 (en) Semiconductor manufacturing apparatus
US20030066483A1 (en) Atomic layer deposition apparatus and method for operating the same
US8039054B2 (en) Layer deposition methods
US20050155551A1 (en) Deposition apparatus and related methods including a pulse fluid supplier having a buffer
US20060251815A1 (en) Atomic layer deposition methods
US9269567B2 (en) High productivity combinatorial processing using pressure-controlled one-way valves
US20060062916A1 (en) Atomic layer deposition apparatus and method
KR100651599B1 (en) Atomic layer deposition device
KR100483208B1 (en) Valve system of thin-firm deposition apparatus for atomic layer deposition
KR100407507B1 (en) Gas injector for ALD device
KR100478012B1 (en) Gas providing system of ALD process module
US20060231026A1 (en) Vapor deposition systems having separate portions configured for purging using different materials
JP3854555B2 (en) Thin film forming apparatus and thin film forming method
CN220116662U (en) Multi-cavity film deposition device
KR100481794B1 (en) Gas providing system of ALD process module
KR100422048B1 (en) side floe type shower ring injector
CN116288279B (en) Vapor deposition device and substrate processing method
CN117448782A (en) Air supply equipment, air supply method and processing device of deposition process
KR20060013282A (en) Method for exhausting process gas, and method and apparatus of depositing an atomic layer using the same
KR20230137714A (en) Deposition Apparatus and a Deposition Method using the Deposition Apparatus

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION