US20110132260A1 - Manufacturing apparatus - Google Patents

Manufacturing apparatus Download PDF

Info

Publication number
US20110132260A1
US20110132260A1 US13/024,984 US201113024984A US2011132260A1 US 20110132260 A1 US20110132260 A1 US 20110132260A1 US 201113024984 A US201113024984 A US 201113024984A US 2011132260 A1 US2011132260 A1 US 2011132260A1
Authority
US
United States
Prior art keywords
evaporation
chamber
film forming
container
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/024,984
Inventor
Shunpei Yamazaki
Masakazu Murakami
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Energy Laboratory Co Ltd
Original Assignee
Semiconductor Energy Laboratory Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Energy Laboratory Co Ltd filed Critical Semiconductor Energy Laboratory Co Ltd
Priority to US13/024,984 priority Critical patent/US20110132260A1/en
Publication of US20110132260A1 publication Critical patent/US20110132260A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/12Organic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • C23C14/243Crucibles for source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • H10K71/10Deposition of organic active material
    • H10K71/16Deposition of organic active material using physical vapour deposition [PVD], e.g. vacuum deposition or sputtering
    • H10K71/164Deposition of organic active material using physical vapour deposition [PVD], e.g. vacuum deposition or sputtering using vacuum deposition
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/907Continuous processing
    • Y10S438/908Utilizing cluster apparatus

Definitions

  • the present invention relates to a manufacturing apparatus used for film formation of a material that can be formed into a film by evaporation (hereinafter referred to as evaporation material) and a manufacturing method of a light emitting device typified by an EL element.
  • evaporation material a material that can be formed into a film by evaporation
  • the present invention relates to a technique using an organic material as the evaporation material and being effective when manufacturing a light emitting device.
  • the term “light emitting device” in this specification refers to an image display device, a light emitting device, or a light source (including illuminating devices).
  • the light emitting device includes a module in which a connector such as an FPC (flexible printed circuit), a TAB (tape automated bonding) tape, or a TCP (tape carrier package) is attached to a light emitting device; a module in which a printed wiring board is provided on the tip of a TAB tape or a TCP; and a module in which an IC (integrated circuit) is mounted directly to a light emitting element by a COG (chip on glass) method.
  • a connector such as an FPC (flexible printed circuit), a TAB (tape automated bonding) tape, or a TCP (tape carrier package) is attached to a light emitting device
  • a module in which a printed wiring board is provided on the tip of a TAB tape or a TCP and a module in which an IC (integrated circuit) is mounted directly to a light emitting element by a COG (chip on glass) method.
  • COG chip on glass
  • an EL element has a layer (hereinafter referred to as EL layer) containing an organic compound in which luminescence developed by applying an electric field (electroluminescence) is obtained, an anode, and a cathode.
  • the types of the organic compound luminescence includes light emission when returning from a singlet excitation state to a ground state (fluorescence) and light emission when returning from a triplet excitation state to a ground state (phosphorescence). Both types of light emission can be applied to a light emitting device produced by a film forming apparatus and a film formation method according to the present invention.
  • light emitting devices are of a self-luminous type, thereby causing no problem of a view angle. More specifically, the light emitting devices are more suitable as a display used outside than the liquid crystal displays. Thus, the use of the light emitting devices in various forms has been proposed.
  • An EL element has a structure in which an EL layer is sandwiched between a pair of electrodes, and the EL layer normally has a laminate structure.
  • a typical example of the laminate structure is one composed of “a hole transporting layer/a light emitting layer/and an electron transporting layer”.
  • Most of the light emitting devices currently under research and development adopt the structure due to its extremely high light emitting efficiency.
  • another laminate structure may be used in which: a hole injecting layer, a hole transporting layer, a light emitting layer, and an electron transporting layer are laminated onto an anode in the stated order; or a hole injecting layer, a hole transporting layer, a light emitting layer, an electron transporting layer, and an electron injecting layer are laminated onto an anode in the stated order.
  • Fluorescent pigments and the like may also be doped into the light emitting layers.
  • all of the above layers may be formed using only low-molecular weight materials, or may be formed using only high-molecular weight materials.
  • EL materials used to form an EL layer are broadly divided into low-molecular weight (monomer-based) materials and high-molecular weight (polymer-based) materials, while the low-molecular weight materials are formed into films mainly by evaporation.
  • the EL material is extremely likely to deteriorate, because the EL material is easily oxidized due to presence of oxygen or moisture. Thus, a photolithography step cannot be performed after film formation.
  • a mask hereinafter referred to as evaporation mask
  • evaporation mask having an opening portion to separate out the pattern region at the same time of the film formation. Therefore, most of the sublimated organic EL materials are adhered to an inner wall of a film forming chamber or an adhesion proof shield (protective plate for preventing an evaporation material from adhering to the inner wall of the film forming chamber).
  • an evaporation apparatus needs regular maintenance such as a cleaning process for removing adhered substances from the inner wall of the film forming chamber and the adhesion proof shield, thereby making it inevitable to temporarily stop a manufacturing line for mass production during the maintenance.
  • conventional evaporation apparatuses have a larger interval between a substrate and an evaporation source, so that the apparatuses per se have a larger size.
  • the conventional evaporation apparatuses have a structure, as shown in FIG. 22 , in which the interval between a substrate and an evaporation source is set to 1 m or more and the substrate is rotated to obtain a film having a uniform thickness.
  • the evaporation apparatuses have such a structure as to rotate the substrate, so that there is a limitation on an evaporation apparatus for attaining a large-area substrate.
  • the interval between a substrate and an evaporation source is large, so that a speed of film formation is reduced and a longer time is necessary to exhaust a film forming chamber, thereby reducing the throughput.
  • the utilization efficiency of an expensive EL material is as extremely low as approximately 1% or low.
  • the manufacturing costs of a light emitting device are extremely high.
  • An EL material is extremely expensive and costs higher per gram than gold costs per gram. Therefore it is desired to use an EL material as efficiently as possible. However, in the conventional evaporation apparatuses, the utilization efficiency of the expensive EL material is low.
  • the present invention has been made in view of the above, and therefore has an object to provide an evaporation apparatus and a manufacturing apparatus, which are capable of improving a utilization efficiency of an EL material, excellent in uniformity, and excellent in throughput.
  • the present invention has another object to reduce a processing time per substrate.
  • Another object of the present invention is to provide a manufacturing apparatus capable of maintenance of a film forming chamber without temporarily stopping a manufacturing line.
  • a manufacturing system capable of preventing impurities from mixing into an EL material.
  • a multi-chamber manufacturing apparatus having plural film forming chambers, including a first film forming chamber for subjecting a first substrate to evaporation and a second film forming chamber for subjecting a second substrate to evaporation, characterized in that plural organic compound layers are laminated in respective film forming chambers in parallel, thereby reducing a processing time per substrate. More specifically, after the first substrate is loaded from a transfer chamber into the first film forming chamber, a surface of the first substrate is subjected to evaporation, while after the second substrate is loaded from the transfer chamber into the second film forming chamber, a surface of the second substrate is also subjected to evaporation.
  • FIG. 6A showing an example of a sequence from loading of substrates to unloading of the substrates, it is possible that four substrates are loaded into the respective film forming chambers and sequentially subjected to evaporation in parallel.
  • plural chambers are provided at least as evaporation chambers and heating chambers, and a single chamber may be provided as another chamber having a relatively short processing time. Accordingly, the present invention allows efficient mass production.
  • a manufacturing apparatus including:
  • the plural film forming chambers are each connected to a vacuum-exhaust process chamber for setting an inside of the film forming chamber to vacuum, and each include: alignment means for performing position alignment of a mask and a substrate; an evaporation source; and means for heating the evaporation source; and
  • a manufacturing apparatus including:
  • the plural film forming chambers are each connected to a vacuum-exhaust process chamber for setting an inside of the film forming chamber to vacuum, and each include: alignment means for performing position alignment of a mask and a substrate; an evaporation source; and means for heating the evaporation source;
  • each substrate is assigned to one of the plural sealing chambers to be sealed therein.
  • a manufacturing apparatus including:
  • the plural film forming chambers are each connected to a vacuum-exhaust process chamber for setting an inside of the film forming chamber to vacuum, and each include: alignment means for performing position alignment of a mask and a substrate; an evaporation source; and means for heating the evaporation source; and
  • a hole transporting layer (referred to as HTL), a light emitting layer, and an electron transporting layer (referred to as ETL) are continuously laminated in the same film forming chamber, thereby improving the throughput.
  • HTL hole transporting layer
  • ETL electron transporting layer
  • plural evaporation source holders evaporation source holders each moving in the direction X or in the direction Y
  • FIGS. 9A and 9B By using an evaporation apparatus in FIGS. 9A and 9B , a utilization efficiency of an evaporation material can be improved.
  • the respective structures described above are characterized in that in at least two of the plural film forming chambers, evaporation processes of layers containing the same organic compound are performed in parallel.
  • a transfer path for a substrate is divided into the same number of paths as that of the film forming chambers arranged in connection to each transfer chamber, so that film formation can be efficiently performed in order.
  • a manufacturing apparatus including:
  • the plural film forming chambers are each connected to a vacuum-exhaust process chamber for setting an inside of the film forming chamber to vacuum, and each include: alignment means for performing position alignment of a mask and a substrate; an evaporation source; and means for heating the evaporation source; and
  • plural substrates loaded into the load chamber are each assigned to one of the plural film forming chambers in the transfer chamber to be loaded thereinto, and each substrate undergoes processes along one of different paths whose number is the same as that of the film forming chambers.
  • a hole transporting layer, a light emitting layer, and an electron transporting layer may preferably be continuously laminated in the same film forming chamber.
  • a film forming apparatus as shown in FIGS. 9A and 9B , that is, an evaporation apparatus provided with plural, at least three or more, evaporation source holders (evaporation source holders each moving in the direction X or in the direction Y) in one film forming chamber. Note that as shown in FIG.
  • all the necessary organic layers for example, the hole transporting layer, the light emitting layer, and the electron transporting layer may continuously be laminated in different three film forming chambers (a film forming chamber for a red light emitting element, a film forming chamber for a blue light emitting element, and a film forming chamber for a green light emitting element).
  • the hole transporting layer, the light emitting layer, and the electron transporting layer which are to compose a red light emitting element are selectively laminated by using an evaporation mask (R) in a first chamber; the hole transporting layer, the light emitting layer, and the electron transporting layer which are to compose a blue light emitting element are selectively laminated by using an evaporation mask (B) in a second chamber; and the hole transporting layer, the light emitting layer, and the electron transporting layer which are to compose a green light emitting element are selectively laminated by using an evaporation mask (G) in a third chamber, thereby realizing full-color display.
  • R evaporation mask
  • B evaporation mask
  • G evaporation mask
  • the hole transporting layer, the light emitting layer, and the electron transporting layer are laminated in one chamber, in order to realize the full-color display, for example, materials (organic materials to become the hole transporting layer and the electron transporting layer) optimum for a given color (R, G, or B) can be selected appropriately.
  • materials organic materials to become the hole transporting layer and the electron transporting layer
  • the feature of the present invention also resides in that the film thicknesses of those layers can be changed in accordance with colors. Therefore, different materials can be used for all the nine types of layers in total: the hole transporting layer, the light emitting layer, and the electron transporting layer for R; the hole transporting layer, the light emitting layer, and the electron transporting layer for G; and the hole transporting layer, the light emitting layer, and the electron transporting layer for B.
  • organic materials to become the hole transporting layer or the electron transporting layer may be used as the common materials.
  • the hole transporting layers, the light emitting layers, and the electron transporting layers for R, G, and B are laminated in the different three film forming chambers
  • an example of the path for one substrate is shown simply by the arrows in FIG. 5 .
  • a layer containing an organic compound for red light emission is formed into a laminate film, and then the first substrate is unloaded.
  • a layer containing an organic compound for green light emission is formed into a laminate film
  • a layer containing an organic compound for red light emission may be laminated to the second substrate to form a film.
  • a layer containing an organic compound for blue light emission is formed into a laminate film
  • a third substrate is loaded into the first film forming chamber, and layers may sequentially be laminated to the respective substrates.
  • the present invention is not limited to the structure in which the hole transporting layer, the light emitting layer, and the electron transporting layer are continuously laminated in the same chamber.
  • the hole transporting layer, the light emitting layer, and the electron transporting layer may be laminated in plural chambers connected to each other.
  • the hole transporting layer to compose a green light emitting element is formed into a film in the first chamber
  • the light emitting layer to compose the green light emitting element is formed into a film in the second chamber
  • the electron transporting layer to compose the green light emitting element is formed into a film in the third chamber.
  • the layers containing an organic compound for green light emission may be formed into laminate films.
  • the laminate structure of the three layers consisting of the hole transporting layer, the light emitting layer, and the electron transporting layer.
  • Another laminate structure may be used in which: a hole injecting layer, a hole transporting layer, a light emitting layer, and an electron transporting layer are laminated onto an anode in the stated order; or a hole injecting layer, a hole transporting layer, a light emitting layer, an electron transporting layer, and an electron injecting layer are laminated onto an anode in the stated order.
  • a double layer structure or a single layer structure may be used.
  • Fluorescent pigments and the like may also be doped into the light emitting layers.
  • examples of the light emitting layers include a light emitting layer having hole transportability and a light emitting layer having electron transportability.
  • all of the above layers may be formed using only low-molecular weight materials, or one or several layers of the above layers may be formed using high-molecular weight materials.
  • the layers provided between the cathode and the anode are generically referred to as a layer (EL layer) containing an organic compound. Therefore, the hole injecting layer, the hole transporting layer, the light emitting layer, the electron transporting layer, and the electron injecting layer which are described above are all included in the EL layers.
  • the layer (EL layer) containing an organic compound may also contain an inorganic material such as silicon.
  • a light emitting element has a layer (hereinafter referred to as EL layer) containing an organic compound in which luminescence developed by applying an electric field (electroluminescence) is obtained, an anode, and a cathode.
  • the types of the organic compound luminescence includes light emission when returning from a singlet excitation state to a ground state (fluorescence) and light emission when returning from a triplet excitation state to a ground state (phosphorescence). Both types of light emission can be applied to a light emitting device produced according to the present invention.
  • a drive method for screen display there is no particular limitation on a drive method for screen display.
  • a dot-sequential drive method, a line-sequential drive method, a plane-sequential drive method, and the like are used.
  • the line-sequential drive method is used, and a time-division gray scale drive method and an area gray scale drive method may also be used appropriately.
  • a picture signal inputted to a source line of the light emitting device may be an analog signal or may be a digital signal, so that drive circuits and the like may be designed appropriately in accordance with picture signals.
  • FIG. 1 shows an example of a manufacturing apparatus according to Embodiment 1 of the present invention
  • FIGS. 2A and 2B each show an example of a sequence for the manufacturing apparatus according to Embodiment 1;
  • FIG. 3 shows an example of a transfer path for a substrate according to Embodiment 1;
  • FIG. 4 shows another example of the sequence for the manufacturing apparatus according to Embodiment 1;
  • FIG. 5 shows an example of the transfer path for a substrate according to Embodiment 1;
  • FIGS. 6A and 6B each show another example of the sequence for the manufacturing apparatus according to Embodiment 1;
  • FIG. 7 shows an example of a transfer path for two substrates according to Embodiment 1;
  • FIGS. 8A to 8C show an evaporation apparatus according to Embodiment 2 of the present invention.
  • FIGS. 9A and 9B show the evaporation apparatus according to Embodiment 2 of the present invention.
  • FIGS. 10A and 10B show examples of a container according to Embodiment 3 of the present invention.
  • FIGS. 11A and 11B show other examples of the container according to Embodiment 3 of the present invention.
  • FIGS. 12A and 12B show examples of an evaporation source holder according to Embodiment 3 of the present invention.
  • FIG. 13 shows a manufacturing system according to Embodiment 4 of the present invention.
  • FIG. 14 shows a transfer container according to Embodiment 4 of the present invention.
  • FIGS. 15A and 15B show an evaporation apparatus according to Embodiment 4 of the present invention.
  • FIGS. 16A and 16B show the evaporation apparatus according to Embodiment 4 of the present invention.
  • FIGS. 17A and 17B show a light emitting device according to Example 1 of the present invention
  • FIGS. 18A and 18B show the light emitting device according to Example 1 of the present invention
  • FIGS. 19A to 19C show the light emitting device according to Example 1 of the present invention.
  • FIGS. 20A to 20H each show an example of electronic equipment using the present invention.
  • FIG. 21 shows another example of the manufacturing apparatus according to Embodiment 1 of the present invention.
  • FIG. 22 shows a conventional evaporation apparatus.
  • FIG. 1 shows an example of a manufacturing apparatus of a multi-chamber system in which all production processes are automated from a process of forming a first electrode to a sealing process.
  • the multi-chamber manufacturing apparatus shown in FIG. 1 includes: gates 100 a to 100 s ; a take-out chamber 119 ; transfer chambers 104 a , 102 , 114 , and 118 ; handing-over chambers 105 and 107 ; a preparation chamber 101 ; a first film forming chamber 106 A; a second film forming chamber 106 B; a third film forming chamber 106 C; a fourth film forming chamber 106 D; other film forming chambers 109 a , 109 b , 113 a , and 113 b ; process chambers 120 a and 120 b ; setting chambers 126 A, 126 B, 126 C, and 126 D for installing an evaporation source; pre-treatment chambers 103 a and 103 b ; a first sealing chamber 116 a ; a second sealing chamber 116 b ; a first stock chamber 130 a ; a second stock chamber 130 b ; cassette chambers 131
  • the above-mentioned substrate is set in the cassette chamber 131 a or 131 b.
  • the substrate has a large size (for example, 300 mm ⁇ 360 mm)
  • the substrate is set in the cassette chamber 131 a and 131 b .
  • the substrate has a normal size (for example, 127 mm ⁇ 127 mm)
  • the substrate is transferred to the tray putting stage 121 and plural substrates are set in a tray (having a size of, for example, 300 mm ⁇ 360 mm).
  • the plural substrates each formed with the thin-film transistor, the anode, and the insulator covering an end portion of the anode are transferred to the transfer chamber 118 , and then further transferred to the washing chamber 122 to remove impurities (such as fine particles) from the substrate surfaces by using a solution. If the substrates are washed in the washing chamber 122 , the substrates are set with the surfaces to be formed with a film facing downward at the atmospheric pressure.
  • the substrates may be transferred to the pretreatment chambers 103 a and 103 b each connected to the transfer chamber 118 , to be subjected to annealing therein. Also, if a film containing an organic compound formed to an unnecessary portion is to be removed, the substrates are transferred to the pretreatment chambers 103 a and 103 b to selectively remove a laminate of organic compound film layers.
  • the pretreatment chambers 103 a and 103 b each have plasma generating means, and generate plasma by exciting one or plural kinds of gas selected from the group consisting of Ar, H, F, and O, to thereby perform dry etching.
  • the two pretreatment chambers 103 a and 103 b are provided to allow treatment on the two substrates substantially in parallel with each other.
  • the substrates are transferred from the transfer chamber 118 provided with a substrate transferring mechanism to the preparation chamber 101 .
  • the preparation chamber 101 has a substrate inverting mechanism and can invert the substrates appropriately.
  • the preparation chamber 101 is connected to a vacuum-exhaust process chamber and preferably set to the atmospheric pressure by introducing inert gas into the chamber after the chamber is vacuum-exhausted.
  • the substrates are transferred to the transfer chamber 102 connected to the preparation chamber 101 . It is preferable that the transfer chamber 102 be vacuum-exhausted in advance and maintain vacuum so as to contain as little moisture and oxygen as possible.
  • the above-mentioned vacuum-exhaust process chamber is provided with a magnetic levitation turbomolecular pump, a cryopump, or a dry pump.
  • the pump makes it possible for the transfer chamber connected to the preparation chamber to reach a vacuum level of 10 ⁇ 5 to 10 ⁇ 6 Pa.
  • reverse diffusion of impurities from the pump side and the exhaust system can be controlled.
  • inert gas such as nitrogen or rare gas is introduced. Used as the gas introduced into the device is one that is refined to have a high purity by a gas refining machine prior to introduction to the device interior.
  • the substrates are transferred from the transfer chamber 102 to the first forming chamber 106 A, the second film forming chamber 106 B, the third film forming chamber 106 C, and the fourth film forming chamber 106 D. Then, low-molecular weight organic compound layers are formed which serve as hole injecting layers, hole transporting layers, and light emitting layers.
  • organic compound layers exhibiting single-color (specifically white) light emission or full-color (specifically red, green, and blue) light emission can be formed.
  • the organic compound layers exhibiting white light emission are formed in the respective film forming chambers 106 A, 106 B, 106 C, and 106 D at the same time (each film forming process is performed approximately in parallel).
  • the organic compound layers exhibiting white light emission are a laminate of light emitting layers having different light emission colors
  • the organic compound layers are broadly divided into two types: a three wavelength type that includes the primary colors of red, green, and blue and a two wavelength type that utilizes the relationship between complementary colors of blue and yellow or blue-green and orange.
  • a white light emitting element is obtained using the three wavelength type.
  • the film forming chambers 106 A, 106 B, 106 C, and 106 D each have movable evaporation source holders, which are prepared in plural numbers.
  • a first evaporation source holder has aromatic diamine (referred to as TPD) for forming a white light emitting layer sealed therein;
  • a second evaporation source holder has p-EtTAZ for forming a white light emitting layer sealed therein;
  • a third evaporation source holder has Alq 3 for forming a white light emitting layer sealed therein;
  • a fourth evaporation source holder has an EL material sealed therein which is obtained by doping Alq 3 for forming a white light emitting layer with Nile Red that is a red light emitting pigment;
  • a fifth evaporation source holder has Alq 3 sealed therein.
  • EL materials be set in the above film forming chambers by using the following manufacturing system. That is, it is preferable that a container (typically a melting pot) in which an EL material is stored in advance by a material manufacturer be used to form a film. In addition, it is preferable that the container be set without being exposed to the atmosphere. Thus, it is preferable that the melting pot be sealed in a second container when being shipped from the material manufacturer, and then be loaded into a film forming chamber while maintaining the sealed state.
  • a container typically a melting pot
  • the melting pot be sealed in a second container when being shipped from the material manufacturer, and then be loaded into a film forming chamber while maintaining the sealed state.
  • the setting chambers 126 A, 126 B, 126 C, and 126 D each having vacuum-exhaust means and connected to the film forming chambers 106 A, 106 B, 106 C, and 106 D, respectively, be set to vacuum or an inert gas atmosphere, and melting pots be taken out of second containers in the setting chambers and then be set in the film forming chambers.
  • a melting pot and an EL material stored in the melting pot can be protected from contamination.
  • metal masks may be stocked in the setting chambers 126 A, 126 B, 126 C, and 126 D.
  • a mask is transferred from the setting chamber described above and set as the need arises.
  • the first to fifth evaporation source holders start to move sequentially to perform evaporation to the substrate. More specifically, TPD from the first evaporation source holder is sublimated by heating and is deposited by evaporation on the entire surface of the substrate.
  • evaporation in the film forming chamber that is vacuum-exhausted to reach a vacuum level of 5 ⁇ 10 ⁇ 3 Torr (0.665 Pa) or lower, preferably, 10 ⁇ 4 to 10 ⁇ 6 Pa.
  • FIG. 7 shows a simple example of the path along which two of the four substrates are processed.
  • the substrate is transferred from the transfer chamber 102 to the handing-over chamber 105 , and further transferred from the handing-over chamber 105 to the transfer chamber 104 a without being exposed to the atmosphere.
  • a transfer mechanism set in the transfer chamber 104 a is used to transfer the substrate to the film forming chamber 109 a or the film forming chamber 109 b , and then a cathode is formed to the substrate.
  • the cathode may be formed by laminating two cathodes (lower layer and upper layer).
  • the cathode (lower layer) is formed of an extremely thin metal film (MgAg, MgIn, AlLi, CaN, or like other alloy film, or a film formed by co-evaporation of aluminum and an element that belongs to Group 1 or 2 in the periodic table) formed by evaporation using resistance heating.
  • the cathode (upper layer) is formed of a transparent conductive film (an indium tin oxide alloy (ITO) film, an indium oxide-zinc oxide alloy (In 2 O 3 —ZnO) film a zinc oxide (ZnO) film, or the like) formed by sputtering. Therefore, it is preferable that a film forming chamber for forming a thin metal film be arranged in the manufacturing apparatus.
  • a transparent conductive film an indium tin oxide alloy (ITO) film, an indium oxide-zinc oxide alloy (In 2 O 3 —ZnO) film a zinc oxide (ZnO) film, or the like
  • a light emitting element having a laminate structure as shown in FIGS. 17A and 17B is formed.
  • the substrate is transferred from the transfer chamber 104 a to the film forming chamber 113 a or 113 b , and then a protective film consisting of a silicon nitride film or a silicon nitroxide film is formed to the substrate.
  • the film forming chambers 113 a and 113 b each include a silicon target, a silicon oxide target, or a silicon nitride target.
  • a silicon nitride film can be formed by using the silicon target and setting the atmosphere in the film forming chamber to a nitrogen atmosphere or an atmosphere containing nitrogen and argon.
  • FIG. 1 shows an example system provided with the two film forming chambers 113 a and 113 b such that a protective film can be formed to two substrates approximately in parallel.
  • the substrate formed with a light emitting device is transferred from the transfer chamber 104 a to the handing-over chamber 107 , and further transferred from the handing-over chamber 107 to the transfer chamber 114 . Then, the substrate formed with a light emitting device is transferred from the transfer chamber 114 to the first sealing chamber 116 a or the second sealing chamber 116 b . Note that in the first sealing chamber 116 a and the second sealing chamber 116 b , a sealing member for bonding substrates to each other later or for sealing a substrate is formed.
  • FIG. 1 shows an example system provided with the two sealing chambers 116 a and 116 b such that a bonding process can be performed to two substrates approximately in parallel.
  • a sealing substrate is set in the first stock chamber 130 a or the second stock chamber 130 b from the outside for preparation. Note that in order to remove moisture and other impurities, it is preferable that the sealing substrate be subjected to annealing in vacuum in advance, for example, within the first stock chamber 130 a or the second stock chamber 130 b . If the sealing member for bonding the sealing substrate and the substrate on which the light emitting element is formed is formed on the sealing substrate, the sealing member is formed in the first stock chamber 130 a or the second stock chamber 130 b , and the sealing substrate on which the sealing member is formed is then transferred to the first sealing chamber 116 a or the second sealing chamber 116 b .
  • the sealing substrate may be provided with a desiccant in the first sealing chamber 116 a or the second sealing chamber 116 b .
  • the first sealing chamber 130 a and the second sealing chamber 130 b may be stocked with an evaporation mask to be used at the time of evaporation.
  • the sealing substrate provided with the sealing member and the substrate formed with the light emitting device are bonded to each other. Also, an enclosed space is filled with nitrogen or inert gas. Note that here, the example of forming the sealing member on the sealing substrate is shown. However, there is no particular limitation and the sealing member may be formed on the substrate formed with the light emitting element.
  • the pair of bonded substrates are irradiated with UV light by a UV ray irradiation mechanism provided in the sealing chamber 116 a , 116 b to thereby cure the sealing member.
  • a UV-curable and thermoset resin is used here as the sealing member.
  • an adhesive is used as the sealing member, and such a resin as one curable by only a UV ray may be used.
  • a resin may be filled therein.
  • the material for the resin to be filled are not particularly limited and the UV-curable resin or an opaque resin may be used.
  • a UV ray transmits through the cathode to cause damage to the EL layer, so that the UV-curable resin cannot be used.
  • a transparent thermoset resin as the resin to be filled.
  • the pair of bonded substrates are transferred from the sealing chamber 116 a , 116 b to the transfer chamber 114 , and then transferred from the transfer chamber 114 to the take-out chamber 119 to be taken out thereof.
  • the sealing member is cured by heat treatment.
  • the thermoset resin can be cured simultaneously with the heat treatment for curing the sealing member.
  • the use of the manufacturing apparatus shown in FIG. 1 prevents a light emitting element from being exposed to the atmosphere before the light emitting element is completely sealed in an enclosed space. As a result, a light emitting device having high reliability can be produced.
  • the transfer chamber 114 alternates between vacuum and a nitrogen atmosphere at the atmospheric pressure. However, it is desirable that the transfer chambers 102 , 104 a , and 108 maintain vacuum all the time.
  • control drive unit which controls the path along which substrates are moved to individual process chambers, to thereby realize a fully automatic system.
  • a substrate formed with a transparent conductive film as the anode into the manufacturing apparatus shown in FIG. 1 , and form a light emitting element (having a structure in which light emission caused in a layer containing an organic compound is taken out from the transparent anode toward a TFT; hereinafter referred to as downward emission structure) that emits light in the direction opposite to that of the laminate structure described above.
  • a light emitting element having a structure in which light emission caused in a layer containing an organic compound is taken out from the transparent anode toward a TFT; hereinafter referred to as downward emission structure
  • both the anode and the cathode are composed of a transparent or translucent material
  • it is also possible to form a structure in which light emission caused in the layer containing the organic compound is taken out toward both the upper surface and the lower surface hereinafter referred to as double-sided emission structure.
  • FIG. 21 shows an example of a manufacturing apparatus provided with two take-out chambers because plural take-out chambers become necessary in the case where elements are produced by simultaneously processing two substrates in different sizes in parallel.
  • a mask stock chamber and a coating chamber are also provided in FIG. 21 . Note that in FIG. 21 , the same reference numerals as those in FIG. 1 are used.
  • reference numeral 100 t denotes a gate
  • 1003 the coating chamber 1003 the mask stock chamber
  • reference numerals 1019 a and 1019 b denote the take-out chambers.
  • the mask stock chamber 1013 is stocked with evaporation masks to be used at the time of evaporation.
  • the evaporation masks are appropriately transferred to each film forming chamber when performing evaporation, and then set therein.
  • the mask stock chamber 1013 may be stocked with not only the evaporation masks but also, for example, substrates.
  • a layer containing a high-molecular weight material may be formed by an ink jet method or a spin coating method.
  • an aqueous solution of poly(ethylene dioxythiophene)/poly(styrene sulfonic acid) (PEDOT/PSS) acting as a hole injecting layer (an anode buffer layer), an aqueous solution of polyaniline/camphor sulfonic acid (PANI/CSA), PTPDES, Et-PTPDEK, PPBA or the like may be coated over the entire surface of the first electrode (anode) and then subjected to baking.
  • the PEDOT/PSS film formed by the spin coating method covers the entire surface. Accordingly, it is preferable to selectively remove portions of the film that cover end surfaces and perimeter of the substrate, a terminal portion, a region where a cathode and a lower wiring are connected to each other, and the like. For example, it is preferable that the removal is performed by O 2 ashing or the like using a mask in the pretreatment chamber 103 a.
  • FIGS. 8A to 8C show a film forming system featured in moving a substrate and an evaporation source relative to each other.
  • FIG. 8A is a sectional view in X direction (a section taken along a dotted line A-A′)
  • FIG. 8B is a sectional view in Y direction (a section taken along a dotted line B-B′)
  • FIG. 8C is a top view.
  • FIGS. 8A , 8 B and 8 C show the evaporation system in the midst of evaporation.
  • the film forming system shown in FIGS. 8A to 8C is characterized in that in an evaporation system, an evaporation source holder installed with a container filled with an evaporation material is moved by a certain pitch relative to a substrate or the substrate is moved by a certain pitch relative to the evaporation source. Further, it is preferable to move the evaporation source holder by a certain pitch to overlap ends (skirts) of a sublimated evaporation material.
  • a single or a plurality of the evaporation source holders may be constituted, when the evaporation source holders are provided for respective laminated films of EL layers, evaporation can be carried out efficiently and continuously. Further, a single or a plurality of containers may be installed at the evaporation source holder and a plurality of containers filled with the same evaporation material may be installed. Further, when containers having different evaporation materials are arranged, a film can be formed at a substrate in a state of mixing the sublimated evaporation materials (this is referred to as common evaporation).
  • a film forming chamber 11 includes a substrate holder 12 , an evaporation source holder 17 installed with an evaporation shutter 15 , means for moving the evaporation source holder (not illustrated) and means for producing a low pressure atmosphere. Further, the film forming chamber 11 is installed with a substrate 13 and an evaporation mask 14 . Further, alignment of the evaporation mask may be confirmed by using a CCD camera (not illustrated). The evaporation source holder 17 is installed with a container filled with an evaporation material 18 . The film forming chamber 11 is vacuumed to a vacuum degree of 5 ⁇ 10 ⁇ 3 Torr (0.665 Pa) or lower, preferably, 10 ⁇ 4 through 10 ⁇ 6 Pa by the means for producing the low pressure atmosphere.
  • the evaporation material is previously sublimated (vaporized) by resistance heating and scattered in a direction of the substrate 13 by opening the shutter 15 in evaporation.
  • An evaporated evaporation material 19 is scattered in an upward direction and is selectively vapor-deposited on the substrate 13 by passing an opening portion provided at the evaporation mask 14 .
  • a speed of film formation, a moving speed of the evaporation holder and opening and closing of the shutter are controlled by a control unit such as a personal computer.
  • the evaporation rate of the evaporation source holder can be controlled by the moving speed.
  • evaporation can be carried out while measuring a film thickness of a deposited film by a quartz oscillator provided at the film forming chamber 11 .
  • a quartz oscillator provided at the film forming chamber 11 .
  • a change in mass of a film deposited to the quartz oscillator can be measured as a change in the resonance frequency.
  • a distance d of an interval between the substrate 13 and the evaporation source holder 17 can be reduced to, representatively, 30 cm or smaller, preferably, 20 cm or smaller, further preferably, 5 cm through 15 cm to thereby significantly promote an efficiency of utilizing the evaporation material and throughput.
  • the evaporation source holder 17 is constituted by a container (representatively, crucible), a heater arranged on an outer side of the container via a uniformly heating member, an insulating layer provided on an outer side of the heater, an outer cylinder containing these, a cooling pipe wound around an outer side of the outer cylinder and the evaporation shutter 15 for opening and closing an opening portion of the outer cylinder including an opening portion of a crucible.
  • the evaporation source holder 17 may be a container capable of being carried in a state of fixing the heater to the container.
  • the container is formed by a material of a sintered body of BN, a composite sintered body of BN and AlN, quartz or a graphite capable of withstanding high temperature, high pressure and low pressure.
  • the evaporation source holder 17 is provided with a mechanism movable in X direction or Y direction at inside of the film forming chamber 11 while maintaining a horizontal state.
  • the evaporation source holder 17 is made to move in zigzag on a two-dimensional plane as shown by FIG. 9A or FIG. 9B .
  • a pitch of moving the evaporation source holder 17 may pertinently be matched to an interval between insulators.
  • insulators 10 are arranged in a stripe shape to cover end portions of first electrodes 21 .
  • timings of starting to move the evaporation source holders A, B, C and D may be after stopping or before stopping preceding ones of the evaporation source holders.
  • an organic material capable of hole transporting at the evaporation holder A, an organic material serving as an light emitting layer at the evaporation holder B, an organic material capable of electron transporting at the evaporation holder C and a material serving as a cathode buffer at the evaporation holder D it is possible to continuously laminate these material layers in a same chamber.
  • a region mixed with evaporation materials may be formed at an interface of respective films.
  • the invention of moving the substrate and the evaporation source holders A, B, C and D relative to each other in this way small-sized formation of the device can be achieved without needing to prolong a distance between the substrate and the evaporation source holder. Further, since the evaporation system is small-sized, adherence of the sublimated evaporation material to the inner wall or the adherence preventive shield at inside of the film forming chamber is reduced and the evaporation material can be utilized without waste. Further, according to the evaporation method of the invention, it is not necessary to rotate the substrate and therefore, the evaporation system capable of dealing with a large area substrate can be provided. Further, according to the invention of moving the evaporation source holder in the X axis direction and the Taxis direction relative to the substrate, the vapor-deposited film can uniformly be formed.
  • an organic compound provided at the evaporation source holder is one or one kind thereof but may be a plurality of kinds thereof.
  • other organic compound which can be a dopant may be provided along therewith.
  • the dopant is a material of a carrier trap type, an efficiency of recombining carriers can also be promoted.
  • the invention includes a case in which a material capable of converting triplet excitation energy to luminescence is added to a mixing region as a dopant. Further, in forming the mixing region, a concentration gradient may be provided to the mixing region.
  • the evaporation source holder may be provided with four kinds of evaporation materials (for example, two kinds of host materials as evaporation material A, two kinds of dopant materials as evaporation material b).
  • a pixel size is small (or, an interval between respective insulators is narrow)
  • a film can finely be formed by dividing inside of a container in four and carrying out common evaporation for subjecting respective pertinently to evaporation.
  • the evaporation mask 14 since the interval distance d between the substrate 13 and the evaporation source holder 17 is narrowed to, representatively, 30 cm or smaller, preferably, 5 cm through 15 cm, there is a concern of heating also the evaporation mask 14 . Therefore, it is preferable for the evaporation mask 14 to use a metal material having a low thermal expansion rate which is difficult to deform by heat (for example, a high melting point metal such as tungsten, tantalum, chromium, nickel or molybdenum or an alloy including these elements, a material such as stainless steel, inconel, Hastelloy). For example, a low thermal expansion alloy having 42% of nickel and 58% of iron or the like is pointed out. Further, in order to cool the evaporation mask to be heated, the evaporation mask may be provided with a mechanism of circulating a cooling medium (cooling water, cooling gas).
  • a cooling medium cooling water, cooling gas
  • a plasma at inside of the film forming chamber by plasma generating means to vaporize the deposited substance adhered to the mask to vent the vapor to outside of the film forming chamber.
  • a mask is separately provided with an electrode and a high frequency power source 20 is connected to either one of them.
  • the film forming chamber includes gas introducing means for introducing one kind or a plurality of kinds of gases selected from the group consisting of Ar, H, F, NF 3 , and O and venting means for venting the deposited substance vaporized.
  • gas introducing means for introducing one kind or a plurality of kinds of gases selected from the group consisting of Ar, H, F, NF 3 , and O and venting means for venting the deposited substance vaporized.
  • Cleaning can be performed as follows, the atmosphere in a chamber is substituted by nitrogen, and is vacuum exhausted, and a high frequency power supply (13.56 MHz) can be connected with either the mask or the electrode so that a plasma is generated the mask and the electrode (a substrate shutter, not illustrated). Then, argon and hydrogen are introduced to the chamber in respective flow rate of 30 sccm, and the atmosphere in the chamber are stabilized, an RF electric power of 800 W is applied to generate a plasma, thereby the mask and inner wall of the chamber can be cleaned.
  • a high frequency power supply 13.56 MHz
  • argon and hydrogen are introduced to the chamber in respective flow rate of 30 sccm, and the atmosphere in the chamber are stabilized, an RF electric power of 800 W is applied to generate a plasma, thereby the mask and inner wall of the chamber can be cleaned.
  • the film forming chamber 11 is connected with a vacuuming chamber for vacuuming inside of the film forming chamber.
  • the vacuum processing chamber is provided with a turbo-molecular pump of a magnetic levitation type, a cryopump or a dry pump.
  • the ultimate vacuum degree of the film forming chamber 11 can be made to be 10 ⁇ 5 through 10 ⁇ 6 Pa and inverse diffusion of an impurity from a pump side and an venting system can be controlled.
  • a gas to be introduced an inert gas of nitrogen or rare gas is used.
  • the gases to be introduced which are highly purified by a gas refiner before being introduced into the device.
  • the gas refiner such that the gas is highly purified and thereafter introduced into the film forming chamber 11 .
  • an impurity of oxygen, water or the like included in the gas can previously be removed and therefore, the impurities can be prevented from being introduced into the film forming chamber 11 .
  • the substrate holder 12 is provided with a permanent magnet for fixing the evaporation mask comprising a metal with the magnetic force and also fixing the substrate 13 interposed therebetween.
  • a substrate holder or an evaporation mask holder fixed with an interval to some degree therebetween may pertinently be provided.
  • the film forming chamber having the mechanism of moving the evaporation source holder as described above, it is not necessary to prolong the distance between the substrate and the evaporation source holder and the evaporation film can uniformly be formed.
  • FIGS. 10A and 10B show a state of an open shutter.
  • FIG. 10A shows a sectional view of a surrounding of one container installed at an evaporation source holder 304 illustrated with heating means 303 provided at the evaporation source holder, a power source 307 of the heating means, an evaporation material 302 of the container, a filter 305 provided at inside of the container and a shutter 306 arranged above an opening portion provided at an upper portion of the container.
  • heating means 303 resistance heating, high frequency or laser may be used, specifically, an electric coil may be used.
  • the evaporation material 302 heated by the heating means 303 is sublimated and the sublimated material 302 rises upwardly from the opening portion of the container.
  • the sublimated material having a size equal to or larger than a certain constant amount (mesh of filter) cannot pass the filter 305 provided at inside of the container, returns into the container and is sublimated again.
  • the filter 305 may be formed by a highly conductive material and heated by heating means (not illustrated). By the heating, the evaporation material can be prevented from being solidified and adhered to the filter.
  • the evaporation material having an even size is vapor-deposited and therefore, a speed of film formation can be controlled and a uniform film thickness can be provided and uniform evaporation without nonuniformity can be carried out.
  • a shape of the container is not limited to that in FIG. 10A .
  • FIG. 10B is illustrated with a container 311 installed at an evaporation holder, an evaporation material 312 at inside of the container, first heating means 313 provided at the evaporation source holder, a power source 318 of the first heating means, a shutter 317 arranged above an opening portion of the container, a plate 316 provided above the opening portion, second heating means 314 provided to surround the filter and a power source 319 of second heating means.
  • the evaporation material 312 heated by the first heating means 313 is sublimated and the sublimated evaporation material rises upwardly from the opening portion of the container 311 .
  • the sublimated material having a size equal to or larger than a certain constant amount cannot pass an interval between the plate 316 provided above the opening portion of the container and the second heating means 314 , impinges on the plate 316 and returns to inside of the container.
  • the plate 316 is heated by the second heating means 314 , the evaporation material can be prevented from solidifying and adhering to the plate 316 .
  • a filter may be provided in place of the plate.
  • a heating temperature (T 2 ) by the second heating means 314 may be lower than that of the first heating means. This is because once sublimated evaporation material is easy to sublimate and therefore, the evaporation material is sublimated without applying the actual sublimating temperature. That is, respective heating temperatures may establish T i >>T 2 >T A .
  • the evaporation material having an even size is sublimated, further, the sublimated material passes a vicinity of the heating means and therefore, adherence of the evaporation material to the plate is reduced, further, the speed of film formation can be controlled and therefore a uniform film thickness can be provided and uniform evaporation without nonuniformity can be carried out.
  • the shape of the container is not limited to those in FIGS. 10A and 10 B but, for example, the container may be provided with shapes as shown by FIGS. 11A and 11B .
  • FIG. 11A shows an example of providing heating means 402 at an evaporation source holder 404 illustrating sectional views of examples of shapes of containers 403 and 405 in each of which an opening portion of the container is narrowed toward an upper side thereof.
  • the shapes of the container 403 or 405 shown in FIG. 11A may be constituted by using a lid or the like.
  • a diameter of the opening portion of the container narrowed toward the upper side is constituted by the size of the evaporation material intended to form, an effect similar to that of a filter can be achieved.
  • FIG. 11B shows examples of providing heating means 412 at containers.
  • shapes of the containers 413 and 415 are similar to those of FIG. 11A
  • the heating means 412 are provided at the containers per se.
  • power sources of the heating means may be designed to be brought into an ON state at a stage of being installed to evaporation source holders.
  • FIGS. 12A and 12B show enlarged views of evaporation source holders.
  • FIG. 12A shows a constitution example of providing four containers 501 filled with an evaporation material to an evaporation source holder 502 in a shape of a lattice and providing shutters 503 above the respective containers
  • FIG. 12B shows a constitution example of providing four containers 511 filled with an evaporation material to an evaporation source holder 512 in a linear shape and providing shutters 513 above the respective containers.
  • a plurality of the containers 501 or 511 filled with the same material may be installed at the evaporation source holder 502 or 512 illustrated in FIG. 12A or 12 B or a single one of the container may be installed at the evaporation source holder. Further, common evaporation may be carried out by installing containers filled with different evaporation materials (for example, host material and guest material). Further, as described above, the evaporation material is sublimated by heating the container and a film is formed at the substrate.
  • evaporation materials for example, host material and guest material
  • FIG. 12A or 12 B it may be controlled whether the film is formed by the sublimated evaporation material by providing the shutter 503 or 513 above each container. Further, only a single one of the shutter may be provided above all of the containers. Further, by the shutter, it can be reduced to sublimate and scatter an unnecessary evaporation material without stopping to heat the evaporation source holder which does not form the film, that is, the evaporation source holder being at standby. Further, the constitution of the evaporation source holder is not limited to those of FIGS. 12A and 12B but may pertinently be designed by a person for embodying the invention.
  • the evaporation material can efficiently be sublimated, further, the film is formed in a state in which the size of the evaporation material is even and therefore, a uniform evaporation film without nonuniformity is formed. Further, a plurality of evaporation materials can be installed at the evaporation source holder and therefore, common evaporation can easily be carried out. Further, an aimed EL layer can be formed in one operation without moving the film forming chamber for each film of the EL layer.
  • FIG. 13 illustrates a manufacturer, representatively, a material manufacturer 618 (representatively, material manufacturer) for producing and refining an organic compound material which is an evaporation material and a manufacturer (representatively, production factory) 619 of a luminescent device which is a manufacturer of a luminescent device having an evaporation system.
  • a material manufacturer 618 representedatively, material manufacturer
  • a manufacturer representedatively, production factory
  • an order 610 is carried out from the luminescent device manufacturer 619 to the material manufacturer 618 .
  • the material manufacturer 618 refines to sublimate an evaporation material and fills an evaporation material 612 in a shape of a powder refined in high purity to a first container 611 .
  • the material manufacturer 618 isolates the first container from the atmosphere such that an extra impurity is not adhered to inside or outside thereof, and contains the first container 611 in second containers 621 a and 621 b to hermetically seal for preventing the first container 611 from being contaminated at inside of the clean environment chamber.
  • the second containers 621 a and 621 b In hermetically sealing the second containers 621 a and 621 b , at inside of the containers it is preferable to be vacuum or to be filled with an inert gas of nitrogen or the like. Further, it is preferable to clean the first container 611 and the second containers 621 a and 621 b before refining or containing the evaporation material 612 with an ultra high purity. Further, although the second containers 621 a and 621 b may be package films having barrier performance for blocking oxygen or moisture from mixing thereinto, in order to be able to take out the containers automatically, it is preferable that the second containers are constituted by stout containers having light blocking performance in a shape of a cylinder or a shape of a box.
  • the first container 611 is carried ( 617 ) from the material manufacturer 618 to the luminescent device manufacturer 619 in a state of being hermetically sealed by the second containers 621 a and 621 b.
  • the first container 611 is directly introduced into a vacuumable processing chamber 613 in a state of being hermetically sealed in the second containers 621 a and 621 b .
  • the processing chamber 613 is an evaporation system installed with heating means 614 and substrate holding means (not illustrated) at inside thereof.
  • the first container 611 is taken out from the second containers 621 a and 621 b , the first container 611 is installed in contact with the heating means 614 and an evaporation source can be prepared. Further, an object to be deposited (here, substrate) 615 is installed at the processing chamber 613 to be opposed to the first container 611 .
  • an evaporation film 616 is formed on a surface of the object to be deposited 615 by applying heat to the evaporation material by the heating means 614 .
  • the evaporation film 616 provided in this way does not include an impurity and when a luminescent element is finished by using the evaporation film 616 , high reliability and high brightness can be realized.
  • the evaporation material remaining at the first container 611 may be sublimated to refine at the luminescent device manufacturer 619 .
  • the first container 611 is installed at the second containers 621 a and 621 b , taken out from the processing chamber 613 and carried to a refining chamber for sublimating to refine the evaporation material.
  • the remaining evaporation material is sublimated to refine and the evaporation material in a shape of a powder refined at high purity is filled into a separate container.
  • the evaporation material is carried to the processing chamber 613 to carry out evaporation processing.
  • T 3 a relationship among temperature (T 3 ) for refining the remaining evaporation material, temperature (T 4 ) elevated at a surrounding of the evaporation material and temperature (T 5 ) at a surrounding of the evaporation material which is sublimated to refine satisfy T 3 >T 4 >T 5 . That is, in the case of sublimating to refine the material, when temperature is lowered toward a side of the container for filling the evaporation material to be sublimated to refine, convection is brought about and the material can be sublimated to refine efficiently.
  • the refining chamber for sublimating to refine the evaporation material may be provided in contact with the processing chamber 613 and the evaporation material which has been sublimated to refine may be carried without using the second container for hermetically sealing the evaporation material.
  • the first container 611 is installed in the evaporation chamber which is the processing chamber 613 without being brought into contact with the atmosphere at all to enable to carry out evaporation while maintaining the purity at the stage of containing the evaporation material 612 by the material manufacturer. Therefore, according to the invention, a fully automated fabricating system promoting the throughput can be realized and an integrated closed system capable of avoiding the impurity from mixing to the evaporation material 812 refined at the material manufacturer 618 can be realized. Further, the evaporation material 612 is directly contained in the first container 611 by the material mater based on the order and therefore, only a necessary amount thereof is provided to the luminescent device manufacturer and the comparatively expensive evaporation material can efficiently be used. Further, the first container and the second container can be reutilized to amount to a reduction in cost.
  • a second container divided into an upper portion ( 621 a ) and a lower portion ( 621 b ) used for transportation includes fixing means 706 provided at an upper portion of the second container for fixing a first container, a spring 705 for pressing the fixing means, a gas introducing port 708 provided at a lower portion of the second container for constituting a gas path for maintaining the second container being depressurized, an O ring 707 for fixing the upper container 621 a and the lower container 621 b and a retaining piece 702 .
  • the first container 611 filled with the refined evaporation material is installed in the second container.
  • the second container may be formed by a material including stainless steel and the first container may be formed by a material including titanium.
  • the refined evaporation material is filled in the first container 611 .
  • the upper portion 621 a and the lower portion 621 b of the second container are matched via the O ring 707 , the upper container 621 a and the lower container 621 b are fixed by the retaining piece 702 , and the first container 611 is hermetically sealed at inside of the second container.
  • inside of the second container is depressurized via the gas introducing port 708 and is replaced by a nitrogen atmosphere and the first container 611 is fixed by the fixing means 706 by adjusting the spring 705 .
  • a desiccant may be installed at inside of the second container. When inside of the second container is maintained in vacuum, in a low pressure or in nitrogen atmosphere in this way, even a small amount of oxygen or water can be prevented from adhering to the evaporation material.
  • the first container 611 is carried to the luminescent device manufacturer 619 under the state and is directly installed to the processing chamber 613 . Thereafter, the evaporation material is sublimated by heating and the evaporation film 616 is formed.
  • FIGS. 15A and 15B and FIGS. 16A and 16B show the first container in the midst of transportation.
  • FIG. 15A illustrates to a top view of an installing chamber 805 including a base 804 for mounting the first container or the second container, an evaporation source holder 803 , and carrying means 802 for carrying the base 804 , the evaporation source holder 803 and the first container
  • FIG. 15B illustrates a perspective view of the installing chamber.
  • the installing chamber 805 is arranged to be contiguous to the film forming chamber 806 and the atmosphere of the installing chamber can be controlled by means for controlling the atmosphere via a gas introducing port.
  • the carrying means of the invention is not limited to a constitution of pinching a side face of the first container to carry as illustrated in FIGS. 15A and 15B but may be constructed by a constitution of pinching (picking) the first container at upper part thereof to carry.
  • the second container is arranged to such an installing chamber 805 above the base 804 in a state of disengaging the retaining piece 702 . Successively, inside of the installing chamber 805 is brought into a decompressed state by means for controlling the atmosphere. When pressure at inside of the installing chamber and pressure at inside of the second container become equal to each other, there is brought about a state of being capable of opening the second container easily. Further, the upper portion 621 a of the second container is removed and the first container 611 is installed in the evaporation source holder 803 by the carrying means 802 . Further, although not illustrated, a portion for installing the removed upper portion 621 a is pertinently provided. Further, the evaporation source holder 803 is moved from the installing chamber 805 to the film forming chamber 806 .
  • the evaporation material is sublimated and the film starts to be formed.
  • the evaporation material is scattered to the direction of the substrate and the vapor-deposited onto the substrate to form the luminescent layer (including hole transporting layer, hole injecting layer, electron transporting layer and electron injecting layer).
  • the evaporation source holder 803 returns to the installing chamber 805 and the first container 611 installed at the evaporation source holder 803 by the carrying means 802 is transferred to the lower container (not illustrated) of the second container installed at the base 804 and is hermetically sealed by the upper container 621 a .
  • the first container, the upper container 621 a and the lower container are hermetically sealed by a combination by which the containers have been carried.
  • the installing chamber 805 is brought under the atmospheric pressure and the second container is taken out from the installing chamber, fixed with the retaining piece 702 and is carried to the material manufacturer 618 .
  • FIG. 16A illustrates a top view of an installing chamber 905 including a base 904 for mounting the first container or the second container, a plurality of evaporation source holders 903 , a plurality of carrying means 902 for carrying the first containers and a rotating base 907 and
  • FIG. 16B illustrates a perspective view of the installing chamber 905 .
  • the installing chamber 905 is arranged to be contiguous to a film forming chamber 906 and the atmosphere of the installing chamber can be controlled by means for controlling the atmosphere via a gas introducing port.
  • the rotating base 907 may be provided with a rotating function.
  • the structure of the rotating base 907 is not limited to the above one, as far as the rotating base 907 has a function of moving to the right and lift directions, when the rotating base approaches to the evaporation holders arranged in the film forming chamber 906 , a plurality of the first containers may be provided at the evaporation holders by the carrying means 902
  • an impurity can be reduced to an extreme and when a luminescent element is finished by using the evaporation film, high reliability and brightness can be realized.
  • the container filled by the material manufacturer can be installed directly to the evaporation system and therefore, oxygen or water can be prevented from adhering to the evaporation material and further ultrahigh purity formation of the luminescent element in the future can be dealt with. Further, by refining the container having the remaining evaporation material again, waste of the material can be eliminated. Further, the first container and the second container can be reutilized and the low cost formation can be realized.
  • FIG. 17 An example of forming TFT on a substrate having an insulating surface and forming an EL element (light emitting element) is shown in FIG. 17 .
  • a cross-sectional view of one 1 that is connected to an EL element in a pixel portion is shown in this example.
  • a base insulating film 201 is formed by a lamination of insulating films such as a silicon oxide film, a silicon nitride film or a silicon oxynitride film on a substrate 200 having an insulating surface.
  • the base insulating film 201 herein uses a two-layer structure, it may use a structure having a single layer or two layers or more of the insulating films.
  • the first layer of the base insulating film is a silicon oxynitride film formed to have a thickness of 10 to 200 nm (preferably 50 to 100 nm) by plasma CVD using a reaction gas of SiH 4 , NH 3 and N 2 O.
  • the second layer of the base insulating film is a silicon oxynitride film formed to have a thickness 50 to 200 nm (preferably 100 to 150 nm) by plasma CVD using a reaction gas of SiH 4 and N 2 O.
  • a semiconductor layer is formed on the base insulating film 201 .
  • the semiconductor layer is formed as follows: an amorphous semiconductor film is formed by known means (a sputtering, an LPCVD, a plasma CVD, or the like), then, the film is crystallized by a known crystallization method (a laser crystallization method, a thermal crystallization method or a thermal crystallization method using a catalyst such as nickel), and then, the crystalline semiconductor film is patterned into a desired form.
  • This semiconductor layer is formed in a thickness of 25 to 80 nm (preferably 30 to 60 nm).
  • the material of the crystalline semiconductor film although not limited in material, is preferably formed of silicon or a silicon-germanium alloy.
  • an excimer laser of a pulse-oscillation or continuous-oscillation type preferably used is a method that the laser light emitted from a laser oscillator is condensed by an optical system into a linear form to be irradiated onto the semiconductor film.
  • the condition of crystallization is to be appropriately selected by those who implement the invention.
  • pulse oscillation frequency is 30 Hz and laser energy density is 100 to 400 mJ/cm 2 (typically 200 to 300 mJ/cm 2 ).
  • a YAG laser preferably its second harmonic is used and pulse oscillation frequency is 1 to 10 kHz and laser energy density is 300 to 600 mJ/cm 2 (typically 350 to 500 mJ/cm 2 ).
  • the gate insulating film 202 is formed by an insulating film containing silicon having a thickness of 40 to 150 nm by the use of plasma CVD or sputtering.
  • the gate insulating film 202 is not limited to a silicon oxynitride film but may be made in a single layer or a lamination of layers of insulating films containing other form of silicon.
  • a gate electrode 210 is formed.
  • a p-type providing impurity element such as B
  • impurity element such as B
  • boron is added to the semiconductor to form a source region 211 and a drain region 212 .
  • heating process, intense light radiation or laser irradiation is made in order to activate the impurity element.
  • restoration is possible from the plasma damage to the gate insulating film or from the plasma damage at the interface between the gate insulating film and the semiconductor layer.
  • it is extremely effective to irradiate the second harmonic of a YAG laser at a main or back surface thereby activating the impurity element in an atmosphere at room temperature to 300° C.
  • YAG laser is preferable activating means since it requires a few maintenances.
  • an insulator 213 a made from an organic or inorganic material (for example, from a photosensitive organic resin) is formed, then, an aluminum nitride film, an aluminum oxynitride film shown as AlN x O y , or a first protection film 213 b made from a silicon nitride film are formed.
  • the film shown as AlN x O y is formed by introducing oxygen, nitrogen, or rear gas from the gas inlet system by RF sputtering using a target made of AlN or Al.
  • the content of nitrogen in the AlN x O y film may be in the range of at least several atom %, or 2.5 to 47.5 atom %, and the content of oxygen may be in the range of at most 47.5 atom %, preferably, less than 0.01 to 20 atom %.
  • a contact hole is formed therein reaching the source region 211 or drain region 212 .
  • a source electrode (wiring) 215 and a drain electrode 214 are formed to complete a TFT (p-channel TFT). This TFT will control the current that is supplied to OLED (Organic Light Emitting Device).
  • the present invention is not limited to the TFT structure of this example, but, if required, may be in a lightly doped drain (LDD) structure having an LDD region between the channel region and the drain region (or source region).
  • LDD lightly doped drain
  • This structure is formed with a region an impurity element is added with light concentration between the channel formation region and the source or drain region formed by adding an impurity element with high concentration, which is called an LDD region.
  • LDD region lightly doped drain
  • GOLD Gate-drain Overlapped LDD
  • the gate electrode is formed in a lamination structure and etched to have a different taper angle of an upper gate electrode and a lower gate electrode to form an LDD region and a GOLD region in a self-aligning manner using the gate electrode as a mask.
  • an n-channel TFT can be formed by using an n-type impurity element (P, As, etc.) in place of the p-type impurity element.
  • top gate TFT is described as an example in this example, the present invention can be applied irrespective of TFT's structure.
  • the present invention can be applied to a bottom gate (reverse stagger) TFT and a forward stagger TFT.
  • a first electrode 217 in contact with a connecting electrode in contact with the drain region is arranged in matrix shape.
  • This first electrode 217 serves as an anode or a cathode of the light-emitting element.
  • an insulator (generally referred to as a bank, a partition, a barrier, a mound, or the like) 216 that covers the end portion of the first electrode 217 is formed.
  • a photosensitive organic resin is used for the insulator 216 .
  • the insulator 216 may be preferably prepared such that the upper end portion of the insulator 216 has a curved surface having a first curvature radius and the lower end portion of the insulator has a curved surface having a second curvature radius.
  • Each of the first and second curvature radiuses may be preferably in the range of 0.2 ⁇ m to 3 ⁇ m.
  • a layer 218 containing an organic compound is formed in the pixel portion, and a second electrode 219 is then formed thereon to complete an EL element. This second electrode 219 serves as a cathode or an anode of the EL element.
  • the insulator 216 that covers the end portion of the first electrode 217 may be covered with a second protective film formed of an aluminum nitride film, an aluminum nitride oxide film, or a silicon nitride film.
  • FIG. 17B an example of using a positive type photosensitive acrylic resin as a material of the insulator 216 is shown in FIG. 17B .
  • the insulator 316 a has a curved surface having a curvature radius only the upper end thereof. Furthermore, the insulator 316 a is covered with a second protective film 316 b formed of an aluminum nitride film, an aluminum nitride oxide film, or a silicon nitride film.
  • the material of the first electrode 217 may be a metal (i.e., Pt, Cr, W, Ni, Zn, Sn, or In) having a large work function.
  • the end portion of such an electrode 217 is covered with the insulator (generally referred to as a bank, a partition, a barrier, a mound, or the like) 216 or 316 , then, a vacuum-evaporation is carried out moving an evaporation source along with the insulator 216 or 316 by using the evaporation system shown in Embodiments 1 to 3.
  • a film forming chamber is vacuum-exhausted until the degree of vacuum reaches 5 ⁇ 10 ⁇ 3 Torr (0.665 Pa) or less, preferably 10 ⁇ 4 to 10 ⁇ 6 Pa, for vacuum-evaporation.
  • the organic compound Prior to vacuum-evaporation, the organic compound is vaporized by resistance heating. The vaporized organic compound is scattered on the substrate as the shutter is opened for vacuum-evaporation. The vaporized organic compound is scattered upward, then, deposited on the substrate through an opening formed in a metal mask.
  • a light emitting layer (including a hole transporting layer, a hole injection layer, an electron transporting layer, and an electron injection layer) is formed.
  • a layer containing an organic compound that emits white luminescence in its entirety by vacuum-evaporation, it can be formed by depositing each light emitting layer.
  • an Alq 3 film, an Alq 3 film partially doped with Nile red which is a red light emitting pigment, a p-EtTAZ film, and a TPD (aromatic diamine) film are layered in this order to obtain white light.
  • a container (typically a melting pot) in which an EL material that a vacuum-evaporation material is stored in advance by a material manufacturer is set in a film forming chamber.
  • the melting pot is set in the film forming chamber while avoiding contact with the air.
  • the melting pot shipped from a material manufacturer is preferably sealed in a second container during shipment and is introduced into a film forming chamber in that state.
  • a chamber having vacuum exhaust means is connected to the film forming chamber, the melting pot is taken out of the second container in vacuum or in an inert gas atmosphere in this chamber, and then the melting pot is set in the film forming chamber. In this way, the melting pot and the EL material stored in the melting pot are protected from contamination.
  • the second electrode 219 comprises a laminate structure of a metal (e.g., Li, Mg, or Cs) having a small work function; and a transparent conductive film (made of an indium tin oxide (ITO) alloy, an indium zinc oxide alloy (In 2 O 3 —ZnO), zinc oxide (ZnO), or the like) on the thin film.
  • a metal e.g., Li, Mg, or Cs
  • a transparent conductive film made of an indium tin oxide (ITO) alloy, an indium zinc oxide alloy (In 2 O 3 —ZnO), zinc oxide (ZnO), or the like
  • an auxiliary electrode may be provided on the insulator 216 or 316 .
  • the light-emitting element thus obtained emits white luminescence.
  • the layer 218 containing the organic compound is formed by vacuum-evaporation has been described. According to the present invention, however, it is not limited to a specific method and the layer 218 may be formed using a coating method (
  • the first electrode 217 may be prepared using a material having a translucency.
  • a transparent conductive film made of an indium tin oxide (ITO) alloy, an indium zinc oxide alloy (In 2 O 3 —ZnO), zinc oxide (ZnO), or the like
  • ITO indium tin oxide
  • In 2 O 3 —ZnO indium zinc oxide alloy
  • ZnO zinc oxide
  • the insulator generally referred to as a bank, a partition, a barrier, a mound, or the like
  • a second electrode 219 formed of a metal film i.e., an alloy of MgAg, MgIn, AlLi, CaF 2 , CaN, or the like, or a film formed by a co-vacuum-evaporation of an element of Group I and Group II in the periodic table and aluminum
  • a resistive heating method using vacuum-evaporation is used for the formation of a cathode, so that the cathode can be selectively formed using a vacuum-evaporation mask.
  • a seal substrate is laminated using a sealing material to encapsulate the light-emitting element formed on the substrate 200 .
  • FIG. 18A is a top view showing the light emitting apparatus and FIG. 18B is a sectional view constituted by cutting FIG. 18A by a line A-A′.
  • a source signal side driving circuit 1101 , a pixel portion 1102 , and a gate signal line driving circuit 1103 are formed on a substrate 1110 .
  • An inner side surrounded by a seal substrate 1104 , the sealing material 1105 , and the substrate 1110 constitutes a space 1107 .
  • a wiring 1108 for transmitting signals inputted to the source signal side driving circuit 1101 and the gate signal side driving circuit 1103 receives a video signal or a clock signal from FPC (flexible printed circuit) 1109 for constituting an external input terminal.
  • FPC flexible printed circuit
  • the FPC may be attached with a printed wiring substrate (PWB).
  • PWB printed wiring substrate
  • Driver circuits and the pixel portion are formed over a substrate 1110 and here, the source signal line driving circuit 1101 as the driver circuit and the pixel portion 1102 are shown.
  • the source signal line driving circuit 1101 is formed with a CMOS circuit combined with an n-channel type TFT 1123 and a p-channel type TFT 1124 .
  • TFT for forming the driver circuit may be formed by a publicly-known CMOS circuit, PMOS circuit or NMOS circuit.
  • CMOS circuit complementary metal-oxide-semiconductor
  • PMOS circuit PMOS circuit
  • NMOS circuit a publicly-known CMOS circuit
  • a driver integrated type formed with the driver circuits over the substrate is shown, the driver integrated type is not necessarily be needed and the driver circuits can be formed not over the substrate but at outside thereof.
  • the pixel portion 1102 is formed of a plurality of pixels each including a switching TFT 1111 , a current controlling TFT 1112 , and a first electrode (anode) 1113 electrically connected to a drain of the current controlling TFT 1112 .
  • an insulating layer 1114 is formed at both ends of the first electrode (anode) 1113 and an organic compound layer 1115 is formed on the first electrode (anode) 1113 .
  • the organic compound layer 1115 is formed by moving an evaporation source along with the insulating film 1114 by using the device shown in Embodiments 1 and 2.
  • a second electrode (cathode) 1116 is formed over the organic compound layer 1115 .
  • a light-emitting element 1118 comprising the first electrode (anode) 1112 , the organic compound layer 1115 and the second electrode (cathode) 1116 is formed.
  • the light-emitting element 1118 shows an example of white color luminescence and therefore, provided with the color filter comprising a coloring layer 1131 and a light-shielding layer 1132 (for simplification, overcoat layer is not illustrated here).
  • a color filter is formed at the side of a seal substrate 1104 since it is the structure that light emitted from a light emitting element is observed through the second electrode, however, in case of the structure that light emitted from a light emitting element is observed through the first electrode, a color filter is formed at the side of the substrate 1110 .
  • the second electrode (cathode) 1116 functions also as a wiring common to all the pixels and electrically connected to FPC 1109 via the connection wiring 1108 .
  • the third electrode (auxiliary electrode) 1117 is formed on the insulating layer 1114 to realize to make the second electrode have a low resistance.
  • the seal substrate 1104 is pasted by the sealing material 1105 .
  • a spacer comprising a resin film may be provided for ensuring an interval between the seal substrate 1104 and the light-emitting element 1118 .
  • the space 1107 on the inner side of the sealing material 1105 is filled with an inert gas of nitrogen or the like.
  • epoxy species resin for the sealing material 1105 .
  • the sealing material 1105 is a material for permeating moisture or oxygen as less as possible.
  • the inner portion of the space 1107 may be included with the substance having an effect of absorbing oxygen or moisture.
  • a plastic substrate comprising FRP (Fiberglass-Reinforced Plastics), PVF (polyvinyl fluoride), Mylar, polyester or acrylic resin can be used. Further, it is possible to adhere the seal substrate 1104 by using the sealing material 1105 and thereafter seal to cover a side face (exposed face) by a sealing material.
  • FRP Fiber-Reinforced Plastics
  • PVF polyvinyl fluoride
  • Mylar polyester or acrylic resin
  • the light-emitting element By encapsulating the light-emitting element as described above, the light-emitting element can completely be blocked from outside and a substance for expediting to deteriorate the organic compound layer such as moisture or oxygen can be prevented from invading from outside. Therefore, the highly reliable light-emitting device can be provided.
  • Examples of electronic apparatuses that employ the light emitting device manufactured in accordance with the present invention are video cameras, digital cameras, goggle type displays (head mounted displays), navigation systems, audio reproducing devices (such as car audio and audio components), laptop computers, game machines, portable information terminals (such as mobile computers, cellular phones, portable game machines, and electronic books), and image reproducing devices equipped with recording media (specifically, devices with a display device that can reproduce data in a recording medium such as a digital versatile disk (DVD) to display an image of the data).
  • a wide viewing angle is important particularly for portable information terminals because their screens are often slanted when they are looked at. Therefore it is preferable for portable information terminals to employ the light emitting device using the light emitting element. Specific examples of these electronic apparatuses are shown in FIGS. 20A to 20H .
  • FIG. 20A shows a light emitting device including a case 2001 , a support base 2002 , a display unit 2003 , speaker units 2004 , a video input terminal 2005 , etc.
  • the light emitting device manufactured in accordance with the present invention can be applied to the display unit 2003 .
  • the light emitting device shown in FIG. 20A can be completed by the present invention. Since the light emitting device having the light emitting element is of self-luminous type, the device does not need a backlight and can make a thinner display unit than that of a liquid crystal display device.
  • the light emitting device refers to all light emitting devices for displaying information, including ones for personal computers, for TV broadcasting reception, and for advertisement.
  • FIG. 20B shows a digital still camera including a main body 2101 , a display unit 2102 , an image receiving unit 2103 , operation keys 2104 , an external connection port 2105 , a shutter 2106 , etc.
  • the light emitting device manufactured in accordance with the present invention can be applied to the display unit 2102 .
  • the digital camera shown in FIG. 16B can be completed by the present invention.
  • FIG. 20C shows a laptop computer including a main body 2201 , a case 2202 , a display unit 2203 , a keyboard 2204 , an external connection port 2205 , a pointing mouse 2206 , etc.
  • the light emitting device manufactured in accordance with the present invention can be applied to the display unit 2203 .
  • the laptop computer shown in FIG. 20C can be completed by the present invention.
  • FIG. 20D shows a mobile computer including a main body 2301 , a display unit 2302 , a switch 2303 , operation keys 2304 , an infrared port 2305 , etc.
  • the light emitting device manufactured in accordance with the present invention can be applied to the display unit 2302 .
  • the mobile computer shown in FIG. 20D can be completed by the present invention.
  • FIG. 20E shows a portable image reproducing device equipped with a recording medium (a DVD player, to be specific).
  • the device includes a main body 2401 , a case 2402 , a display unit A 2403 , a display unit B 2404 , a recording medium (DVD or the like) reading unit 2405 , operation keys 2406 , speaker units 2407 , etc.
  • the display unit A 2403 mainly displays image information whereas the display unit B 2404 mainly displays text information.
  • the light emitting device manufactured in accordance with the present invention can be applied to the display units A 2403 and B 2404 .
  • the image reproducing device equipped with a recording medium also includes home-video game machines.
  • the DVD player shown in FIG. 20E can be completed by the present invention.
  • FIG. 20F shows a goggle type display (head mounted display) including a main body 2501 , display units 2502 , and arm units 2503 .
  • the light emitting device manufactured in accordance with the present invention can be applied to the display units 2502 .
  • the goggle type display shown in FIG. 20F can be completed by the present invention.
  • FIG. 20G shows a video camera including a main body 2601 , a display unit 2602 , a case 2603 , an external connection port 2604 , a remote control receiving unit 2605 , an image receiving unit 2606 , a battery 2607 , an audio input unit 2608 , operation keys 2609 etc.
  • the light emitting device manufactured in accordance with the present invention can be applied to the display unit 2602 .
  • the video camera shown in FIG. 200 can be completed by the present invention.
  • FIG. 20H shows a cellular phone including a main body 2701 , a case 2702 , a display unit 2703 , an audio input unit 2704 , an audio output unit 2705 , operation keys 2706 , an external connection port 2707 , an antenna 2708 , etc.
  • the light emitting device manufactured in accordance with the present invention can be applied to the display unit 2703 . If the display unit 2703 displays white letters on a black background, the cellular phone consumes less power.
  • the cellular phone shown in FIG. 20H can be completed by the present invention.
  • the light emitting device can be used in front or rear projectors by enlarging outputted light that contains image information through a lens or the like and projecting the light.
  • a manufacturing apparatus including the plural film forming chambers for performing the evaporation process, which are arranged in a row. Accordingly, the film forming processes are performed in the plural film forming chambers approximately in parallel, thereby improving the throughput of the light emitting device and allowing the reduction of a processing time per substrate.
  • the present invention even though the processing number of substrates is slightly reduced, the maintenance of one or plural film forming chambers is possible without temporarily stopping the production line.

Abstract

A manufacturing apparatus is provided, which can improve a utilization efficiency of an evaporation material, reduce manufacturing costs of a light emitting device having an organic light emitting element, and shorten manufacturing time necessary to manufacture a light emitting device. According to the present invention, a multi-chamber manufacturing apparatus having plural film forming chambers includes a first film forming chamber for subjecting a first substrate to evaporation and a second film forming chamber for subjecting a second substrate to evaporation. In each film forming chamber, plural organic compound layers are laminated, thereby improving the throughput. Further, it is possible that the respective substrates in the plural film forming chambers are subjected to evaporation in the same manner in parallel, while another film forming chamber undergoes cleaning.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates to a manufacturing apparatus used for film formation of a material that can be formed into a film by evaporation (hereinafter referred to as evaporation material) and a manufacturing method of a light emitting device typified by an EL element. In particular, the present invention relates to a technique using an organic material as the evaporation material and being effective when manufacturing a light emitting device. Note that the term “light emitting device” in this specification refers to an image display device, a light emitting device, or a light source (including illuminating devices). Also included in the definition of the light emitting device are: a module in which a connector such as an FPC (flexible printed circuit), a TAB (tape automated bonding) tape, or a TCP (tape carrier package) is attached to a light emitting device; a module in which a printed wiring board is provided on the tip of a TAB tape or a TCP; and a module in which an IC (integrated circuit) is mounted directly to a light emitting element by a COG (chip on glass) method.
  • 2. Description of the Related Art
  • In recent years, the research of light emitting devices using an EL element as a self-luminous element has become active. In particular, light emitting devices using an organic material as an EL material are attracting more attention. The light emitting devices are called organic EL displays (OELD) or organic light emitting diodes (OLED).
  • Note that an EL element has a layer (hereinafter referred to as EL layer) containing an organic compound in which luminescence developed by applying an electric field (electroluminescence) is obtained, an anode, and a cathode. The types of the organic compound luminescence includes light emission when returning from a singlet excitation state to a ground state (fluorescence) and light emission when returning from a triplet excitation state to a ground state (phosphorescence). Both types of light emission can be applied to a light emitting device produced by a film forming apparatus and a film formation method according to the present invention.
  • Unlike liquid crystal display devices, light emitting devices are of a self-luminous type, thereby causing no problem of a view angle. More specifically, the light emitting devices are more suitable as a display used outside than the liquid crystal displays. Thus, the use of the light emitting devices in various forms has been proposed.
  • An EL element has a structure in which an EL layer is sandwiched between a pair of electrodes, and the EL layer normally has a laminate structure. A typical example of the laminate structure is one composed of “a hole transporting layer/a light emitting layer/and an electron transporting layer”. Most of the light emitting devices currently under research and development adopt the structure due to its extremely high light emitting efficiency.
  • Alternatively, another laminate structure may be used in which: a hole injecting layer, a hole transporting layer, a light emitting layer, and an electron transporting layer are laminated onto an anode in the stated order; or a hole injecting layer, a hole transporting layer, a light emitting layer, an electron transporting layer, and an electron injecting layer are laminated onto an anode in the stated order. Fluorescent pigments and the like may also be doped into the light emitting layers. Further, all of the above layers may be formed using only low-molecular weight materials, or may be formed using only high-molecular weight materials.
  • Further, EL materials used to form an EL layer are broadly divided into low-molecular weight (monomer-based) materials and high-molecular weight (polymer-based) materials, while the low-molecular weight materials are formed into films mainly by evaporation.
  • The EL material is extremely likely to deteriorate, because the EL material is easily oxidized due to presence of oxygen or moisture. Thus, a photolithography step cannot be performed after film formation. In order to form a pattern, it is necessary to use a mask (hereinafter referred to as evaporation mask) having an opening portion to separate out the pattern region at the same time of the film formation. Therefore, most of the sublimated organic EL materials are adhered to an inner wall of a film forming chamber or an adhesion proof shield (protective plate for preventing an evaporation material from adhering to the inner wall of the film forming chamber). As a result, an evaporation apparatus needs regular maintenance such as a cleaning process for removing adhered substances from the inner wall of the film forming chamber and the adhesion proof shield, thereby making it inevitable to temporarily stop a manufacturing line for mass production during the maintenance.
  • In order to improve uniformity of a film thickness, conventional evaporation apparatuses have a larger interval between a substrate and an evaporation source, so that the apparatuses per se have a larger size. Also, the conventional evaporation apparatuses have a structure, as shown in FIG. 22, in which the interval between a substrate and an evaporation source is set to 1 m or more and the substrate is rotated to obtain a film having a uniform thickness. Further, the evaporation apparatuses have such a structure as to rotate the substrate, so that there is a limitation on an evaporation apparatus for attaining a large-area substrate. Also, the interval between a substrate and an evaporation source is large, so that a speed of film formation is reduced and a longer time is necessary to exhaust a film forming chamber, thereby reducing the throughput.
  • In addition, in the conventional evaporation apparatuses, the utilization efficiency of an expensive EL material is as extremely low as approximately 1% or low. Thus, the manufacturing costs of a light emitting device are extremely high.
  • An EL material is extremely expensive and costs higher per gram than gold costs per gram. Therefore it is desired to use an EL material as efficiently as possible. However, in the conventional evaporation apparatuses, the utilization efficiency of the expensive EL material is low.
  • SUMMARY OF THE INVENTION
  • The present invention has been made in view of the above, and therefore has an object to provide an evaporation apparatus and a manufacturing apparatus, which are capable of improving a utilization efficiency of an EL material, excellent in uniformity, and excellent in throughput.
  • Due to evaporation being performed in vacuum, it takes a long time to set an inside of a film forming chamber to vacuum and a time necessary for each step differs in every film forming chamber. Thus, it is difficult to design manufacturing processes as automated steps, thereby putting a limitation on improvement in productivity. In particular, it takes a long time to deposit and laminate layers containing an organic compound by evaporation, so that there is a limitation on reduction in a processing time per substrate. In view of the above, the present invention has another object to reduce a processing time per substrate.
  • Further, another object of the present invention is to provide a manufacturing apparatus capable of maintenance of a film forming chamber without temporarily stopping a manufacturing line.
  • Further, according to the present invention, there is provided a method of depositing an EL material by evaporation efficiently on a large-area substrate having a size such as 320 mm×400 mm, 370 mm×470 mm, 550 mm×650 mm, 600 mm×720 mm, 680 mm×880 mm, 1000 mm×1200 mm, 1100 mm×1250 mm, or 1150 mm×1300 mm.
  • Further, according to the present invention, there is provided a manufacturing system capable of preventing impurities from mixing into an EL material.
  • According to the present invention, there is provided a multi-chamber manufacturing apparatus having plural film forming chambers, including a first film forming chamber for subjecting a first substrate to evaporation and a second film forming chamber for subjecting a second substrate to evaporation, characterized in that plural organic compound layers are laminated in respective film forming chambers in parallel, thereby reducing a processing time per substrate. More specifically, after the first substrate is loaded from a transfer chamber into the first film forming chamber, a surface of the first substrate is subjected to evaporation, while after the second substrate is loaded from the transfer chamber into the second film forming chamber, a surface of the second substrate is also subjected to evaporation. In FIG. 1, four film forming chambers are connected to a transfer chamber 102. Therefore, as shown in FIG. 6A showing an example of a sequence from loading of substrates to unloading of the substrates, it is possible that four substrates are loaded into the respective film forming chambers and sequentially subjected to evaporation in parallel.
  • According to the present invention, in order to maintain uniform cycle times during mass production, plural chambers are provided at least as evaporation chambers and heating chambers, and a single chamber may be provided as another chamber having a relatively short processing time. Accordingly, the present invention allows efficient mass production.
  • According to a first structure of the present invention disclosed in this specification, there is provided a manufacturing apparatus, including:
  • a load chamber;
  • a transfer chamber that is connected to the load chamber; and
  • plural film forming chambers that are connected to the transfer chamber, wherein:
  • the plural film forming chambers are each connected to a vacuum-exhaust process chamber for setting an inside of the film forming chamber to vacuum, and each include: alignment means for performing position alignment of a mask and a substrate; an evaporation source; and means for heating the evaporation source; and
  • in at least two of the plural film forming chambers, surfaces of substrates loaded into the respective film forming chambers are subjected to evaporation in parallel.
  • Further, not only the film forming chambers in which an organic compound layer is formed but also the film forming chambers, sealing chambers, and pretreatment chambers in which electrodes (cathodes or anodes) are formed on the organic compound layer may be provided in plural number, respectively, and the respective forming processes may be performed in parallel similarly. Therefore, according to a second structure of the present invention disclosed in this specification, there is provided a manufacturing apparatus, including:
  • a load chamber;
  • a transfer chamber that is connected to the load chamber;
  • plural film forming chambers that are connected to the transfer chamber, and
  • plural sealing chambers, wherein:
  • the plural film forming chambers are each connected to a vacuum-exhaust process chamber for setting an inside of the film forming chamber to vacuum, and each include: alignment means for performing position alignment of a mask and a substrate; an evaporation source; and means for heating the evaporation source;
  • in at least two of the plural film forming chambers, surfaces of substrates loaded into the respective film forming chambers are subjected to evaporation in parallel; and
  • each substrate is assigned to one of the plural sealing chambers to be sealed therein.
  • Further, according to the present invention, even though the processing number of substrates is slightly reduced, the effective evaporation process can be realized. For example, as shown in FIG. 6B showing an example of a sequence from loading of substrates to unloading of the substrates, even when a fourth film forming chamber is undergoing the maintenance, evaporation can be performed in first to third film forming chambers sequentially without temporarily stopping the production line. Therefore, according to a third structure of the present invention disclosed in this specification, there is provided a manufacturing apparatus, including:
  • a load chamber;
  • a transfer chamber that is connected to the load chamber; and
  • plural film forming chambers that are connected to the transfer chamber, wherein:
  • the plural film forming chambers are each connected to a vacuum-exhaust process chamber for setting an inside of the film forming chamber to vacuum, and each include: alignment means for performing position alignment of a mask and a substrate; an evaporation source; and means for heating the evaporation source; and
  • in at least two of the plural film forming chambers, surfaces of substrates loaded into the respective film forming chambers are subjected to evaporation in parallel, and in at least one of the plural film forming chambers, the inside of the film forming chamber undergoes cleaning.
  • Further, in the case of forming a single-color light emitting device, as shown in FIG. 2A showing a sequence from loading of substrates to unloading of the substrates, a hole transporting layer (referred to as HTL), a light emitting layer, and an electron transporting layer (referred to as ETL) are continuously laminated in the same film forming chamber, thereby improving the throughput. When the hole transporting layer, the light emitting layer, and the electron transporting layer are continuously laminated in the same film forming chamber, as shown in FIGS. 9A and 9B, plural evaporation source holders (evaporation source holders each moving in the direction X or in the direction Y) may be provided in one film forming chamber. By using an evaporation apparatus in FIGS. 9A and 9B, a utilization efficiency of an evaporation material can be improved.
  • The respective structures described above are characterized in that in at least two of the plural film forming chambers, evaporation processes of layers containing the same organic compound are performed in parallel.
  • Further, as shown in FIG. 6A showing an example of a sequence from loading of substrates to unloading of the substrates, a transfer path for a substrate is divided into the same number of paths as that of the film forming chambers arranged in connection to each transfer chamber, so that film formation can be efficiently performed in order. Note that an example of the path for one substrate from loading of substrates to unloading of the substrates is shown by the arrows in FIG. 3. Therefore, according to a fourth structure of the present invention disclosed in this specification, there is provided a manufacturing apparatus, including:
  • a load chamber;
  • a transfer chamber that is connected to the load chamber; and
  • plural film forming chambers that are connected to the transfer chamber, wherein:
  • the plural film forming chambers are each connected to a vacuum-exhaust process chamber for setting an inside of the film forming chamber to vacuum, and each include: alignment means for performing position alignment of a mask and a substrate; an evaporation source; and means for heating the evaporation source; and
  • plural substrates loaded into the load chamber are each assigned to one of the plural film forming chambers in the transfer chamber to be loaded thereinto, and each substrate undergoes processes along one of different paths whose number is the same as that of the film forming chambers.
  • Further, in the case of forming a full-color light emitting device, as shown in FIG. 2B, a hole transporting layer, a light emitting layer, and an electron transporting layer may preferably be continuously laminated in the same film forming chamber. When the hole transporting layer, the light emitting layer, and the electron transporting layer are continuously laminated in the same film forming chamber, there may be used such a film forming apparatus as shown in FIGS. 9A and 9B, that is, an evaporation apparatus provided with plural, at least three or more, evaporation source holders (evaporation source holders each moving in the direction X or in the direction Y) in one film forming chamber. Note that as shown in FIG. 4 showing a sequence from loading of substrates to unloading of the substrates, all the necessary organic layers, for example, the hole transporting layer, the light emitting layer, and the electron transporting layer may continuously be laminated in different three film forming chambers (a film forming chamber for a red light emitting element, a film forming chamber for a blue light emitting element, and a film forming chamber for a green light emitting element). For example, the hole transporting layer, the light emitting layer, and the electron transporting layer which are to compose a red light emitting element are selectively laminated by using an evaporation mask (R) in a first chamber; the hole transporting layer, the light emitting layer, and the electron transporting layer which are to compose a blue light emitting element are selectively laminated by using an evaporation mask (B) in a second chamber; and the hole transporting layer, the light emitting layer, and the electron transporting layer which are to compose a green light emitting element are selectively laminated by using an evaporation mask (G) in a third chamber, thereby realizing full-color display. Note that in FIG. 4, mask alignment is performed before evaporation in each chamber to perform film formation in a predetermined region.
  • Further, in the case where the hole transporting layer, the light emitting layer, and the electron transporting layer are laminated in one chamber, in order to realize the full-color display, for example, materials (organic materials to become the hole transporting layer and the electron transporting layer) optimum for a given color (R, G, or B) can be selected appropriately. The feature of the present invention also resides in that the film thicknesses of those layers can be changed in accordance with colors. Therefore, different materials can be used for all the nine types of layers in total: the hole transporting layer, the light emitting layer, and the electron transporting layer for R; the hole transporting layer, the light emitting layer, and the electron transporting layer for G; and the hole transporting layer, the light emitting layer, and the electron transporting layer for B. Note that organic materials to become the hole transporting layer or the electron transporting layer may be used as the common materials.
  • Further, in the case where the hole transporting layers, the light emitting layers, and the electron transporting layers for R, G, and B are laminated in the different three film forming chambers, an example of the path for one substrate is shown simply by the arrows in FIG. 5. For example, after a first substrate is loaded into a first film forming chamber, a layer containing an organic compound for red light emission is formed into a laminate film, and then the first substrate is unloaded. Subsequently, after the first substrate is loaded into a second film forming chamber, a layer containing an organic compound for green light emission is formed into a laminate film, while after a second substrate is loaded into the first film forming chamber, a layer containing an organic compound for red light emission may be laminated to the second substrate to form a film. Lastly, after the first substrate is loaded into a third film forming chamber, a layer containing an organic compound for blue light emission is formed into a laminate film, while after the second substrate is loaded into the second film forming chamber, a third substrate is loaded into the first film forming chamber, and layers may sequentially be laminated to the respective substrates.
  • Further, the present invention is not limited to the structure in which the hole transporting layer, the light emitting layer, and the electron transporting layer are continuously laminated in the same chamber. However, the hole transporting layer, the light emitting layer, and the electron transporting layer may be laminated in plural chambers connected to each other. For example, the hole transporting layer to compose a green light emitting element is formed into a film in the first chamber, the light emitting layer to compose the green light emitting element is formed into a film in the second chamber, and the electron transporting layer to compose the green light emitting element is formed into a film in the third chamber. Accordingly, the layers containing an organic compound for green light emission may be formed into laminate films.
  • Further, in the above description, as the typical example of layers containing an organic compound arranged in a position between a cathode and an anode, the laminate structure of the three layers consisting of the hole transporting layer, the light emitting layer, and the electron transporting layer. However, there is no particular limitation thereon. Another laminate structure may be used in which: a hole injecting layer, a hole transporting layer, a light emitting layer, and an electron transporting layer are laminated onto an anode in the stated order; or a hole injecting layer, a hole transporting layer, a light emitting layer, an electron transporting layer, and an electron injecting layer are laminated onto an anode in the stated order. Alternatively, a double layer structure or a single layer structure may be used. Fluorescent pigments and the like may also be doped into the light emitting layers. Also, examples of the light emitting layers include a light emitting layer having hole transportability and a light emitting layer having electron transportability. Further, all of the above layers may be formed using only low-molecular weight materials, or one or several layers of the above layers may be formed using high-molecular weight materials. Note that in this specification, the layers provided between the cathode and the anode are generically referred to as a layer (EL layer) containing an organic compound. Therefore, the hole injecting layer, the hole transporting layer, the light emitting layer, the electron transporting layer, and the electron injecting layer which are described above are all included in the EL layers. In addition, the layer (EL layer) containing an organic compound may also contain an inorganic material such as silicon.
  • Note that a light emitting element (EL element) has a layer (hereinafter referred to as EL layer) containing an organic compound in which luminescence developed by applying an electric field (electroluminescence) is obtained, an anode, and a cathode. The types of the organic compound luminescence includes light emission when returning from a singlet excitation state to a ground state (fluorescence) and light emission when returning from a triplet excitation state to a ground state (phosphorescence). Both types of light emission can be applied to a light emitting device produced according to the present invention.
  • Further, in the light emitting device according to the present invention, there is no particular limitation on a drive method for screen display. For example, a dot-sequential drive method, a line-sequential drive method, a plane-sequential drive method, and the like are used. Typically, the line-sequential drive method is used, and a time-division gray scale drive method and an area gray scale drive method may also be used appropriately. Also, a picture signal inputted to a source line of the light emitting device may be an analog signal or may be a digital signal, so that drive circuits and the like may be designed appropriately in accordance with picture signals.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • In the accompanying drawings:
  • FIG. 1 shows an example of a manufacturing apparatus according to Embodiment 1 of the present invention;
  • FIGS. 2A and 2B each show an example of a sequence for the manufacturing apparatus according to Embodiment 1;
  • FIG. 3 shows an example of a transfer path for a substrate according to Embodiment 1;
  • FIG. 4 shows another example of the sequence for the manufacturing apparatus according to Embodiment 1;
  • FIG. 5 shows an example of the transfer path for a substrate according to Embodiment 1;
  • FIGS. 6A and 6B each show another example of the sequence for the manufacturing apparatus according to Embodiment 1;
  • FIG. 7 shows an example of a transfer path for two substrates according to Embodiment 1;
  • FIGS. 8A to 8C show an evaporation apparatus according to Embodiment 2 of the present invention;
  • FIGS. 9A and 9B show the evaporation apparatus according to Embodiment 2 of the present invention;
  • FIGS. 10A and 10B show examples of a container according to Embodiment 3 of the present invention;
  • FIGS. 11A and 11B show other examples of the container according to Embodiment 3 of the present invention;
  • FIGS. 12A and 12B show examples of an evaporation source holder according to Embodiment 3 of the present invention;
  • FIG. 13 shows a manufacturing system according to Embodiment 4 of the present invention;
  • FIG. 14 shows a transfer container according to Embodiment 4 of the present invention;
  • FIGS. 15A and 15B show an evaporation apparatus according to Embodiment 4 of the present invention;
  • FIGS. 16A and 16B show the evaporation apparatus according to Embodiment 4 of the present invention;
  • FIGS. 17A and 17B show a light emitting device according to Example 1 of the present invention;
  • FIGS. 18A and 18B show the light emitting device according to Example 1 of the present invention;
  • FIGS. 19A to 19C show the light emitting device according to Example 1 of the present invention;
  • FIGS. 20A to 20H each show an example of electronic equipment using the present invention;
  • FIG. 21 shows another example of the manufacturing apparatus according to Embodiment 1 of the present invention; and
  • FIG. 22 shows a conventional evaporation apparatus.
  • DETAILED DESCRIPTION OF THE PREFERRED EXAMPLES
  • Hereinafter, embodiments of the present invention will be described.
  • Embodiment 1
  • FIG. 1 shows an example of a manufacturing apparatus of a multi-chamber system in which all production processes are automated from a process of forming a first electrode to a sealing process.
  • The multi-chamber manufacturing apparatus shown in FIG. 1 includes: gates 100 a to 100 s; a take-out chamber 119; transfer chambers 104 a, 102, 114, and 118; handing-over chambers 105 and 107; a preparation chamber 101; a first film forming chamber 106A; a second film forming chamber 106B; a third film forming chamber 106C; a fourth film forming chamber 106D; other film forming chambers 109 a, 109 b, 113 a, and 113 b; process chambers 120 a and 120 b; setting chambers 126A, 126B, 126C, and 126D for installing an evaporation source; pre-treatment chambers 103 a and 103 b; a first sealing chamber 116 a; a second sealing chamber 116 b; a first stock chamber 130 a; a second stock chamber 130 b; cassette chambers 131 a and 131 b; a tray putting stage 121; and a washing chamber 122.
  • Hereinafter, a description will be made of a procedure for producing a light emitting device after a substrate to which a thin-film transistor, an anode (first electrode), and an insulator covering an end portion of the anode are formed in advance is loaded into the manufacturing apparatus shown in FIG. 1.
  • First, the above-mentioned substrate is set in the cassette chamber 131 a or 131 b.
  • If the substrate has a large size (for example, 300 mm×360 mm), the substrate is set in the cassette chamber 131 a and 131 b. If the substrate has a normal size (for example, 127 mm×127 mm), the substrate is transferred to the tray putting stage 121 and plural substrates are set in a tray (having a size of, for example, 300 mm×360 mm).
  • Next, the plural substrates each formed with the thin-film transistor, the anode, and the insulator covering an end portion of the anode are transferred to the transfer chamber 118, and then further transferred to the washing chamber 122 to remove impurities (such as fine particles) from the substrate surfaces by using a solution. If the substrates are washed in the washing chamber 122, the substrates are set with the surfaces to be formed with a film facing downward at the atmospheric pressure.
  • Also, before forming a film containing an organic compound, in order to remove moisture and gas from within the substrates, it is preferable to perform annealing for degasification in vacuum. Therefore, the substrates may be transferred to the pretreatment chambers 103 a and 103 b each connected to the transfer chamber 118, to be subjected to annealing therein. Also, if a film containing an organic compound formed to an unnecessary portion is to be removed, the substrates are transferred to the pretreatment chambers 103 a and 103 b to selectively remove a laminate of organic compound film layers. The pretreatment chambers 103 a and 103 b each have plasma generating means, and generate plasma by exciting one or plural kinds of gas selected from the group consisting of Ar, H, F, and O, to thereby perform dry etching. Here, it is shown as an example that the two pretreatment chambers 103 a and 103 b are provided to allow treatment on the two substrates substantially in parallel with each other.
  • Next, the substrates are transferred from the transfer chamber 118 provided with a substrate transferring mechanism to the preparation chamber 101. In the manufacturing apparatus of this embodiment, the preparation chamber 101 has a substrate inverting mechanism and can invert the substrates appropriately. The preparation chamber 101 is connected to a vacuum-exhaust process chamber and preferably set to the atmospheric pressure by introducing inert gas into the chamber after the chamber is vacuum-exhausted.
  • Next, the substrates are transferred to the transfer chamber 102 connected to the preparation chamber 101. It is preferable that the transfer chamber 102 be vacuum-exhausted in advance and maintain vacuum so as to contain as little moisture and oxygen as possible.
  • The above-mentioned vacuum-exhaust process chamber is provided with a magnetic levitation turbomolecular pump, a cryopump, or a dry pump. The pump makes it possible for the transfer chamber connected to the preparation chamber to reach a vacuum level of 10−5 to 10−6 Pa. In addition, reverse diffusion of impurities from the pump side and the exhaust system can be controlled. In order not to let impurities enter the interior of the device, inert gas such as nitrogen or rare gas is introduced. Used as the gas introduced into the device is one that is refined to have a high purity by a gas refining machine prior to introduction to the device interior. Thus, it is necessary to provide a gas refining machine such that the gas is refined to have a high purity and, after that, introduced into an evaporation apparatus. Accordingly, oxygen, water, and other impurities are removed from within the gas in advance, thereby making it possible to prevent those impurities from entering the device interior.
  • Next, the substrates are transferred from the transfer chamber 102 to the first forming chamber 106A, the second film forming chamber 106B, the third film forming chamber 106C, and the fourth film forming chamber 106D. Then, low-molecular weight organic compound layers are formed which serve as hole injecting layers, hole transporting layers, and light emitting layers.
  • As overall light emitting elements, organic compound layers exhibiting single-color (specifically white) light emission or full-color (specifically red, green, and blue) light emission can be formed. Here, an example will be described in which the organic compound layers exhibiting white light emission are formed in the respective film forming chambers 106A, 106B, 106C, and 106D at the same time (each film forming process is performed approximately in parallel).
  • Note that in the case where the organic compound layers exhibiting white light emission are a laminate of light emitting layers having different light emission colors, the organic compound layers are broadly divided into two types: a three wavelength type that includes the primary colors of red, green, and blue and a two wavelength type that utilizes the relationship between complementary colors of blue and yellow or blue-green and orange. Here, an example will be described in which a white light emitting element is obtained using the three wavelength type.
  • First, the respective film forming chambers 106A, 106B, 106C, and 106D will be described. The film forming chambers 106A, 106B, 106C, and 106D each have movable evaporation source holders, which are prepared in plural numbers. In each of the film forming chambers, five evaporation source holders are set in the following states: a first evaporation source holder has aromatic diamine (referred to as TPD) for forming a white light emitting layer sealed therein; a second evaporation source holder has p-EtTAZ for forming a white light emitting layer sealed therein; a third evaporation source holder has Alq3 for forming a white light emitting layer sealed therein; a fourth evaporation source holder has an EL material sealed therein which is obtained by doping Alq3 for forming a white light emitting layer with Nile Red that is a red light emitting pigment; and a fifth evaporation source holder has Alq3 sealed therein.
  • It is preferable that EL materials be set in the above film forming chambers by using the following manufacturing system. That is, it is preferable that a container (typically a melting pot) in which an EL material is stored in advance by a material manufacturer be used to form a film. In addition, it is preferable that the container be set without being exposed to the atmosphere. Thus, it is preferable that the melting pot be sealed in a second container when being shipped from the material manufacturer, and then be loaded into a film forming chamber while maintaining the sealed state. It is desirable that the setting chambers 126A, 126B, 126C, and 126D each having vacuum-exhaust means and connected to the film forming chambers 106A, 106B, 106C, and 106D, respectively, be set to vacuum or an inert gas atmosphere, and melting pots be taken out of second containers in the setting chambers and then be set in the film forming chambers. As a result, a melting pot and an EL material stored in the melting pot can be protected from contamination. Note that metal masks may be stocked in the setting chambers 126A, 126B, 126C, and 126D.
  • Next, film forming steps will be described. In the film forming chamber 106A, a mask is transferred from the setting chamber described above and set as the need arises. Then, the first to fifth evaporation source holders start to move sequentially to perform evaporation to the substrate. More specifically, TPD from the first evaporation source holder is sublimated by heating and is deposited by evaporation on the entire surface of the substrate. After that, p-EtTAZ from the second evaporation source holder is sublimated, Alq3 from the third evaporation source holder is sublimated, Alq3: Nile Red from the fourth evaporation source holder is sublimated, and Alq3 from the fifth evaporation source holder is sublimated, which are all deposited by evaporation on the entire surface of the substrate.
  • In the case of using an evaporation method, it is preferable to perform evaporation in the film forming chamber that is vacuum-exhausted to reach a vacuum level of 5×10−3 Torr (0.665 Pa) or lower, preferably, 10−4 to 10−6 Pa.
  • Note that the above-mentioned evaporation source holders with the EL materials set therein are provided in the respective film forming chambers, and also in the respective film forming chambers 106B to 106D, evaporation is performed in the same manner. In other words, the same film forming process can be performed to four substrates approximately in parallel. FIG. 7 shows a simple example of the path along which two of the four substrates are processed. As a result, even if a given film forming chamber is undergoing maintenance or cleaning, the film forming process is possible in the rest of the film forming chambers, thereby reducing the cycle time for the film formation. Accordingly, the throughput of the light emitting device can be improved.
  • Next, the substrate is transferred from the transfer chamber 102 to the handing-over chamber 105, and further transferred from the handing-over chamber 105 to the transfer chamber 104 a without being exposed to the atmosphere.
  • Next, a transfer mechanism set in the transfer chamber 104 a is used to transfer the substrate to the film forming chamber 109 a or the film forming chamber 109 b, and then a cathode is formed to the substrate. The cathode may be formed by laminating two cathodes (lower layer and upper layer). The cathode (lower layer) is formed of an extremely thin metal film (MgAg, MgIn, AlLi, CaN, or like other alloy film, or a film formed by co-evaporation of aluminum and an element that belongs to Group 1 or 2 in the periodic table) formed by evaporation using resistance heating. The cathode (upper layer) is formed of a transparent conductive film (an indium tin oxide alloy (ITO) film, an indium oxide-zinc oxide alloy (In2O3—ZnO) film a zinc oxide (ZnO) film, or the like) formed by sputtering. Therefore, it is preferable that a film forming chamber for forming a thin metal film be arranged in the manufacturing apparatus.
  • Through the above steps, a light emitting element having a laminate structure as shown in FIGS. 17A and 17B is formed.
  • Next, without being exposed to the atmosphere, the substrate is transferred from the transfer chamber 104 a to the film forming chamber 113 a or 113 b, and then a protective film consisting of a silicon nitride film or a silicon nitroxide film is formed to the substrate. Here, the film forming chambers 113 a and 113 b each include a silicon target, a silicon oxide target, or a silicon nitride target. For example, a silicon nitride film can be formed by using the silicon target and setting the atmosphere in the film forming chamber to a nitrogen atmosphere or an atmosphere containing nitrogen and argon. FIG. 1 shows an example system provided with the two film forming chambers 113 a and 113 b such that a protective film can be formed to two substrates approximately in parallel.
  • Next, without being exposed to the atmosphere, the substrate formed with a light emitting device is transferred from the transfer chamber 104 a to the handing-over chamber 107, and further transferred from the handing-over chamber 107 to the transfer chamber 114. Then, the substrate formed with a light emitting device is transferred from the transfer chamber 114 to the first sealing chamber 116 a or the second sealing chamber 116 b. Note that in the first sealing chamber 116 a and the second sealing chamber 116 b, a sealing member for bonding substrates to each other later or for sealing a substrate is formed. FIG. 1 shows an example system provided with the two sealing chambers 116 a and 116 b such that a bonding process can be performed to two substrates approximately in parallel.
  • A sealing substrate is set in the first stock chamber 130 a or the second stock chamber 130 b from the outside for preparation. Note that in order to remove moisture and other impurities, it is preferable that the sealing substrate be subjected to annealing in vacuum in advance, for example, within the first stock chamber 130 a or the second stock chamber 130 b. If the sealing member for bonding the sealing substrate and the substrate on which the light emitting element is formed is formed on the sealing substrate, the sealing member is formed in the first stock chamber 130 a or the second stock chamber 130 b, and the sealing substrate on which the sealing member is formed is then transferred to the first sealing chamber 116 a or the second sealing chamber 116 b. Note that the sealing substrate may be provided with a desiccant in the first sealing chamber 116 a or the second sealing chamber 116 b. Also, the first sealing chamber 130 a and the second sealing chamber 130 b may be stocked with an evaporation mask to be used at the time of evaporation.
  • Next, in order to perform degasification to the substrate provided with the light emitting device, after performing annealing in vacuum or in an inert gas atmosphere, the sealing substrate provided with the sealing member and the substrate formed with the light emitting device are bonded to each other. Also, an enclosed space is filled with nitrogen or inert gas. Note that here, the example of forming the sealing member on the sealing substrate is shown. However, there is no particular limitation and the sealing member may be formed on the substrate formed with the light emitting element.
  • Next, the pair of bonded substrates are irradiated with UV light by a UV ray irradiation mechanism provided in the sealing chamber 116 a, 116 b to thereby cure the sealing member. Note that a UV-curable and thermoset resin is used here as the sealing member. However, there is no particular limitation as long as an adhesive is used as the sealing member, and such a resin as one curable by only a UV ray may be used.
  • Further, instead of filling the enclosed space with inert gas, a resin may be filled therein. In the case of a downward emission type, no light transmits through the cathode, so that the material for the resin to be filled are not particularly limited and the UV-curable resin or an opaque resin may be used. However, in the case of an upward emission type, a UV ray transmits through the cathode to cause damage to the EL layer, so that the UV-curable resin cannot be used. Thus, in the case of an upward emission type, it is preferable to use a transparent thermoset resin as the resin to be filled.
  • Next, the pair of bonded substrates are transferred from the sealing chamber 116 a, 116 b to the transfer chamber 114, and then transferred from the transfer chamber 114 to the take-out chamber 119 to be taken out thereof.
  • Further, after being taken out of the take-out chamber 119, the sealing member is cured by heat treatment. In the case of using the upward emission type and filling the enclosed space with the thermoset resin, the thermoset resin can be cured simultaneously with the heat treatment for curing the sealing member.
  • As described above, the use of the manufacturing apparatus shown in FIG. 1 prevents a light emitting element from being exposed to the atmosphere before the light emitting element is completely sealed in an enclosed space. As a result, a light emitting device having high reliability can be produced. Note that the transfer chamber 114 alternates between vacuum and a nitrogen atmosphere at the atmospheric pressure. However, it is desirable that the transfer chambers 102, 104 a, and 108 maintain vacuum all the time.
  • Note that, although not shown in the figures, a control drive unit is provided which controls the path along which substrates are moved to individual process chambers, to thereby realize a fully automatic system.
  • Also, it is possible to load a substrate formed with a transparent conductive film as the anode into the manufacturing apparatus shown in FIG. 1, and form a light emitting element (having a structure in which light emission caused in a layer containing an organic compound is taken out from the transparent anode toward a TFT; hereinafter referred to as downward emission structure) that emits light in the direction opposite to that of the laminate structure described above.
  • In the case where both the anode and the cathode are composed of a transparent or translucent material, it is also possible to form a structure in which light emission caused in the layer containing the organic compound is taken out toward both the upper surface and the lower surface (hereinafter referred to as double-sided emission structure).
  • Further, FIG. 21 shows an example of a manufacturing apparatus provided with two take-out chambers because plural take-out chambers become necessary in the case where elements are produced by simultaneously processing two substrates in different sizes in parallel. A mask stock chamber and a coating chamber are also provided in FIG. 21. Note that in FIG. 21, the same reference numerals as those in FIG. 1 are used.
  • In FIG. 21, reference numeral 100 t denotes a gate, 1003 the coating chamber, 1013 the mask stock chamber, and reference numerals 1019 a and 1019 b denote the take-out chambers.
  • Note that the mask stock chamber 1013 is stocked with evaporation masks to be used at the time of evaporation. The evaporation masks are appropriately transferred to each film forming chamber when performing evaporation, and then set therein. In particular, it is difficult to stock the mask having a large area in the setting chamber, so that it is preferable to separately provide the mask stock chamber as shown in FIG. 21. Also, the mask stock chamber 1013 may be stocked with not only the evaporation masks but also, for example, substrates.
  • Further, in the coating chamber 1003, a layer containing a high-molecular weight material may be formed by an ink jet method or a spin coating method. For example, an aqueous solution of poly(ethylene dioxythiophene)/poly(styrene sulfonic acid) (PEDOT/PSS) acting as a hole injecting layer (an anode buffer layer), an aqueous solution of polyaniline/camphor sulfonic acid (PANI/CSA), PTPDES, Et-PTPDEK, PPBA or the like may be coated over the entire surface of the first electrode (anode) and then subjected to baking.
  • Further, the PEDOT/PSS film formed by the spin coating method covers the entire surface. Accordingly, it is preferable to selectively remove portions of the film that cover end surfaces and perimeter of the substrate, a terminal portion, a region where a cathode and a lower wiring are connected to each other, and the like. For example, it is preferable that the removal is performed by O2 ashing or the like using a mask in the pretreatment chamber 103 a.
  • Embodiment 2
  • FIGS. 8A to 8C show a film forming system featured in moving a substrate and an evaporation source relative to each other. FIG. 8A is a sectional view in X direction (a section taken along a dotted line A-A′), FIG. 8B is a sectional view in Y direction (a section taken along a dotted line B-B′) and FIG. 8C is a top view. Further, FIGS. 8A, 8B and 8C show the evaporation system in the midst of evaporation.
  • The film forming system shown in FIGS. 8A to 8C is characterized in that in an evaporation system, an evaporation source holder installed with a container filled with an evaporation material is moved by a certain pitch relative to a substrate or the substrate is moved by a certain pitch relative to the evaporation source. Further, it is preferable to move the evaporation source holder by a certain pitch to overlap ends (skirts) of a sublimated evaporation material.
  • Although a single or a plurality of the evaporation source holders may be constituted, when the evaporation source holders are provided for respective laminated films of EL layers, evaporation can be carried out efficiently and continuously. Further, a single or a plurality of containers may be installed at the evaporation source holder and a plurality of containers filled with the same evaporation material may be installed. Further, when containers having different evaporation materials are arranged, a film can be formed at a substrate in a state of mixing the sublimated evaporation materials (this is referred to as common evaporation).
  • In FIGS. 8A to 8C, a film forming chamber 11 includes a substrate holder 12, an evaporation source holder 17 installed with an evaporation shutter 15, means for moving the evaporation source holder (not illustrated) and means for producing a low pressure atmosphere. Further, the film forming chamber 11 is installed with a substrate 13 and an evaporation mask 14. Further, alignment of the evaporation mask may be confirmed by using a CCD camera (not illustrated). The evaporation source holder 17 is installed with a container filled with an evaporation material 18. The film forming chamber 11 is vacuumed to a vacuum degree of 5×10−3 Torr (0.665 Pa) or lower, preferably, 10−4 through 10−6 Pa by the means for producing the low pressure atmosphere.
  • Further, in evaporation, the evaporation material is previously sublimated (vaporized) by resistance heating and scattered in a direction of the substrate 13 by opening the shutter 15 in evaporation. An evaporated evaporation material 19 is scattered in an upward direction and is selectively vapor-deposited on the substrate 13 by passing an opening portion provided at the evaporation mask 14. Further, preferably, a speed of film formation, a moving speed of the evaporation holder and opening and closing of the shutter are controlled by a control unit such as a personal computer. The evaporation rate of the evaporation source holder can be controlled by the moving speed.
  • Further, although not illustrated, evaporation can be carried out while measuring a film thickness of a deposited film by a quartz oscillator provided at the film forming chamber 11. When the film thickness of the deposited film is measured by using the quartz oscillator, a change in mass of a film deposited to the quartz oscillator can be measured as a change in the resonance frequency.
  • In the evaporation system shown in FIGS. 8A to 8C, in evaporation, a distance d of an interval between the substrate 13 and the evaporation source holder 17 can be reduced to, representatively, 30 cm or smaller, preferably, 20 cm or smaller, further preferably, 5 cm through 15 cm to thereby significantly promote an efficiency of utilizing the evaporation material and throughput.
  • In the evaporation system, the evaporation source holder 17 is constituted by a container (representatively, crucible), a heater arranged on an outer side of the container via a uniformly heating member, an insulating layer provided on an outer side of the heater, an outer cylinder containing these, a cooling pipe wound around an outer side of the outer cylinder and the evaporation shutter 15 for opening and closing an opening portion of the outer cylinder including an opening portion of a crucible. Further, the evaporation source holder 17 may be a container capable of being carried in a state of fixing the heater to the container. Further, the container is formed by a material of a sintered body of BN, a composite sintered body of BN and AlN, quartz or a graphite capable of withstanding high temperature, high pressure and low pressure.
  • Further, the evaporation source holder 17 is provided with a mechanism movable in X direction or Y direction at inside of the film forming chamber 11 while maintaining a horizontal state. In this case, the evaporation source holder 17 is made to move in zigzag on a two-dimensional plane as shown by FIG. 9A or FIG. 9B. Further, a pitch of moving the evaporation source holder 17 may pertinently be matched to an interval between insulators. Further, insulators 10 are arranged in a stripe shape to cover end portions of first electrodes 21.
  • Further, in FIGS. 9A and 9B, timings of starting to move the evaporation source holders A, B, C and D may be after stopping or before stopping preceding ones of the evaporation source holders. For example, with setting an organic material capable of hole transporting at the evaporation holder A, an organic material serving as an light emitting layer at the evaporation holder B, an organic material capable of electron transporting at the evaporation holder C and a material serving as a cathode buffer at the evaporation holder D, it is possible to continuously laminate these material layers in a same chamber. Further, when a succeeding one of the evaporation source holder starts moving before solidifying a vapor-deposited film, in an EL layer having a laminated layers structure, a region mixed with evaporation materials (mixed region) may be formed at an interface of respective films.
  • According to the invention of moving the substrate and the evaporation source holders A, B, C and D relative to each other in this way, small-sized formation of the device can be achieved without needing to prolong a distance between the substrate and the evaporation source holder. Further, since the evaporation system is small-sized, adherence of the sublimated evaporation material to the inner wall or the adherence preventive shield at inside of the film forming chamber is reduced and the evaporation material can be utilized without waste. Further, according to the evaporation method of the invention, it is not necessary to rotate the substrate and therefore, the evaporation system capable of dealing with a large area substrate can be provided. Further, according to the invention of moving the evaporation source holder in the X axis direction and the Taxis direction relative to the substrate, the vapor-deposited film can uniformly be formed.
  • Further, it is not necessarily needed that an organic compound provided at the evaporation source holder is one or one kind thereof but may be a plurality of kinds thereof. For example, other than one kind of a material provided as a luminescent organic compound at the evaporation source holder, other organic compound which can be a dopant (dopant material) may be provided along therewith. It is preferable to design an organic compound layer to be vapor-deposited to constitute by a host material and a luminescent material (dopant material) having excitation energy lower than that of the host material such that the excitation energy of the dopant becomes lower than excitation energy of a hole transporting region and excitation energy of an electron transporting layer. Thereby, diffusion of a molecular exciter of the dopant can be prevented and the dopant can effectively be made to emit light. Further, when the dopant is a material of a carrier trap type, an efficiency of recombining carriers can also be promoted. Further, the invention includes a case in which a material capable of converting triplet excitation energy to luminescence is added to a mixing region as a dopant. Further, in forming the mixing region, a concentration gradient may be provided to the mixing region.
  • Further, when a plurality of organic compounds are provided at a single evaporation source holder, it is preferable for evaporating directions to be skew to intersect at a position of an object to be deposited such that the organic compounds are mixed together. Further, in order to carry out common evaporation, the evaporation source holder may be provided with four kinds of evaporation materials (for example, two kinds of host materials as evaporation material A, two kinds of dopant materials as evaporation material b). Further, when a pixel size is small (or, an interval between respective insulators is narrow), a film can finely be formed by dividing inside of a container in four and carrying out common evaporation for subjecting respective pertinently to evaporation.
  • Further, since the interval distance d between the substrate 13 and the evaporation source holder 17 is narrowed to, representatively, 30 cm or smaller, preferably, 5 cm through 15 cm, there is a concern of heating also the evaporation mask 14. Therefore, it is preferable for the evaporation mask 14 to use a metal material having a low thermal expansion rate which is difficult to deform by heat (for example, a high melting point metal such as tungsten, tantalum, chromium, nickel or molybdenum or an alloy including these elements, a material such as stainless steel, inconel, Hastelloy). For example, a low thermal expansion alloy having 42% of nickel and 58% of iron or the like is pointed out. Further, in order to cool the evaporation mask to be heated, the evaporation mask may be provided with a mechanism of circulating a cooling medium (cooling water, cooling gas).
  • Further, in order to clean a deposited substance adhered to the mask, it is preferable to generate a plasma at inside of the film forming chamber by plasma generating means to vaporize the deposited substance adhered to the mask to vent the vapor to outside of the film forming chamber. For that purpose, a mask is separately provided with an electrode and a high frequency power source 20 is connected to either one of them.
  • Further, the film forming chamber includes gas introducing means for introducing one kind or a plurality of kinds of gases selected from the group consisting of Ar, H, F, NF3, and O and venting means for venting the deposited substance vaporized. By the above-described constitution, inside of the film forming chamber can be cleaned without being in contact with the atmosphere in maintenance.
  • Cleaning can be performed as follows, the atmosphere in a chamber is substituted by nitrogen, and is vacuum exhausted, and a high frequency power supply (13.56 MHz) can be connected with either the mask or the electrode so that a plasma is generated the mask and the electrode (a substrate shutter, not illustrated). Then, argon and hydrogen are introduced to the chamber in respective flow rate of 30 sccm, and the atmosphere in the chamber are stabilized, an RF electric power of 800 W is applied to generate a plasma, thereby the mask and inner wall of the chamber can be cleaned.
  • Further, the film forming chamber 11 is connected with a vacuuming chamber for vacuuming inside of the film forming chamber. The vacuum processing chamber is provided with a turbo-molecular pump of a magnetic levitation type, a cryopump or a dry pump. Thereby, the ultimate vacuum degree of the film forming chamber 11 can be made to be 10−5 through 10−6 Pa and inverse diffusion of an impurity from a pump side and an venting system can be controlled. In order to prevent an impurity from being introduced into the film forming chamber 11, as a gas to be introduced, an inert gas of nitrogen or rare gas is used. There are used the gases to be introduced which are highly purified by a gas refiner before being introduced into the device. Therefore, it is necessary to provide the gas refiner such that the gas is highly purified and thereafter introduced into the film forming chamber 11. Thereby, an impurity of oxygen, water or the like included in the gas can previously be removed and therefore, the impurities can be prevented from being introduced into the film forming chamber 11.
  • Further, the substrate holder 12 is provided with a permanent magnet for fixing the evaporation mask comprising a metal with the magnetic force and also fixing the substrate 13 interposed therebetween. Although an example of bringing the evaporation mask into close contact with the substrate 13 is shown here, a substrate holder or an evaporation mask holder fixed with an interval to some degree therebetween may pertinently be provided.
  • According to the film forming chamber having the mechanism of moving the evaporation source holder as described above, it is not necessary to prolong the distance between the substrate and the evaporation source holder and the evaporation film can uniformly be formed.
  • Embodiment 3
  • Here, a detailed description will be given of constitutions of a container for filling an evaporation material and an evaporation source holder at a surrounding thereof in reference to FIGS. 10A and 10B as follows. Further, FIGS. 10A and 10B show a state of an open shutter.
  • FIG. 10A shows a sectional view of a surrounding of one container installed at an evaporation source holder 304 illustrated with heating means 303 provided at the evaporation source holder, a power source 307 of the heating means, an evaporation material 302 of the container, a filter 305 provided at inside of the container and a shutter 306 arranged above an opening portion provided at an upper portion of the container. As the heating means 303, resistance heating, high frequency or laser may be used, specifically, an electric coil may be used.
  • Further, the evaporation material 302 heated by the heating means 303 is sublimated and the sublimated material 302 rises upwardly from the opening portion of the container. At this occasion, the sublimated material having a size equal to or larger than a certain constant amount (mesh of filter) cannot pass the filter 305 provided at inside of the container, returns into the container and is sublimated again. Further, the filter 305 may be formed by a highly conductive material and heated by heating means (not illustrated). By the heating, the evaporation material can be prevented from being solidified and adhered to the filter.
  • By the container having the constitution provided with such a filter, the evaporation material having an even size is vapor-deposited and therefore, a speed of film formation can be controlled and a uniform film thickness can be provided and uniform evaporation without nonuniformity can be carried out. Naturally, when uniform evaporation without nonuniformity can be carried out, it is not necessarily needed to provide a filter. Further, a shape of the container is not limited to that in FIG. 10A.
  • Next, an explanation will be given of a container filled with an evaporation material having a constitution different from that of FIG. 10A in reference to FIG. 10B.
  • FIG. 10B is illustrated with a container 311 installed at an evaporation holder, an evaporation material 312 at inside of the container, first heating means 313 provided at the evaporation source holder, a power source 318 of the first heating means, a shutter 317 arranged above an opening portion of the container, a plate 316 provided above the opening portion, second heating means 314 provided to surround the filter and a power source 319 of second heating means.
  • Further, the evaporation material 312 heated by the first heating means 313 is sublimated and the sublimated evaporation material rises upwardly from the opening portion of the container 311. At this occasion, the sublimated material having a size equal to or larger than a certain constant amount cannot pass an interval between the plate 316 provided above the opening portion of the container and the second heating means 314, impinges on the plate 316 and returns to inside of the container. Further, since the plate 316 is heated by the second heating means 314, the evaporation material can be prevented from solidifying and adhering to the plate 316. Further, it is preferable to form the plate 316 by a highly conductive material. Further, a filter may be provided in place of the plate.
  • Further, as heating temperature (T1) by the first heating means 313, a temperature higher than a sublimating temperature (TA) of the evaporation material is applied, a heating temperature (T2) by the second heating means 314 may be lower than that of the first heating means. This is because once sublimated evaporation material is easy to sublimate and therefore, the evaporation material is sublimated without applying the actual sublimating temperature. That is, respective heating temperatures may establish Ti>>T2>TA.
  • By such a container having a constitution of providing the heating means around the plate, the evaporation material having an even size is sublimated, further, the sublimated material passes a vicinity of the heating means and therefore, adherence of the evaporation material to the plate is reduced, further, the speed of film formation can be controlled and therefore a uniform film thickness can be provided and uniform evaporation without nonuniformity can be carried out. Naturally, when the uniform evaporation without nonuniformity can be carried out, it is not necessarily needed to provide the plate. Further, the shape of the container is not limited to those in FIGS. 10A and 10B but, for example, the container may be provided with shapes as shown by FIGS. 11A and 11B.
  • FIG. 11A shows an example of providing heating means 402 at an evaporation source holder 404 illustrating sectional views of examples of shapes of containers 403 and 405 in each of which an opening portion of the container is narrowed toward an upper side thereof. Further, after filling a refined evaporation material in a container having a wide opening portion, the shapes of the container 403 or 405 shown in FIG. 11A may be constituted by using a lid or the like. Further, when a diameter of the opening portion of the container narrowed toward the upper side is constituted by the size of the evaporation material intended to form, an effect similar to that of a filter can be achieved.
  • Further, FIG. 11B shows examples of providing heating means 412 at containers. Although shapes of the containers 413 and 415 are similar to those of FIG. 11A, the heating means 412 are provided at the containers per se. Further, power sources of the heating means may be designed to be brought into an ON state at a stage of being installed to evaporation source holders. By such a constitution of providing the heating means at the container per se, heat can be applied sufficiently to an evaporation material even in the case of a container having an opening portion in a shape which is difficult to heat.
  • Next, a specific constitution of an evaporation source holder will be explained in reference to FIGS. 12A and 12B. FIGS. 12A and 12B show enlarged views of evaporation source holders.
  • FIG. 12A shows a constitution example of providing four containers 501 filled with an evaporation material to an evaporation source holder 502 in a shape of a lattice and providing shutters 503 above the respective containers and FIG. 12B shows a constitution example of providing four containers 511 filled with an evaporation material to an evaporation source holder 512 in a linear shape and providing shutters 513 above the respective containers.
  • A plurality of the containers 501 or 511 filled with the same material may be installed at the evaporation source holder 502 or 512 illustrated in FIG. 12A or 12B or a single one of the container may be installed at the evaporation source holder. Further, common evaporation may be carried out by installing containers filled with different evaporation materials (for example, host material and guest material). Further, as described above, the evaporation material is sublimated by heating the container and a film is formed at the substrate.
  • Further, as shown by FIG. 12A or 12B, it may be controlled whether the film is formed by the sublimated evaporation material by providing the shutter 503 or 513 above each container. Further, only a single one of the shutter may be provided above all of the containers. Further, by the shutter, it can be reduced to sublimate and scatter an unnecessary evaporation material without stopping to heat the evaporation source holder which does not form the film, that is, the evaporation source holder being at standby. Further, the constitution of the evaporation source holder is not limited to those of FIGS. 12A and 12B but may pertinently be designed by a person for embodying the invention.
  • By the above-described evaporation source holder and container, the evaporation material can efficiently be sublimated, further, the film is formed in a state in which the size of the evaporation material is even and therefore, a uniform evaporation film without nonuniformity is formed. Further, a plurality of evaporation materials can be installed at the evaporation source holder and therefore, common evaporation can easily be carried out. Further, an aimed EL layer can be formed in one operation without moving the film forming chamber for each film of the EL layer.
  • Embodiment 4
  • An explanation will be given, with reference to FIG. 13, of a system of a fabricating method of filling a refined evaporation material in the above-described container, carrying the container and thereafter installing the container directly at an evaporation system which is a film forming device, to carry out evaporation.
  • FIG. 13 illustrates a manufacturer, representatively, a material manufacturer 618 (representatively, material manufacturer) for producing and refining an organic compound material which is an evaporation material and a manufacturer (representatively, production factory) 619 of a luminescent device which is a manufacturer of a luminescent device having an evaporation system.
  • First, an order 610 is carried out from the luminescent device manufacturer 619 to the material manufacturer 618. Based on the order 610, the material manufacturer 618 refines to sublimate an evaporation material and fills an evaporation material 612 in a shape of a powder refined in high purity to a first container 611. Thereafter, the material manufacturer 618 isolates the first container from the atmosphere such that an extra impurity is not adhered to inside or outside thereof, and contains the first container 611 in second containers 621 a and 621 b to hermetically seal for preventing the first container 611 from being contaminated at inside of the clean environment chamber. In hermetically sealing the second containers 621 a and 621 b, at inside of the containers it is preferable to be vacuum or to be filled with an inert gas of nitrogen or the like. Further, it is preferable to clean the first container 611 and the second containers 621 a and 621 b before refining or containing the evaporation material 612 with an ultra high purity. Further, although the second containers 621 a and 621 b may be package films having barrier performance for blocking oxygen or moisture from mixing thereinto, in order to be able to take out the containers automatically, it is preferable that the second containers are constituted by stout containers having light blocking performance in a shape of a cylinder or a shape of a box.
  • Thereafter, the first container 611 is carried (617) from the material manufacturer 618 to the luminescent device manufacturer 619 in a state of being hermetically sealed by the second containers 621 a and 621 b.
  • At the luminescent device manufacturer 619, the first container 611 is directly introduced into a vacuumable processing chamber 613 in a state of being hermetically sealed in the second containers 621 a and 621 b. Further, the processing chamber 613 is an evaporation system installed with heating means 614 and substrate holding means (not illustrated) at inside thereof.
  • Thereafter, inside of the processing chamber 613 is vacuumed to bring about a clean state in which oxygen or moisture is reduced as less as possible, thereafter, without breaking the vacuum, the first container 611 is taken out from the second containers 621 a and 621 b, the first container 611 is installed in contact with the heating means 614 and an evaporation source can be prepared. Further, an object to be deposited (here, substrate) 615 is installed at the processing chamber 613 to be opposed to the first container 611.
  • Successively, an evaporation film 616 is formed on a surface of the object to be deposited 615 by applying heat to the evaporation material by the heating means 614. The evaporation film 616 provided in this way does not include an impurity and when a luminescent element is finished by using the evaporation film 616, high reliability and high brightness can be realized.
  • Further, after forming the film, the evaporation material remaining at the first container 611 may be sublimated to refine at the luminescent device manufacturer 619. After forming the film, the first container 611 is installed at the second containers 621 a and 621 b, taken out from the processing chamber 613 and carried to a refining chamber for sublimating to refine the evaporation material. There, the remaining evaporation material is sublimated to refine and the evaporation material in a shape of a powder refined at high purity is filled into a separate container. Thereafter, in a state of being hermetically sealed in the second container, the evaporation material is carried to the processing chamber 613 to carry out evaporation processing. At this occasion, it is preferable that a relationship among temperature (T3) for refining the remaining evaporation material, temperature (T4) elevated at a surrounding of the evaporation material and temperature (T5) at a surrounding of the evaporation material which is sublimated to refine satisfy T3>T4>T5. That is, in the case of sublimating to refine the material, when temperature is lowered toward a side of the container for filling the evaporation material to be sublimated to refine, convection is brought about and the material can be sublimated to refine efficiently. Further, the refining chamber for sublimating to refine the evaporation material may be provided in contact with the processing chamber 613 and the evaporation material which has been sublimated to refine may be carried without using the second container for hermetically sealing the evaporation material.
  • As described above, the first container 611 is installed in the evaporation chamber which is the processing chamber 613 without being brought into contact with the atmosphere at all to enable to carry out evaporation while maintaining the purity at the stage of containing the evaporation material 612 by the material manufacturer. Therefore, according to the invention, a fully automated fabricating system promoting the throughput can be realized and an integrated closed system capable of avoiding the impurity from mixing to the evaporation material 812 refined at the material manufacturer 618 can be realized. Further, the evaporation material 612 is directly contained in the first container 611 by the material mater based on the order and therefore, only a necessary amount thereof is provided to the luminescent device manufacturer and the comparatively expensive evaporation material can efficiently be used. Further, the first container and the second container can be reutilized to amount to a reduction in cost.
  • A specific explanation will be given of a mode of the container to be carried in reference to FIG. 14 as follows. A second container divided into an upper portion (621 a) and a lower portion (621 b) used for transportation includes fixing means 706 provided at an upper portion of the second container for fixing a first container, a spring 705 for pressing the fixing means, a gas introducing port 708 provided at a lower portion of the second container for constituting a gas path for maintaining the second container being depressurized, an O ring 707 for fixing the upper container 621 a and the lower container 621 b and a retaining piece 702. The first container 611 filled with the refined evaporation material is installed in the second container. Further, the second container may be formed by a material including stainless steel and the first container may be formed by a material including titanium.
  • At the material manufacturer, the refined evaporation material is filled in the first container 611. Further, the upper portion 621 a and the lower portion 621 b of the second container are matched via the O ring 707, the upper container 621 a and the lower container 621 b are fixed by the retaining piece 702, and the first container 611 is hermetically sealed at inside of the second container. Thereafter, inside of the second container is depressurized via the gas introducing port 708 and is replaced by a nitrogen atmosphere and the first container 611 is fixed by the fixing means 706 by adjusting the spring 705. A desiccant may be installed at inside of the second container. When inside of the second container is maintained in vacuum, in a low pressure or in nitrogen atmosphere in this way, even a small amount of oxygen or water can be prevented from adhering to the evaporation material.
  • The first container 611 is carried to the luminescent device manufacturer 619 under the state and is directly installed to the processing chamber 613. Thereafter, the evaporation material is sublimated by heating and the evaporation film 616 is formed.
  • Next, an explanation will be given of a mechanism of installing the first container 611 which is carried by being hermetically sealed in the second container to a film forming chamber 806 in reference to FIGS. 15A and 15B and FIGS. 16A and 16B. Further, FIGS. 15A and 15B and FIGS. 16A and 16B show the first container in the midst of transportation.
  • FIG. 15A illustrates to a top view of an installing chamber 805 including a base 804 for mounting the first container or the second container, an evaporation source holder 803, and carrying means 802 for carrying the base 804, the evaporation source holder 803 and the first container, and FIG. 15B illustrates a perspective view of the installing chamber. Further, the installing chamber 805 is arranged to be contiguous to the film forming chamber 806 and the atmosphere of the installing chamber can be controlled by means for controlling the atmosphere via a gas introducing port. Further, the carrying means of the invention is not limited to a constitution of pinching a side face of the first container to carry as illustrated in FIGS. 15A and 15B but may be constructed by a constitution of pinching (picking) the first container at upper part thereof to carry.
  • The second container is arranged to such an installing chamber 805 above the base 804 in a state of disengaging the retaining piece 702. Successively, inside of the installing chamber 805 is brought into a decompressed state by means for controlling the atmosphere. When pressure at inside of the installing chamber and pressure at inside of the second container become equal to each other, there is brought about a state of being capable of opening the second container easily. Further, the upper portion 621 a of the second container is removed and the first container 611 is installed in the evaporation source holder 803 by the carrying means 802. Further, although not illustrated, a portion for installing the removed upper portion 621 a is pertinently provided. Further, the evaporation source holder 803 is moved from the installing chamber 805 to the film forming chamber 806.
  • Thereafter, by heating means provided at the evaporation source holder 803, the evaporation material is sublimated and the film starts to be formed. In forming the film, when a shutter (not illustrated) provided at the evaporation source holder 803 is opened, the sublimated evaporation material is scattered to the direction of the substrate and the vapor-deposited onto the substrate to form the luminescent layer (including hole transporting layer, hole injecting layer, electron transporting layer and electron injecting layer).
  • Further, after finishing evaporation, the evaporation source holder 803 returns to the installing chamber 805 and the first container 611 installed at the evaporation source holder 803 by the carrying means 802 is transferred to the lower container (not illustrated) of the second container installed at the base 804 and is hermetically sealed by the upper container 621 a. At this occasion, it is preferable that the first container, the upper container 621 a and the lower container are hermetically sealed by a combination by which the containers have been carried. Under the state, the installing chamber 805 is brought under the atmospheric pressure and the second container is taken out from the installing chamber, fixed with the retaining piece 702 and is carried to the material manufacturer 618.
  • Next, an explanation will be given of a mechanism of installing a plurality of first containers carried by being hermetically sealed by the second containers to a plurality of the evaporation source holders, which is different from those of FIGS. 15A and 15B in reference to FIGS. 16A and 16B.
  • FIG. 16A illustrates a top view of an installing chamber 905 including a base 904 for mounting the first container or the second container, a plurality of evaporation source holders 903, a plurality of carrying means 902 for carrying the first containers and a rotating base 907 and FIG. 16B illustrates a perspective view of the installing chamber 905. Further, the installing chamber 905 is arranged to be contiguous to a film forming chamber 906 and the atmosphere of the installing chamber can be controlled by means for controlling the atmosphere via a gas introducing port.
  • By the rotating base 907 and the plurality of carrying means 902, operation of installing the plurality of first containers 611 to the plurality of evaporation source holders 903 and transferring the plurality of first containers 611 from the plurality of evaporation source holders finished with film formation to the base 904 can efficiently be carried out. At this occasion, it is preferable to install the first container 611 to the second container which has been carried.
  • Further, in order to carry the evaporation source holder for starting evaporation and the evaporation source holder finished with evaporation efficiently, the rotating base 907 may be provided with a rotating function. In addition, the structure of the rotating base 907 is not limited to the above one, as far as the rotating base 907 has a function of moving to the right and lift directions, when the rotating base approaches to the evaporation holders arranged in the film forming chamber 906, a plurality of the first containers may be provided at the evaporation holders by the carrying means 902
  • According to an evaporation film formed by the above-described evaporation system, an impurity can be reduced to an extreme and when a luminescent element is finished by using the evaporation film, high reliability and brightness can be realized. Further, by such a fabricating system, the container filled by the material manufacturer can be installed directly to the evaporation system and therefore, oxygen or water can be prevented from adhering to the evaporation material and further ultrahigh purity formation of the luminescent element in the future can be dealt with. Further, by refining the container having the remaining evaporation material again, waste of the material can be eliminated. Further, the first container and the second container can be reutilized and the low cost formation can be realized.
  • The present invention constituted by the above structure will be described in more detail with examples shown below.
  • EXAMPLES
  • Examples of the present invention are described thereinafter based on the drawings. In addition, in all drawings used for the description of the examples, same portions are given common symbols, and the repetitive descriptions thereof are omitted.
  • Example 1
  • In this example, an example of forming TFT on a substrate having an insulating surface and forming an EL element (light emitting element) is shown in FIG. 17. A cross-sectional view of one 1 that is connected to an EL element in a pixel portion is shown in this example.
  • A base insulating film 201 is formed by a lamination of insulating films such as a silicon oxide film, a silicon nitride film or a silicon oxynitride film on a substrate 200 having an insulating surface. Although the base insulating film 201 herein uses a two-layer structure, it may use a structure having a single layer or two layers or more of the insulating films. The first layer of the base insulating film is a silicon oxynitride film formed to have a thickness of 10 to 200 nm (preferably 50 to 100 nm) by plasma CVD using a reaction gas of SiH4, NH3 and N2O. Herein, a silicon oxynitride film is formed (composition ratio: Si=32%, O=27%, N=24% and H=17%) having a film thickness of 50 nm. The second layer of the base insulating film is a silicon oxynitride film formed to have a thickness 50 to 200 nm (preferably 100 to 150 nm) by plasma CVD using a reaction gas of SiH4 and N2O. Herein, a silicon oxynitride film is formed (composition ratio: Si=32%, O=59%, N=7% and H=2%) having a film thickness of 100 nm.
  • Subsequently, a semiconductor layer is formed on the base insulating film 201. The semiconductor layer is formed as follows: an amorphous semiconductor film is formed by known means (a sputtering, an LPCVD, a plasma CVD, or the like), then, the film is crystallized by a known crystallization method (a laser crystallization method, a thermal crystallization method or a thermal crystallization method using a catalyst such as nickel), and then, the crystalline semiconductor film is patterned into a desired form. This semiconductor layer is formed in a thickness of 25 to 80 nm (preferably 30 to 60 nm). The material of the crystalline semiconductor film, although not limited in material, is preferably formed of silicon or a silicon-germanium alloy.
  • In the case of forming a crystalline semiconductor film by a laser crystallizing process, it is possible to use an excimer laser of a pulse-oscillation or continuous-oscillation type, a YAG laser, or an YVO4 laser. In the case of using such laser, preferably used is a method that the laser light emitted from a laser oscillator is condensed by an optical system into a linear form to be irradiated onto the semiconductor film. The condition of crystallization is to be appropriately selected by those who implement the invention. In the case of using an excimer laser, pulse oscillation frequency is 30 Hz and laser energy density is 100 to 400 mJ/cm2 (typically 200 to 300 mJ/cm2). Meanwhile, in the case of using a YAG laser, preferably its second harmonic is used and pulse oscillation frequency is 1 to 10 kHz and laser energy density is 300 to 600 mJ/cm2 (typically 350 to 500 mJ/cm2). The laser light focused linear to a width of 100 to 1000 μm, e.g. 400 μm, is irradiated throughout the substrate entirety, whereupon the overlap ratio of linear laser beam may be taken 50 to 98%.
  • Then, the surface of the semiconductor layer is cleaned by an etchant containing a hydrogen fluoride, to form a gate insulating film 202 covering the semiconductor layer. The gate insulating film 202 is formed by an insulating film containing silicon having a thickness of 40 to 150 nm by the use of plasma CVD or sputtering. In this example, a silicon oxynitride film is formed (composition ratio: Si=32%, O=59%, N=7% and H=2%) to have a thickness of 115 nm by plasma CVD. Of course, the gate insulating film 202 is not limited to a silicon oxynitride film but may be made in a single layer or a lamination of layers of insulating films containing other form of silicon.
  • After cleaning the surface of the gate insulating film 202, a gate electrode 210 is formed.
  • Then, a p-type providing impurity element (such as B), herein, adequate amounts of boron is added to the semiconductor to form a source region 211 and a drain region 212. After the addition of the impurity element, heating process, intense light radiation or laser irradiation is made in order to activate the impurity element. Simultaneously with activation, restoration is possible from the plasma damage to the gate insulating film or from the plasma damage at the interface between the gate insulating film and the semiconductor layer. Particularly, it is extremely effective to irradiate the second harmonic of a YAG laser at a main or back surface thereby activating the impurity element in an atmosphere at room temperature to 300° C. YAG laser is preferable activating means since it requires a few maintenances.
  • In the subsequent process, after hydrogenation is carried out, an insulator 213 a made from an organic or inorganic material (for example, from a photosensitive organic resin) is formed, then, an aluminum nitride film, an aluminum oxynitride film shown as AlNxOy, or a first protection film 213 b made from a silicon nitride film are formed. The film shown as AlNxOy is formed by introducing oxygen, nitrogen, or rear gas from the gas inlet system by RF sputtering using a target made of AlN or Al. The content of nitrogen in the AlNxOy film may be in the range of at least several atom %, or 2.5 to 47.5 atom %, and the content of oxygen may be in the range of at most 47.5 atom %, preferably, less than 0.01 to 20 atom %. A contact hole is formed therein reaching the source region 211 or drain region 212. Next, a source electrode (wiring) 215 and a drain electrode 214 are formed to complete a TFT (p-channel TFT). This TFT will control the current that is supplied to OLED (Organic Light Emitting Device).
  • Also, the present invention is not limited to the TFT structure of this example, but, if required, may be in a lightly doped drain (LDD) structure having an LDD region between the channel region and the drain region (or source region). This structure is formed with a region an impurity element is added with light concentration between the channel formation region and the source or drain region formed by adding an impurity element with high concentration, which is called an LDD region. Furthermore, it may be in, what is called, a GOLD (Gate-drain Overlapped LDD) structure arranging an LDD region overlapped with a gate electrode through a gate insulating film. It is preferable that the gate electrode is formed in a lamination structure and etched to have a different taper angle of an upper gate electrode and a lower gate electrode to form an LDD region and a GOLD region in a self-aligning manner using the gate electrode as a mask.
  • Meanwhile, although explanation herein was by using the p-channel TFT, it is needless to say that an n-channel TFT can be formed by using an n-type impurity element (P, As, etc.) in place of the p-type impurity element.
  • Though a top gate TFT is described as an example in this example, the present invention can be applied irrespective of TFT's structure. For example, the present invention can be applied to a bottom gate (reverse stagger) TFT and a forward stagger TFT.
  • Subsequently, in the pixel portion, a first electrode 217 in contact with a connecting electrode in contact with the drain region is arranged in matrix shape. This first electrode 217 serves as an anode or a cathode of the light-emitting element. Then, an insulator (generally referred to as a bank, a partition, a barrier, a mound, or the like) 216 that covers the end portion of the first electrode 217 is formed. For the insulator 216, a photosensitive organic resin is used. In the case of using a negative type photosensitive acrylic resin is used as a material of the insulator 216, for example, the insulator 216 may be preferably prepared such that the upper end portion of the insulator 216 has a curved surface having a first curvature radius and the lower end portion of the insulator has a curved surface having a second curvature radius. Each of the first and second curvature radiuses may be preferably in the range of 0.2 μm to 3 μm. Furthermore, a layer 218 containing an organic compound is formed in the pixel portion, and a second electrode 219 is then formed thereon to complete an EL element. This second electrode 219 serves as a cathode or an anode of the EL element.
  • The insulator 216 that covers the end portion of the first electrode 217 may be covered with a second protective film formed of an aluminum nitride film, an aluminum nitride oxide film, or a silicon nitride film.
  • For instance, an example of using a positive type photosensitive acrylic resin as a material of the insulator 216 is shown in FIG. 17B. The insulator 316 a has a curved surface having a curvature radius only the upper end thereof. Furthermore, the insulator 316 a is covered with a second protective film 316 b formed of an aluminum nitride film, an aluminum nitride oxide film, or a silicon nitride film.
  • For instance, when the first electrode 217 is used as an anode, the material of the first electrode 217 may be a metal (i.e., Pt, Cr, W, Ni, Zn, Sn, or In) having a large work function. The end portion of such an electrode 217 is covered with the insulator (generally referred to as a bank, a partition, a barrier, a mound, or the like) 216 or 316, then, a vacuum-evaporation is carried out moving an evaporation source along with the insulator 216 or 316 by using the evaporation system shown in Embodiments 1 to 3. For example, a film forming chamber is vacuum-exhausted until the degree of vacuum reaches 5×10−3 Torr (0.665 Pa) or less, preferably 10−4 to 10−6 Pa, for vacuum-evaporation. Prior to vacuum-evaporation, the organic compound is vaporized by resistance heating. The vaporized organic compound is scattered on the substrate as the shutter is opened for vacuum-evaporation. The vaporized organic compound is scattered upward, then, deposited on the substrate through an opening formed in a metal mask. A light emitting layer (including a hole transporting layer, a hole injection layer, an electron transporting layer, and an electron injection layer) is formed.
  • In the case that a layer containing an organic compound is formed that emits white luminescence in its entirety by vacuum-evaporation, it can be formed by depositing each light emitting layer. For instance, an Alq3 film, an Alq3 film partially doped with Nile red which is a red light emitting pigment, a p-EtTAZ film, and a TPD (aromatic diamine) film are layered in this order to obtain white light.
  • In case of using vacuum-evaporation, as shown in Embodiment 3, a container (typically a melting pot) in which an EL material that a vacuum-evaporation material is stored in advance by a material manufacturer is set in a film forming chamber. Preferably, the melting pot is set in the film forming chamber while avoiding contact with the air. The melting pot shipped from a material manufacturer is preferably sealed in a second container during shipment and is introduced into a film forming chamber in that state. Desirably, a chamber having vacuum exhaust means is connected to the film forming chamber, the melting pot is taken out of the second container in vacuum or in an inert gas atmosphere in this chamber, and then the melting pot is set in the film forming chamber. In this way, the melting pot and the EL material stored in the melting pot are protected from contamination.
  • The second electrode 219 comprises a laminate structure of a metal (e.g., Li, Mg, or Cs) having a small work function; and a transparent conductive film (made of an indium tin oxide (ITO) alloy, an indium zinc oxide alloy (In2O3—ZnO), zinc oxide (ZnO), or the like) on the thin film. For attaining a low-resistance cathode, an auxiliary electrode may be provided on the insulator 216 or 316. The light-emitting element thus obtained emits white luminescence. Here, the example in which the layer 218 containing the organic compound is formed by vacuum-evaporation has been described. According to the present invention, however, it is not limited to a specific method and the layer 218 may be formed using a coating method (a spin coating method, an ink jet method).
  • In this example, an example of depositing layers made from low molecular material as an organic compound layer is described though, both high molecular materials and low molecular materials may also be deposited.
  • It can be thought that there are two types of structures of an active matrix light emitting device having TFT in terms of radiating direction of luminescence. One is a structure that luminescence generated in a light emitting element can be observed passing through the second electrode, and can be manufactured using the above-mentioned steps.
  • Another structure is that luminescence generated in the light emitting element is irradiated into the eyes of the observer after passing through the first electrode, it is preferable that the first electrode 217 may be prepared using a material having a translucency. For instance, when the first electrode 217 is provided as an anode, a transparent conductive film (made of an indium tin oxide (ITO) alloy, an indium zinc oxide alloy (In2O3—ZnO), zinc oxide (ZnO), or the like) is used for a material of the first electrode 217 and the end portion thereof is covered with the insulator (generally referred to as a bank, a partition, a barrier, a mound, or the like) 216, followed by forming the layer 218 containing an organic compound. On this layer, furthermore, a second electrode 219 formed of a metal film (i.e., an alloy of MgAg, MgIn, AlLi, CaF2, CaN, or the like, or a film formed by a co-vacuum-evaporation of an element of Group I and Group II in the periodic table and aluminum) is formed as a cathode. Here, a resistive heating method using vacuum-evaporation is used for the formation of a cathode, so that the cathode can be selectively formed using a vacuum-evaporation mask.
  • After forming the second electrode 219 by the steps described above, a seal substrate is laminated using a sealing material to encapsulate the light-emitting element formed on the substrate 200.
  • Here, an appearance view of an active matrix type light-emitting device is described with reference to FIGS. 18A and 18B. Further, FIG. 18A is a top view showing the light emitting apparatus and FIG. 18B is a sectional view constituted by cutting FIG. 18A by a line A-A′. A source signal side driving circuit 1101, a pixel portion 1102, and a gate signal line driving circuit 1103 are formed on a substrate 1110. An inner side surrounded by a seal substrate 1104, the sealing material 1105, and the substrate 1110 constitutes a space 1107.
  • Further, a wiring 1108 for transmitting signals inputted to the source signal side driving circuit 1101 and the gate signal side driving circuit 1103 receives a video signal or a clock signal from FPC (flexible printed circuit) 1109 for constituting an external input terminal. Although only FPC is illustrated here, the FPC may be attached with a printed wiring substrate (PWB). The light emitting apparatus in the specification includes not only a main body of the light emitting apparatus but also a state in which FPC or PWB is attached thereto.
  • Next, a sectional structure will be explained in reference to FIG. 18B. Driver circuits and the pixel portion are formed over a substrate 1110 and here, the source signal line driving circuit 1101 as the driver circuit and the pixel portion 1102 are shown.
  • Further, the source signal line driving circuit 1101 is formed with a CMOS circuit combined with an n-channel type TFT 1123 and a p-channel type TFT 1124. Further, TFT for forming the driver circuit may be formed by a publicly-known CMOS circuit, PMOS circuit or NMOS circuit. Further, although according to this example, a driver integrated type formed with the driver circuits over the substrate is shown, the driver integrated type is not necessarily be needed and the driver circuits can be formed not over the substrate but at outside thereof.
  • Further, the pixel portion 1102 is formed of a plurality of pixels each including a switching TFT 1111, a current controlling TFT 1112, and a first electrode (anode) 1113 electrically connected to a drain of the current controlling TFT 1112.
  • Further, an insulating layer 1114 is formed at both ends of the first electrode (anode) 1113 and an organic compound layer 1115 is formed on the first electrode (anode) 1113. The organic compound layer 1115 is formed by moving an evaporation source along with the insulating film 1114 by using the device shown in Embodiments 1 and 2. Further, a second electrode (cathode) 1116 is formed over the organic compound layer 1115. As a result, a light-emitting element 1118 comprising the first electrode (anode) 1112, the organic compound layer 1115 and the second electrode (cathode) 1116 is formed. Here, the light-emitting element 1118 shows an example of white color luminescence and therefore, provided with the color filter comprising a coloring layer 1131 and a light-shielding layer 1132 (for simplification, overcoat layer is not illustrated here).
  • In FIGS. 19A to 19C, a color filter is formed at the side of a seal substrate 1104 since it is the structure that light emitted from a light emitting element is observed through the second electrode, however, in case of the structure that light emitted from a light emitting element is observed through the first electrode, a color filter is formed at the side of the substrate 1110.
  • The second electrode (cathode) 1116 functions also as a wiring common to all the pixels and electrically connected to FPC 1109 via the connection wiring 1108. The third electrode (auxiliary electrode) 1117 is formed on the insulating layer 1114 to realize to make the second electrode have a low resistance.
  • Further, in order to encapsulate the light-emitting element 1118 formed over the substrate 1110, the seal substrate 1104 is pasted by the sealing material 1105. Further, a spacer comprising a resin film may be provided for ensuring an interval between the seal substrate 1104 and the light-emitting element 1118. Further, the space 1107 on the inner side of the sealing material 1105 is filled with an inert gas of nitrogen or the like. Further, it is preferable to use epoxy species resin for the sealing material 1105. Further, it is preferable that the sealing material 1105 is a material for permeating moisture or oxygen as less as possible. Further, the inner portion of the space 1107 may be included with the substance having an effect of absorbing oxygen or moisture.
  • Further, according to the example, as a material for constituting the seal substrate 1104, other than glass substrate or quartz substrate, a plastic substrate comprising FRP (Fiberglass-Reinforced Plastics), PVF (polyvinyl fluoride), Mylar, polyester or acrylic resin can be used. Further, it is possible to adhere the seal substrate 1104 by using the sealing material 1105 and thereafter seal to cover a side face (exposed face) by a sealing material.
  • By encapsulating the light-emitting element as described above, the light-emitting element can completely be blocked from outside and a substance for expediting to deteriorate the organic compound layer such as moisture or oxygen can be prevented from invading from outside. Therefore, the highly reliable light-emitting device can be provided.
  • Further, this example can be freely combined with Embodiments 1 to 4.
  • Example 2
  • Given as examples of electronic apparatuses that employ the light emitting device manufactured in accordance with the present invention are video cameras, digital cameras, goggle type displays (head mounted displays), navigation systems, audio reproducing devices (such as car audio and audio components), laptop computers, game machines, portable information terminals (such as mobile computers, cellular phones, portable game machines, and electronic books), and image reproducing devices equipped with recording media (specifically, devices with a display device that can reproduce data in a recording medium such as a digital versatile disk (DVD) to display an image of the data). A wide viewing angle is important particularly for portable information terminals because their screens are often slanted when they are looked at. Therefore it is preferable for portable information terminals to employ the light emitting device using the light emitting element. Specific examples of these electronic apparatuses are shown in FIGS. 20A to 20H.
  • FIG. 20A shows a light emitting device including a case 2001, a support base 2002, a display unit 2003, speaker units 2004, a video input terminal 2005, etc. The light emitting device manufactured in accordance with the present invention can be applied to the display unit 2003. In addition, the light emitting device shown in FIG. 20A can be completed by the present invention. Since the light emitting device having the light emitting element is of self-luminous type, the device does not need a backlight and can make a thinner display unit than that of a liquid crystal display device. The light emitting device refers to all light emitting devices for displaying information, including ones for personal computers, for TV broadcasting reception, and for advertisement.
  • FIG. 20B shows a digital still camera including a main body 2101, a display unit 2102, an image receiving unit 2103, operation keys 2104, an external connection port 2105, a shutter 2106, etc. The light emitting device manufactured in accordance with the present invention can be applied to the display unit 2102. The digital camera shown in FIG. 16B can be completed by the present invention.
  • FIG. 20C shows a laptop computer including a main body 2201, a case 2202, a display unit 2203, a keyboard 2204, an external connection port 2205, a pointing mouse 2206, etc. The light emitting device manufactured in accordance with the present invention can be applied to the display unit 2203. The laptop computer shown in FIG. 20C can be completed by the present invention.
  • FIG. 20D shows a mobile computer including a main body 2301, a display unit 2302, a switch 2303, operation keys 2304, an infrared port 2305, etc. The light emitting device manufactured in accordance with the present invention can be applied to the display unit 2302. The mobile computer shown in FIG. 20D can be completed by the present invention.
  • FIG. 20E shows a portable image reproducing device equipped with a recording medium (a DVD player, to be specific). The device includes a main body 2401, a case 2402, a display unit A 2403, a display unit B 2404, a recording medium (DVD or the like) reading unit 2405, operation keys 2406, speaker units 2407, etc. The display unit A 2403 mainly displays image information whereas the display unit B 2404 mainly displays text information. The light emitting device manufactured in accordance with the present invention can be applied to the display units A 2403 and B 2404. The image reproducing device equipped with a recording medium also includes home-video game machines. The DVD player shown in FIG. 20E can be completed by the present invention.
  • FIG. 20F shows a goggle type display (head mounted display) including a main body 2501, display units 2502, and arm units 2503. The light emitting device manufactured in accordance with the present invention can be applied to the display units 2502. The goggle type display shown in FIG. 20F can be completed by the present invention.
  • FIG. 20G shows a video camera including a main body 2601, a display unit 2602, a case 2603, an external connection port 2604, a remote control receiving unit 2605, an image receiving unit 2606, a battery 2607, an audio input unit 2608, operation keys 2609 etc. The light emitting device manufactured in accordance with the present invention can be applied to the display unit 2602. The video camera shown in FIG. 200 can be completed by the present invention.
  • FIG. 20H shows a cellular phone including a main body 2701, a case 2702, a display unit 2703, an audio input unit 2704, an audio output unit 2705, operation keys 2706, an external connection port 2707, an antenna 2708, etc. The light emitting device manufactured in accordance with the present invention can be applied to the display unit 2703. If the display unit 2703 displays white letters on a black background, the cellular phone consumes less power. The cellular phone shown in FIG. 20H can be completed by the present invention.
  • If a brighter luminance of luminescence materials becomes valuable in the future, the light emitting device can be used in front or rear projectors by enlarging outputted light that contains image information through a lens or the like and projecting the light.
  • These electronic apparatuses now display with increasing frequency information sent through electronic communication lines such as the Internet and CATV (cable television), especially, animation information. Since the luminescence materials have very fast response speed, the light emitting device is suitable for moving images.
  • According to the present invention, there can be provided a manufacturing apparatus including the plural film forming chambers for performing the evaporation process, which are arranged in a row. Accordingly, the film forming processes are performed in the plural film forming chambers approximately in parallel, thereby improving the throughput of the light emitting device and allowing the reduction of a processing time per substrate.
  • Further, according to the present invention, even though the processing number of substrates is slightly reduced, the maintenance of one or plural film forming chambers is possible without temporarily stopping the production line.

Claims (15)

1. An evaporation apparatus comprising:
a first film forming chamber comprising:
an evaporation source holder which is capable of holding a first container;
a shutter attached to the evaporation source holder;
a first setting chamber connected to the first film forming chamber, the first setting chamber comprising:
a base which is capable of containing the first container;
a transfer means for transferring the first container between the base and the evaporation source holder,
wherein the evaporation source holder is movable in the first film forming chamber.
2. The evaporation apparatus according to claim 1, wherein the base is capable of holding a second container which is capable of containing the first container.
3. The evaporation apparatus according to claim 1, further comprising means for heating the first container provided in the evaporation source holder.
4. The means for transferring evaporation apparatus according to claim 1, further comprising a transfer chamber connected to the first film forming chamber, the transfer chamber comprising a substrate.
5. The evaporation apparatus according to claim 4, further comprising:
a second film forming chamber connected to the transfer chamber, the second film forming chamber comprising the same components as the first film forming chamber; and
a second setting chamber connected to the second film forming chamber, the second setting chamber comprising the same components as the first film forming chamber.
6. An evaporation apparatus comprising:
a first film forming chamber comprising:
an evaporation source holder which is capable of holding a plurality of first containers and arranged in a shape of lattice;
a plurality of shutters attached to the evaporation source holder and arranged in the shape of lattice;
a first setting chamber connected to the first film forming chamber, the first setting chamber comprising:
a base which is capable of containing the plurality of first containers;
a transfer means for transferring the plurality of first containers between the base and the evaporation source holder,
wherein the evaporation source holder is movable in the first film forming chamber.
7. The evaporation apparatus according to claim 6, wherein the base is capable of holding a second container which is capable of containing one of the plurality of first containers.
8. The evaporation apparatus according to claim 6, further comprising means for heating the plurality of first containers provided in the evaporation source holder.
9. The evaporation apparatus according to claim 6, further comprising a transfer chamber connected to the first film forming chamber, the transfer chamber comprising means for transferring a substrate.
10. The evaporation apparatus according to claim 9, further comprising:
a second film forming chamber connected to the transfer chamber, the second film forming chamber comprising the same components as the first film forming chamber; and
a second setting chamber connected to the second film forming chamber, the second setting chamber comprising the same components as the first film forming chamber.
11. An evaporation apparatus comprising:
a first film forming chamber comprising:
an evaporation source holder which is capable of holding a plurality of first containers and arranged in a linear shape;
a plurality of shutters attached to the evaporation source holder and arranged in the linear shape;
a first setting chamber connected to the first film forming chamber, the first setting chamber comprising:
a base which is capable of containing the plurality of first containers;
a transfer means for transferring the plurality of first containers between the base and the evaporation source holder,
wherein the evaporation source holder is movable in the first film forming chamber.
12. The evaporation apparatus according to claim 11, wherein the base is capable of holding a second container which is capable of containing one of the plurality of first containers.
13. The evaporation apparatus according to claim 11, further comprising means for heating the plurality of first containers provided in the evaporation source holder.
14. The evaporation apparatus according to claim 11, further comprising a transfer chamber connected to the first film forming chamber, the transfer chamber comprising means for transferring a substrate.
15. The evaporation apparatus according to claim 14, further comprising:
a second film forming chamber connected to the transfer chamber, the second film forming chamber comprising the same components as the first film forming chamber; and
a second setting chamber connected to the second film forming chamber, the second setting chamber comprising the same components as the first film forming chamber.
US13/024,984 2002-05-17 2011-02-10 Manufacturing apparatus Abandoned US20110132260A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/024,984 US20110132260A1 (en) 2002-05-17 2011-02-10 Manufacturing apparatus

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP2002143803 2002-05-17
JP2002-143803 2002-05-17
US10/438,194 US20040035360A1 (en) 2002-05-17 2003-05-15 Manufacturing apparatus
US12/203,802 US8110509B2 (en) 2002-05-17 2008-09-03 Method of fabricating light emitting devices
US13/024,984 US20110132260A1 (en) 2002-05-17 2011-02-10 Manufacturing apparatus

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US12/203,802 Continuation US8110509B2 (en) 2002-05-17 2008-09-03 Method of fabricating light emitting devices

Publications (1)

Publication Number Publication Date
US20110132260A1 true US20110132260A1 (en) 2011-06-09

Family

ID=29545038

Family Applications (3)

Application Number Title Priority Date Filing Date
US10/438,194 Abandoned US20040035360A1 (en) 2002-05-17 2003-05-15 Manufacturing apparatus
US12/203,802 Expired - Fee Related US8110509B2 (en) 2002-05-17 2008-09-03 Method of fabricating light emitting devices
US13/024,984 Abandoned US20110132260A1 (en) 2002-05-17 2011-02-10 Manufacturing apparatus

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US10/438,194 Abandoned US20040035360A1 (en) 2002-05-17 2003-05-15 Manufacturing apparatus
US12/203,802 Expired - Fee Related US8110509B2 (en) 2002-05-17 2008-09-03 Method of fabricating light emitting devices

Country Status (4)

Country Link
US (3) US20040035360A1 (en)
KR (1) KR101055688B1 (en)
CN (1) CN100380575C (en)
TW (1) TWI289871B (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110207244A1 (en) * 2010-02-22 2011-08-25 Un-Cheol Sung Apparatus for depositing and inspecting an organic light emitting display panel and method of depositing and inspecting an organic light emitting display panel using the apparatus
WO2012174550A2 (en) * 2011-06-17 2012-12-20 Applied Materials, Inc. Mask management system and method for oled encapsulation
US20140060735A1 (en) * 2012-08-31 2014-03-06 Samsung Display Co., Ltd. Substrate processing apparatus
US8786178B2 (en) 2004-09-29 2014-07-22 Semiconductor Energy Laboratory Co., Ltd. Display device, electronic apparatus, and method of fabricating the display device
US9263705B2 (en) 2011-03-14 2016-02-16 Semiconductor Energy Laboratory Co., Ltd. Successive deposition apparatus and successive deposition method
US11049749B2 (en) * 2018-09-21 2021-06-29 SCREEN Holdings Co., Ltd. Substrate treating apparatus
US11842889B2 (en) 2016-12-14 2023-12-12 Schneider Gmbh & Co. Kg Device, method and use for the coating of lenses

Families Citing this family (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG113448A1 (en) 2002-02-25 2005-08-29 Semiconductor Energy Lab Fabrication system and a fabrication method of a light emitting device
TWI336905B (en) * 2002-05-17 2011-02-01 Semiconductor Energy Lab Evaporation method, evaporation device and method of fabricating light emitting device
US20030221620A1 (en) * 2002-06-03 2003-12-04 Semiconductor Energy Laboratory Co., Ltd. Vapor deposition device
US20040040504A1 (en) * 2002-08-01 2004-03-04 Semiconductor Energy Laboratory Co., Ltd. Manufacturing apparatus
TWI277363B (en) * 2002-08-30 2007-03-21 Semiconductor Energy Lab Fabrication system, light-emitting device and fabricating method of organic compound-containing layer
CN100459220C (en) * 2002-09-20 2009-02-04 株式会社半导体能源研究所 Fabrication system and manufacturing method of light emitting device
US7211461B2 (en) * 2003-02-14 2007-05-01 Semiconductor Energy Laboratory Co., Ltd. Manufacturing apparatus
JP4493926B2 (en) 2003-04-25 2010-06-30 株式会社半導体エネルギー研究所 Manufacturing equipment
JP2005011794A (en) * 2003-05-22 2005-01-13 Tohoku Pioneer Corp Organic el panel and its manufacturing method
US7211454B2 (en) * 2003-07-25 2007-05-01 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of a light emitting device including moving the source of the vapor deposition parallel to the substrate
US8123862B2 (en) * 2003-08-15 2012-02-28 Semiconductor Energy Laboratory Co., Ltd. Deposition apparatus and manufacturing apparatus
JP2005158392A (en) * 2003-11-25 2005-06-16 Pioneer Electronic Corp Manufacturing method of organic electroluminescent element and manufacturing device using the same
US20050183665A1 (en) * 2004-02-24 2005-08-25 Advanced Display Process Engineering Co., Ltd. Apparatus for manufacturing flat-panel display
KR100600879B1 (en) * 2004-09-21 2006-07-19 삼성에스디아이 주식회사 Multi Chamber Thermal Evaporation Apparatus
KR100718555B1 (en) * 2004-10-11 2007-05-15 두산디앤디 주식회사 Large-size oled manufacturing apparatus using ink-jet printing techniques and low molecule thermal deposition techniques
US7948171B2 (en) * 2005-02-18 2011-05-24 Semiconductor Energy Laboratory Co., Ltd. Light emitting device
JP4789551B2 (en) * 2005-09-06 2011-10-12 株式会社半導体エネルギー研究所 Organic EL film forming equipment
JP4974504B2 (en) * 2005-10-13 2012-07-11 株式会社半導体エネルギー研究所 Film forming apparatus and light emitting apparatus manufacturing method
KR101353567B1 (en) 2006-04-28 2014-01-22 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Electrode cover and evaporation device
KR100784953B1 (en) * 2006-05-23 2007-12-11 세메스 주식회사 Linear type evaporator for manufacturing elements of organic semiconductor device using numerous crucible
KR101149408B1 (en) * 2006-11-15 2012-06-01 삼성전자주식회사 Method and apparatus for manufacturing electrode of fuel cell
US7866224B2 (en) * 2006-11-30 2011-01-11 Chartered Semiconductor Manufacturing Ltd. Monitoring structure
US20080202410A1 (en) * 2007-02-27 2008-08-28 Smith John M Multi-substrate size vacuum processing tool
EP1983072A1 (en) 2007-04-20 2008-10-22 Applied Materials, Inc. Processing device and method for processing a subtrate
US20090022572A1 (en) * 2007-07-19 2009-01-22 Thomas Pass Cluster tool with a linear source
US20090110807A1 (en) * 2007-10-25 2009-04-30 Applied Materials, Inc. Method for coating and apparatus
US20090218219A1 (en) * 2008-02-29 2009-09-03 Semiconductor Energy Laboratory Co., Ltd. Manufacturing Apparatus
US10434804B2 (en) 2008-06-13 2019-10-08 Kateeva, Inc. Low particle gas enclosure systems and methods
US8557045B2 (en) * 2008-08-26 2013-10-15 Colorado State University Research Foundation Apparatus and method for fabricating photovoltaic modules using heated pocket deposition in a vacuum
DE102009046751A1 (en) * 2008-12-31 2010-09-09 Advanced Micro Devices, Inc., Sunnyvale Method and system for synchronizing the process chamber shutdown times by controlling the transport order in a process plant
KR100994118B1 (en) 2009-01-13 2010-11-15 삼성모바일디스플레이주식회사 Organic light emitting diode and manufacturing method thereof
JP2012519941A (en) * 2009-03-06 2012-08-30 イー・アイ・デュポン・ドウ・ヌムール・アンド・カンパニー Method for forming electroactive layer
JP5566669B2 (en) * 2009-11-19 2014-08-06 昭和電工株式会社 In-line film forming apparatus and method for manufacturing magnetic recording medium
WO2011074756A1 (en) * 2009-12-14 2011-06-23 엘아이지에이디피 주식회사 Substrate processing method
KR101084232B1 (en) * 2009-12-15 2011-11-16 삼성모바일디스플레이주식회사 Fabrication Apparatus for thin film transistor
US8480805B2 (en) * 2010-04-16 2013-07-09 Colorado State University Research Foundation System and method for sealing a vapor deposition source
US20120043198A1 (en) * 2010-08-18 2012-02-23 Semiconductor Energy Laboratory Co., Ltd. Film formation apparatus and film formation method
KR20120081932A (en) * 2011-01-12 2012-07-20 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Film formation apparatus and manufacturing apparatus
US9722212B2 (en) * 2011-02-14 2017-08-01 Semiconductor Energy Laboratory Co., Ltd. Lighting device, light-emitting device, and manufacturing method and manufacturing apparatus thereof
US8829556B2 (en) 2011-09-01 2014-09-09 General Electric Company Thermal management in large area flexible OLED assembly
KR101861823B1 (en) * 2011-09-16 2018-05-29 삼성디스플레이 주식회사 Testing apparatus for organic light emitting display apparatus, and manufacturing system for organic light emitting display apparatus
WO2013154536A1 (en) * 2012-04-10 2013-10-17 Applied Materials, Inc. Interchamber adapter for cluster tool
KR101990555B1 (en) * 2012-12-24 2019-06-19 삼성디스플레이 주식회사 Thin film encapsulation manufacturing device and manufacturing method of thin film encapsulation
CN205159286U (en) * 2012-12-31 2016-04-13 菲力尔系统公司 A device for declining wafer scale of bolometer vacuum packaging subassembly encapsulates
KR102114313B1 (en) 2013-08-06 2020-05-25 삼성디스플레이 주식회사 Deposition apparatus and deposition method using the same
KR101673016B1 (en) * 2013-08-27 2016-11-07 삼성디스플레이 주식회사 Thin film encapsulation manufacturing device and manufacturing method of display apparatus using the same
CN104630718A (en) * 2013-11-13 2015-05-20 中国科学院沈阳科学仪器股份有限公司 Five-cavity full-automatic electron beam deposition system
KR101920333B1 (en) * 2013-12-10 2018-11-20 어플라이드 머티어리얼스, 인코포레이티드 Evaporation source for organic material, deposition apparatus for depositing organic material in a vacuum chamber, and method for evaporating an organic material
EP3087623B1 (en) 2013-12-26 2021-09-22 Kateeva, Inc. Thermal treatment of electronic devices
WO2015112454A1 (en) 2014-01-21 2015-07-30 Kateeva, Inc. Apparatus and techniques for electronic device encapsulation
US9343678B2 (en) 2014-01-21 2016-05-17 Kateeva, Inc. Apparatus and techniques for electronic device encapsulation
KR101963489B1 (en) 2014-04-30 2019-07-31 카티바, 인크. Gas cushion apparatus and techniques for substrate coating
KR102162798B1 (en) * 2014-08-12 2020-10-08 삼성디스플레이 주식회사 Deposition apparatus and method for manufacturing organic light-emitting display apparatus
KR101990619B1 (en) * 2014-11-07 2019-06-18 어플라이드 머티어리얼스, 인코포레이티드 Apparatus for depositing evaporated material, distribution pipe, vacuum deposition chamber, and method for depositing an evaporated material
KR102426712B1 (en) * 2015-02-16 2022-07-29 삼성디스플레이 주식회사 Apparatus and method of manufacturing display apparatus
TW201740466A (en) * 2016-05-03 2017-11-16 系統科技公司 Substrate processing apparatus and substrate processing method processing two substrates at the same time by the same process, so as to simplify the heat treatment step and improve the productivity
JP6937549B2 (en) * 2016-06-10 2021-09-22 株式会社ジャパンディスプレイ Light emitting element manufacturing equipment
JP6785171B2 (en) * 2017-03-08 2020-11-18 株式会社日本製鋼所 Film formation method, electronic device manufacturing method, and plasma atomic layer growth device
CN110048026B (en) * 2018-01-17 2022-09-30 视涯科技股份有限公司 OLED panel manufacturing system and device for forming temporary matching unit
CN108396294B (en) * 2018-01-26 2021-12-10 中国科学院物理研究所 Film deposition system and control method
EP3540090A1 (en) * 2018-03-12 2019-09-18 Solmates B.V. Method for pulsed laser deposition
JP6605657B1 (en) * 2018-05-24 2019-11-13 キヤノントッキ株式会社 Film forming apparatus, film forming method, and electronic device manufacturing method
CN110544660B (en) * 2018-08-02 2022-08-16 北京北方华创微电子装备有限公司 Modular wafer transfer system and semiconductor device
US11538706B2 (en) 2019-05-24 2022-12-27 Applied Materials, Inc. System and method for aligning a mask with a substrate
US11189516B2 (en) * 2019-05-24 2021-11-30 Applied Materials, Inc. Method for mask and substrate alignment
WO2020242610A1 (en) * 2019-05-24 2020-12-03 Applied Materials, Inc. Alignment module with a cleaning chamber
CN112501574B (en) * 2020-10-27 2022-10-25 东兴华鸿光学科技有限公司 Coating equipment for sunglass lens

Citations (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2435997A (en) * 1943-11-06 1948-02-17 American Optical Corp Apparatus for vapor coating of large surfaces
US3312190A (en) * 1964-02-25 1967-04-04 Burroughs Corp Mask and substrate alignment apparatus
US3931490A (en) * 1973-09-17 1976-01-06 Robert Bosch G.M.B.H. Electron beam vaporization apparatus
US3971334A (en) * 1975-03-04 1976-07-27 Xerox Corporation Coating device
US4023523A (en) * 1975-04-23 1977-05-17 Xerox Corporation Coater hardware and method for obtaining uniform photoconductive layers on a xerographic photoreceptor
US4061800A (en) * 1975-02-06 1977-12-06 Applied Materials, Inc. Vapor desposition method
US4187801A (en) * 1977-12-12 1980-02-12 Commonwealth Scientific Corporation Method and apparatus for transporting workpieces
US4451499A (en) * 1979-07-24 1984-05-29 Futaba Denshi Kogyo Kabushiki Kaisha Method for producing a beryllium oxide film
US4543467A (en) * 1982-10-26 1985-09-24 Balzers Aktiengesellschaft Effusion type evaporator cell for vacuum evaporators
US4627989A (en) * 1983-08-20 1986-12-09 Leybold Heraeus Gmbh Method and system for a vacuum evaporative deposition process
US4854264A (en) * 1986-12-10 1989-08-08 Fuji Seiki Inc. Vacuum evaporating apparatus
US4885211A (en) * 1987-02-11 1989-12-05 Eastman Kodak Company Electroluminescent device with improved cathode
US4897290A (en) * 1986-09-26 1990-01-30 Konishiroku Photo Industry Co., Ltd. Method for manufacturing the substrate for liquid crystal display
US5186975A (en) * 1987-10-14 1993-02-16 Enichem S.P.A. Process and machinery for step-and-repeat vacuum-deposition of large-area thin-film-electronics matrix-circuits on monolithic glass panes through small perforated metal masks
US5188501A (en) * 1990-04-27 1993-02-23 Shin-Etsu Handotai Co., Ltd. Wafer transfer system
US5258325A (en) * 1990-12-31 1993-11-02 Kopin Corporation Method for manufacturing a semiconductor device using a circuit transfer film
US5429884A (en) * 1992-01-17 1995-07-04 Pioneer Electronic Corporation Organic electroluminescent element
US5695564A (en) * 1994-08-19 1997-12-09 Tokyo Electron Limited Semiconductor processing system
US5817366A (en) * 1996-07-29 1998-10-06 Tdk Corporation Method for manufacturing organic electroluminescent element and apparatus therefor
US5893962A (en) * 1995-09-19 1999-04-13 Anelva Corporation Electrode unit for in-situ cleaning in thermal CVD apparatus
US6001413A (en) * 1997-03-10 1999-12-14 Idemitsu Kosan Co., Ltd. Method for producing organic electroluminescent device
US6011904A (en) * 1997-06-10 2000-01-04 Board Of Regents, University Of Texas Molecular beam epitaxy effusion cell
JP2000026969A (en) * 1998-07-10 2000-01-25 Anelva Corp Continuous feeding method of vaporizing source into vapor depositing chamber in inline type vapor depositing device
US6049167A (en) * 1997-02-17 2000-04-11 Tdk Corporation Organic electroluminescent display device, and method and system for making the same
JP2000223269A (en) * 1999-01-28 2000-08-11 Anelva Corp Organic thin film forming device
US6132280A (en) * 1998-10-28 2000-10-17 Tdk Corporation System and process for fabricating an organic electroluminescent display device
US6179923B1 (en) * 1997-08-22 2001-01-30 Fuji Electric Co., Ltd. Deposition apparatus for an organic thin-film light-emitting element
US6202591B1 (en) * 1998-11-12 2001-03-20 Flex Products, Inc. Linear aperture deposition apparatus and coating process
US6237529B1 (en) * 2000-03-03 2001-05-29 Eastman Kodak Company Source for thermal physical vapor deposition of organic electroluminescent layers
US6244212B1 (en) * 1999-12-30 2001-06-12 Genvac Aerospace Corporation Electron beam evaporation assembly for high uniform thin film
US20010005553A1 (en) * 1999-11-10 2001-06-28 Witzman Matthew R. Linear aperture deposition apparatus and coating process
US20010006827A1 (en) * 1999-12-27 2001-07-05 Semiconductor Energy Laboratory Co., Ltd. Film formation apparatus and method for forming a film
US20010022272A1 (en) * 1998-08-03 2001-09-20 George Plester Methods for measuring the degree of ionization and the rate of evaporation in a vapor deposition coating system
US6326726B1 (en) * 1997-02-21 2001-12-04 Nec Corporation Organic electroluminescent display device having insulative shielding walls
US6329229B1 (en) * 1993-11-05 2001-12-11 Semiconductor Energy Laboratory Co., Ltd. Method for processing semiconductor device, apparatus for processing a semiconductor and apparatus for processing semiconductor device
US6331212B1 (en) * 2000-04-17 2001-12-18 Avansys, Llc Methods and apparatus for thermally processing wafers
US6340501B1 (en) * 1997-05-08 2002-01-22 Matsushita Electric Industrial Co., Ltd. Device and method for manufacturing an optical recording medium
US20020009538A1 (en) * 2000-05-12 2002-01-24 Yasuyuki Arai Method of manufacturing a light-emitting device
US20020011205A1 (en) * 2000-05-02 2002-01-31 Shunpei Yamazaki Film-forming apparatus, method of cleaning the same, and method of manufacturing a light-emitting device
US20020017245A1 (en) * 2000-06-22 2002-02-14 Matsushita Electric Works, Ltd. Apparatus for and method of vacuum vapor deposition and organic electroluminescent device
US6356032B1 (en) * 1999-09-08 2002-03-12 Denso Corporation Organic element with metallic cathode
US6364956B1 (en) * 1999-01-26 2002-04-02 Symyx Technologies, Inc. Programmable flux gradient apparatus for co-deposition of materials onto a substrate
US6382895B1 (en) * 1998-12-28 2002-05-07 Anelva Corporation Substrate processing apparatus
US6383402B1 (en) * 1998-04-23 2002-05-07 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US6391114B1 (en) * 1998-09-21 2002-05-21 Nissin Electric Co., Ltd. Vacuum processing apparatus
US6403392B1 (en) * 1998-10-30 2002-06-11 The Trustees Of Princeton University Method for patterning devices
US20020081372A1 (en) * 2000-11-07 2002-06-27 Kuang-Chung Peng Method for fabricating an organic light emitting diode
US6482752B1 (en) * 1993-10-26 2002-11-19 Semiconductor Energy Laboratory Co., Ltd. Substrate processing apparatus and method and a manufacturing method of a thin film semiconductor device
US20030000645A1 (en) * 2001-06-27 2003-01-02 Dornfest Charles N. Apparatus and method for reducing leakage in a capacitor stack
US6513451B2 (en) * 2001-04-20 2003-02-04 Eastman Kodak Company Controlling the thickness of an organic layer in an organic light-emiting device
US20030162314A1 (en) * 2002-02-25 2003-08-28 Shunpei Yamazaki Fabrication system and a fabrication method of light emitting device
US20030194484A1 (en) * 2002-04-15 2003-10-16 Semiconductor Engergy Laboratory Co., Ltd. Method of fabricating light-emitting device and apparatus for manufacturing light-emitting device
US6641674B2 (en) * 2000-11-10 2003-11-04 Helix Technology Inc. Movable evaporation device
US20040168634A1 (en) * 2001-06-12 2004-09-02 Takao Mori Apparatus and method for manufacturing an organic electroluminescence display
US20040216672A1 (en) * 2001-07-25 2004-11-04 Katsumi Ishii Processing apparatus and processing method
US20060073276A1 (en) * 2004-10-04 2006-04-06 Eric Antonissen Multi-zone atomic layer deposition apparatus and method
US20060177579A1 (en) * 2002-09-17 2006-08-10 Shin Cheol H Method for manufacturing semiconductor device
US7342355B2 (en) * 2000-12-28 2008-03-11 Semiconductor Energy Laboratory Co., Ltd. Light emitting device having organic light emitting material with mixed layer

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS54127877A (en) 1978-03-28 1979-10-04 Ricoh Co Ltd Preparation of thin film
JPS5536924A (en) 1978-09-04 1980-03-14 Toko Inc Manufacturing of mn-bi thin film
JPS63149371A (en) 1986-12-10 1988-06-22 Fuji Seiki Kk Vapor deposition source holder having plural vapor deposition sources
JPS6460546A (en) 1987-08-31 1989-03-07 Toyoda Spinning & Weaving Twist remover device for belt-shaped object
JPH03197668A (en) 1989-12-25 1991-08-29 Shinku Kikai Kogyo Kk Evaporating source and evaporating device formed by using this source
TW237562B (en) * 1990-11-09 1995-01-01 Semiconductor Energy Res Co Ltd
JPH05230627A (en) 1992-02-21 1993-09-07 Yamaha Corp Vacuum deposition device
JPH07126838A (en) 1993-10-28 1995-05-16 Matsushita Electric Ind Co Ltd Vapor-deposition boat
JP3371177B2 (en) 1995-02-13 2003-01-27 ソニー株式会社 Vapor deposition apparatus and flip chip IC manufacturing method
JP3539125B2 (en) 1996-04-18 2004-07-07 東レ株式会社 Manufacturing method of organic electroluminescent device
JPH1025563A (en) 1996-07-08 1998-01-27 Shinko Seiki Co Ltd Vacuum depositing device and vacuum depositing method
JP3499709B2 (en) * 1997-05-15 2004-02-23 触媒化成工業株式会社 Thin film forming method and thin film forming apparatus therefor
JPH10324966A (en) 1997-05-27 1998-12-08 Sony Corp Vacuum vapor deposition apparatus and vacuum vapor deposition method
AUPO712097A0 (en) 1997-05-30 1997-06-26 Lintek Pty Ltd Vacuum deposition system
JPH11126686A (en) 1997-10-23 1999-05-11 Matsushita Electric Ind Co Ltd Production equipment of organic electroluminescent element
US6284052B2 (en) 1998-08-19 2001-09-04 Sharp Laboratories Of America, Inc. In-situ method of cleaning a metal-organic chemical vapor deposition chamber
JP4092827B2 (en) * 1999-01-29 2008-05-28 セイコーエプソン株式会社 Display device
JP2000315576A (en) 1999-03-01 2000-11-14 Toray Ind Inc Organic electroluminescence element and manufacture thereof
JP2001059161A (en) 1999-08-20 2001-03-06 Tdk Corp Device for producing organic thin film and its production
JP4187367B2 (en) 1999-09-28 2008-11-26 三洋電機株式会社 ORGANIC LIGHT EMITTING ELEMENT, ITS MANUFACTURING DEVICE, AND ITS MANUFACTURING METHOD
TW574396B (en) 1999-10-22 2004-02-01 Kurt J Lesker Company Method and apparatus for coating a substrate in a vacuum
JP2001152336A (en) 1999-11-22 2001-06-05 Minolta Co Ltd Optical thin film manufacturing apparatus, and optical thin film manufacturing method
CN100460558C (en) * 1999-12-28 2009-02-11 东芝株式会社 Component for vacuum film deposition system, vacuum film deposition system using the same and target device
JP2001234335A (en) 2000-02-17 2001-08-31 Matsushita Electric Works Ltd Vapor deposition system
JP3616586B2 (en) 2001-07-19 2005-02-02 株式会社日本ビーテック Molecular beam source cell for thin film deposition
JP3684343B2 (en) 2001-09-25 2005-08-17 株式会社日本ビーテック Molecular beam source cell for thin film deposition
JP4286496B2 (en) 2002-07-04 2009-07-01 株式会社半導体エネルギー研究所 Vapor deposition apparatus and thin film manufacturing method

Patent Citations (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2435997A (en) * 1943-11-06 1948-02-17 American Optical Corp Apparatus for vapor coating of large surfaces
US3312190A (en) * 1964-02-25 1967-04-04 Burroughs Corp Mask and substrate alignment apparatus
US3931490A (en) * 1973-09-17 1976-01-06 Robert Bosch G.M.B.H. Electron beam vaporization apparatus
US4061800A (en) * 1975-02-06 1977-12-06 Applied Materials, Inc. Vapor desposition method
US3971334A (en) * 1975-03-04 1976-07-27 Xerox Corporation Coating device
US4023523A (en) * 1975-04-23 1977-05-17 Xerox Corporation Coater hardware and method for obtaining uniform photoconductive layers on a xerographic photoreceptor
US4187801A (en) * 1977-12-12 1980-02-12 Commonwealth Scientific Corporation Method and apparatus for transporting workpieces
US4451499A (en) * 1979-07-24 1984-05-29 Futaba Denshi Kogyo Kabushiki Kaisha Method for producing a beryllium oxide film
US4543467A (en) * 1982-10-26 1985-09-24 Balzers Aktiengesellschaft Effusion type evaporator cell for vacuum evaporators
US4627989A (en) * 1983-08-20 1986-12-09 Leybold Heraeus Gmbh Method and system for a vacuum evaporative deposition process
US4897290A (en) * 1986-09-26 1990-01-30 Konishiroku Photo Industry Co., Ltd. Method for manufacturing the substrate for liquid crystal display
US4854264A (en) * 1986-12-10 1989-08-08 Fuji Seiki Inc. Vacuum evaporating apparatus
US4885211A (en) * 1987-02-11 1989-12-05 Eastman Kodak Company Electroluminescent device with improved cathode
US5186975A (en) * 1987-10-14 1993-02-16 Enichem S.P.A. Process and machinery for step-and-repeat vacuum-deposition of large-area thin-film-electronics matrix-circuits on monolithic glass panes through small perforated metal masks
US5188501A (en) * 1990-04-27 1993-02-23 Shin-Etsu Handotai Co., Ltd. Wafer transfer system
US5258325A (en) * 1990-12-31 1993-11-02 Kopin Corporation Method for manufacturing a semiconductor device using a circuit transfer film
US5429884A (en) * 1992-01-17 1995-07-04 Pioneer Electronic Corporation Organic electroluminescent element
US6482752B1 (en) * 1993-10-26 2002-11-19 Semiconductor Energy Laboratory Co., Ltd. Substrate processing apparatus and method and a manufacturing method of a thin film semiconductor device
US20020197760A1 (en) * 1993-10-26 2002-12-26 Semiconductor Energy Laboratory Co., Ltd. Substrate processing apparatus and method and a manufacturing method of a thin film semiconductor device
US6329229B1 (en) * 1993-11-05 2001-12-11 Semiconductor Energy Laboratory Co., Ltd. Method for processing semiconductor device, apparatus for processing a semiconductor and apparatus for processing semiconductor device
US5695564A (en) * 1994-08-19 1997-12-09 Tokyo Electron Limited Semiconductor processing system
US5893962A (en) * 1995-09-19 1999-04-13 Anelva Corporation Electrode unit for in-situ cleaning in thermal CVD apparatus
US5817366A (en) * 1996-07-29 1998-10-06 Tdk Corporation Method for manufacturing organic electroluminescent element and apparatus therefor
US6049167A (en) * 1997-02-17 2000-04-11 Tdk Corporation Organic electroluminescent display device, and method and system for making the same
US6326726B1 (en) * 1997-02-21 2001-12-04 Nec Corporation Organic electroluminescent display device having insulative shielding walls
US6001413A (en) * 1997-03-10 1999-12-14 Idemitsu Kosan Co., Ltd. Method for producing organic electroluminescent device
US6340501B1 (en) * 1997-05-08 2002-01-22 Matsushita Electric Industrial Co., Ltd. Device and method for manufacturing an optical recording medium
US6011904A (en) * 1997-06-10 2000-01-04 Board Of Regents, University Of Texas Molecular beam epitaxy effusion cell
US6179923B1 (en) * 1997-08-22 2001-01-30 Fuji Electric Co., Ltd. Deposition apparatus for an organic thin-film light-emitting element
US6383402B1 (en) * 1998-04-23 2002-05-07 Sandia Corporation Method and apparatus for monitoring plasma processing operations
JP2000026969A (en) * 1998-07-10 2000-01-25 Anelva Corp Continuous feeding method of vaporizing source into vapor depositing chamber in inline type vapor depositing device
US20010022272A1 (en) * 1998-08-03 2001-09-20 George Plester Methods for measuring the degree of ionization and the rate of evaporation in a vapor deposition coating system
US6391114B1 (en) * 1998-09-21 2002-05-21 Nissin Electric Co., Ltd. Vacuum processing apparatus
US6132280A (en) * 1998-10-28 2000-10-17 Tdk Corporation System and process for fabricating an organic electroluminescent display device
US6403392B1 (en) * 1998-10-30 2002-06-11 The Trustees Of Princeton University Method for patterning devices
US6202591B1 (en) * 1998-11-12 2001-03-20 Flex Products, Inc. Linear aperture deposition apparatus and coating process
US20010021455A1 (en) * 1998-11-12 2001-09-13 Witzman Matthew R. Linear aperture deposition apparatus and coating process
US6367414B2 (en) * 1998-11-12 2002-04-09 Flex Products, Inc. Linear aperture deposition apparatus and coating process
US6382895B1 (en) * 1998-12-28 2002-05-07 Anelva Corporation Substrate processing apparatus
US6364956B1 (en) * 1999-01-26 2002-04-02 Symyx Technologies, Inc. Programmable flux gradient apparatus for co-deposition of materials onto a substrate
JP2000223269A (en) * 1999-01-28 2000-08-11 Anelva Corp Organic thin film forming device
US6356032B1 (en) * 1999-09-08 2002-03-12 Denso Corporation Organic element with metallic cathode
US20010005553A1 (en) * 1999-11-10 2001-06-28 Witzman Matthew R. Linear aperture deposition apparatus and coating process
US20010006827A1 (en) * 1999-12-27 2001-07-05 Semiconductor Energy Laboratory Co., Ltd. Film formation apparatus and method for forming a film
US20100021624A1 (en) * 1999-12-27 2010-01-28 Semiconductor Energy Laboratory Co., Ltd Film Formation Apparatus and Method for Forming a Film
US6244212B1 (en) * 1999-12-30 2001-06-12 Genvac Aerospace Corporation Electron beam evaporation assembly for high uniform thin film
US6237529B1 (en) * 2000-03-03 2001-05-29 Eastman Kodak Company Source for thermal physical vapor deposition of organic electroluminescent layers
US6331212B1 (en) * 2000-04-17 2001-12-18 Avansys, Llc Methods and apparatus for thermally processing wafers
US20020011205A1 (en) * 2000-05-02 2002-01-31 Shunpei Yamazaki Film-forming apparatus, method of cleaning the same, and method of manufacturing a light-emitting device
US20020009538A1 (en) * 2000-05-12 2002-01-24 Yasuyuki Arai Method of manufacturing a light-emitting device
US20020017245A1 (en) * 2000-06-22 2002-02-14 Matsushita Electric Works, Ltd. Apparatus for and method of vacuum vapor deposition and organic electroluminescent device
US20020081372A1 (en) * 2000-11-07 2002-06-27 Kuang-Chung Peng Method for fabricating an organic light emitting diode
US6641674B2 (en) * 2000-11-10 2003-11-04 Helix Technology Inc. Movable evaporation device
US7342355B2 (en) * 2000-12-28 2008-03-11 Semiconductor Energy Laboratory Co., Ltd. Light emitting device having organic light emitting material with mixed layer
US6513451B2 (en) * 2001-04-20 2003-02-04 Eastman Kodak Company Controlling the thickness of an organic layer in an organic light-emiting device
US20040168634A1 (en) * 2001-06-12 2004-09-02 Takao Mori Apparatus and method for manufacturing an organic electroluminescence display
US7651722B2 (en) * 2001-06-12 2010-01-26 Sony Corporation Apparatus and method for manufacturing an organic electroluminescence display
US20030000645A1 (en) * 2001-06-27 2003-01-02 Dornfest Charles N. Apparatus and method for reducing leakage in a capacitor stack
US20040216672A1 (en) * 2001-07-25 2004-11-04 Katsumi Ishii Processing apparatus and processing method
US20030162314A1 (en) * 2002-02-25 2003-08-28 Shunpei Yamazaki Fabrication system and a fabrication method of light emitting device
US20030194484A1 (en) * 2002-04-15 2003-10-16 Semiconductor Engergy Laboratory Co., Ltd. Method of fabricating light-emitting device and apparatus for manufacturing light-emitting device
US20060177579A1 (en) * 2002-09-17 2006-08-10 Shin Cheol H Method for manufacturing semiconductor device
US20060073276A1 (en) * 2004-10-04 2006-04-06 Eric Antonissen Multi-zone atomic layer deposition apparatus and method

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9530829B2 (en) 2004-09-29 2016-12-27 Semiconductor Energy Laboratory Co., Ltd. Display device, electronic apparatus, and method of fabricating the display device
US9147713B2 (en) 2004-09-29 2015-09-29 Semiconductor Energy Laboratory Co., Ltd. Display device, electronic apparatus, and method of fabricating the display device
US10937847B2 (en) 2004-09-29 2021-03-02 Semiconductor Energy Laboratory Co., Ltd. Display device, electronic apparatus, and method of fabricating the display device
US10038040B2 (en) 2004-09-29 2018-07-31 Semiconductor Energy Laboratory Co., Ltd. Display device, electronic apparatus, and method of fabricating the display device
US9893130B2 (en) 2004-09-29 2018-02-13 Semiconductor Energy Laboratory Co., Ltd. Display device, electronic apparatus, and method of fabricating the display device
US8786178B2 (en) 2004-09-29 2014-07-22 Semiconductor Energy Laboratory Co., Ltd. Display device, electronic apparatus, and method of fabricating the display device
US11552145B2 (en) 2004-09-29 2023-01-10 Semiconductor Energy Laboratory Co., Ltd. Display device, electronic apparatus, and method of fabricating the display device
US11233105B2 (en) 2004-09-29 2022-01-25 Semiconductor Energy Laboratory Co., Ltd. Display device, electronic apparatus, and method of fabricating the display device
US11778870B2 (en) 2004-09-29 2023-10-03 Semiconductor Energy Laboratory Co., Ltd. Display device, electronic apparatus, and method of fabricating the display device
US10403697B2 (en) 2004-09-29 2019-09-03 Semiconductor Energy Laboratory Co., Ltd. Display device, electronic apparatus, and method of fabricating the display device
US8628982B2 (en) * 2010-02-22 2014-01-14 Samsung Display Co., Ltd. Method of depositing and inspecting an organic light emitting display panel
US20110207244A1 (en) * 2010-02-22 2011-08-25 Un-Cheol Sung Apparatus for depositing and inspecting an organic light emitting display panel and method of depositing and inspecting an organic light emitting display panel using the apparatus
US9263705B2 (en) 2011-03-14 2016-02-16 Semiconductor Energy Laboratory Co., Ltd. Successive deposition apparatus and successive deposition method
WO2012174550A3 (en) * 2011-06-17 2013-03-14 Applied Materials, Inc. Mask management system and method for oled encapsulation
WO2012174550A2 (en) * 2011-06-17 2012-12-20 Applied Materials, Inc. Mask management system and method for oled encapsulation
US9076991B2 (en) 2011-06-17 2015-07-07 Applied Materials, Inc. Mask management system and method for OLED encapsulation
US20140060735A1 (en) * 2012-08-31 2014-03-06 Samsung Display Co., Ltd. Substrate processing apparatus
KR102064391B1 (en) * 2012-08-31 2020-01-10 삼성디스플레이 주식회사 Substrate processing apparatus
US9184073B2 (en) * 2012-08-31 2015-11-10 Samsung Display Co., Ltd. Substrate processing apparatus
US11842889B2 (en) 2016-12-14 2023-12-12 Schneider Gmbh & Co. Kg Device, method and use for the coating of lenses
US11049749B2 (en) * 2018-09-21 2021-06-29 SCREEN Holdings Co., Ltd. Substrate treating apparatus

Also Published As

Publication number Publication date
KR20030089501A (en) 2003-11-21
US20090075411A1 (en) 2009-03-19
TW200400537A (en) 2004-01-01
CN100380575C (en) 2008-04-09
US20040035360A1 (en) 2004-02-26
CN1458666A (en) 2003-11-26
US8110509B2 (en) 2012-02-07
TWI289871B (en) 2007-11-11
KR101055688B1 (en) 2011-08-09

Similar Documents

Publication Publication Date Title
US8110509B2 (en) Method of fabricating light emitting devices
US20180135158A1 (en) Evaporation method, evaporation device and method of fabricating light emitting device
US20030221620A1 (en) Vapor deposition device
KR101006938B1 (en) Fabrication system and manufacturing method of light emitting device
KR100961401B1 (en) Method of fabricating light-emitting device and apparatus for manufacturing light-emitting device
JP5577393B2 (en) LIGHT EMITTING DEVICE, MODULE, AND ELECTRONIC DEVICE
KR100931936B1 (en) Light emitting device and manufacturing method thereof
JP4954434B2 (en) Manufacturing equipment
JP4634698B2 (en) Vapor deposition equipment
JP4558277B2 (en) Method for manufacturing light emitting device
JP2004006311A (en) Method and apparatus for manufacturing light-emitting device

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION