US20110165328A1 - Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition - Google Patents

Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition Download PDF

Info

Publication number
US20110165328A1
US20110165328A1 US13/049,355 US201113049355A US2011165328A1 US 20110165328 A1 US20110165328 A1 US 20110165328A1 US 201113049355 A US201113049355 A US 201113049355A US 2011165328 A1 US2011165328 A1 US 2011165328A1
Authority
US
United States
Prior art keywords
rare earth
substrate
gas
oxygen
exposing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/049,355
Inventor
Robert D. Clark
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to US13/049,355 priority Critical patent/US20110165328A1/en
Publication of US20110165328A1 publication Critical patent/US20110165328A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • H01L21/3142Deposition using atomic layer deposition techniques [ALD] of nano-laminates, e.g. alternating layers of Al203-Hf02
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Definitions

  • TTCA-127DUS1 entitled “SEMICONDUCTOR DEVICE WITH GATE DIELECTRIC CONTAINING MIXED RARE EARTH ELEMENTS,” filed on May 17, 2010; and U.S. Pat. No. 7,759,746 (Attorney Docket No. TTCA-127E), entitled “SEMICONDUCTOR DEVICE WITH GATE DIELECTRIC CONTAINING ALUMINUM AND MIXED RARE EARTH ELEMENTS,” filed on Mar. 31, 2006. The entire contents of these applications are herein incorporated by reference in their entirety.
  • the present invention relates to a method of forming dielectric materials for semiconductor manufacturing, and more particularly to a method of forming high dielectric constant mixed rare earth oxide and aluminate films containing a plurality of different rare earth metal elements.
  • High dielectric constant (high-k) materials are desirable for use as capacitor dielectrics and for use as gate dielectrics in future generations of electronic devices.
  • the first high-k materials used as capacitor dielectrics were tantalum oxide and aluminum oxide materials.
  • mixed hafnium aluminum oxide materials are being implemented as capacitor dielectrics in DRAM production.
  • hafnium-based dielectrics are expected to enter production as gate dielectrics, thereby replacing the current silicon oxide and silicon oxynitride materials.
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • the atomic layer deposition process includes introducing separate pulses of reactive vapor streams to a process chamber containing a substrate, where the pulses can be separated by either purging or evacuating. During each pulse, a self-limited chemisorbed layer is formed on the surface of the wafer, which layer then reacts with the component included in the next pulse. Purging or evacuation between each pulse is used to reduce or eliminate gas phase mixing of the reactive vapor streams.
  • the typical ALD process results in well-controlled sub-monolayer or near monolayer growth per cycle.
  • ALD aluminum
  • Al aluminum
  • water a pulse of trimethylaluminum will react with hydroxyl groups on the surface of a heated substrate to form a chemisorbed layer of methyl-aluminum moieties that are self-limited to less than a monolayer.
  • the reaction chamber is then purged or evacuated to remove unreacted trimethylaluminum as well as any vapor phase reaction by-products.
  • a pulse of water vapor is then introduced which reacts with the surface aluminum-methyl bonds and regenerates a hydroxylated surface.
  • the use of the mixed Zr and Hf oxides is facilitated by the similar chemical properties of zirconium and hafnium, and by the infinite miscibility of zirconium and hafnium oxides.
  • Other problems encountered with current high-k dielectric materials include dielectric constants that are too low compared to desired values for advanced semiconductor devices. Additionally, the dielectric constant may be further reduced by the presence of an interfacial layer between the high-k dielectric material and the underlying substrate.
  • Embodiments of the invention provide a method for depositing mixed rare earth oxide and aluminate films by ALD and plasma enhanced ALD (PEALD).
  • the mixed rare earth oxide and aluminate films contain a mixture of a plurality of different rare earth metal elements, including Y, Lu, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, and Yb.
  • the mixed rare earth oxide and aluminate films may be used in advanced semiconductor applications that include future generations of high-k dielectric materials for use as both capacitor and gate dielectrics.
  • a method for forming a mixed rare earth oxide film or a mixed rare earth aluminate film by disposing a substrate in a process chamber, and exposing the substrate to a gas pulse sequence to deposit a mixed rare earth oxide film or a mixed rare earth aluminate film with a desired thickness.
  • the gas pulse sequence includes, in any order: a) sequentially first, exposing the substrate to a gas pulse containing a first rare earth precursor, and second, exposing the substrate to a gas pulse containing an oxygen-containing gas; b) sequentially first, exposing the substrate to a gas pulse containing a second rare earth precursor, and second, exposing the substrate to a gas pulse containing an oxygen-containing gas, where the first and second rare earth precursors each contain a different rare earth metal element; and optionally, c) sequentially first, exposing the substrate to a gas pulse containing an aluminum precursor and second, exposing the substrate to a gas pulse containing the oxygen-containing gas.
  • the method further includes each of a), b) and optionally c) being optionally repeated any number of desired times, and the gas pulse sequence including a), b) and optionally c) being optionally repeated, in any order, any number of desired times to achieve the desired thickness.
  • the method further includes purging or evacuating the process chamber after at least one of the exposing steps.
  • a method for forming a mixed rare earth oxide film by a) disposing a substrate in a process chamber, b) sequentially exposing the substrate to a gas pulse comprising a plurality of rare earth precursors each containing a different rare earth metal element, c) exposing the substrate to a pulse containing an oxygen-containing gas, and d) repeating steps b) and c) a desired number of times to deposit a mixed rare earth oxide film with a desired thickness.
  • the method further includes purging or evacuating the process chamber after at least one of the exposing steps.
  • the gas pulse of step b) includes an aluminum precursor, whereby a mixed rare earth aluminate film is formed.
  • the substrate is exposed to another pulse sequence including exposure to an aluminum precursor followed by exposure to an oxygen-containing gas, whereby a mixed rare earth aluminate film is formed.
  • FIG. 1A depicts a schematic view of an ALD system in accordance with an embodiment of the invention
  • FIG. 1B depicts a schematic view of a PEALD system in accordance with an embodiment of the invention
  • FIGS. 2A-2F schematically illustrate pulse sequences for forming mixed rare earth based films according to embodiments of the invention
  • FIGS. 3A-3D are process flow diagrams for forming mixed rare earth oxide films according to embodiments of the invention.
  • FIGS. 4A-4B are process flow diagrams for forming mixed rare earth nitride films according to embodiments of the invention.
  • FIGS. 5A-5B are process flow diagrams for forming mixed rare earth oxynitride films according to embodiments of the invention.
  • FIGS. 6A-6B are process flow diagrams for forming mixed rare earth aluminate films according to embodiments of the invention.
  • FIGS. 7A-7B are process flow diagrams for forming mixed rare earth aluminum nitride films according to embodiments of the invention.
  • FIGS. 8A-8B are process flow diagrams for forming mixed rare earth aluminum oxynitride films according to embodiments of the invention.
  • FIGS. 9A and 9B schematically show cross-sectional views of semiconductor devices containing mixed rare earth based materials according to embodiments of the invention.
  • mixed rare earth based materials are likely to provide beneficial thermal and electrical characteristics for future high-k applications in semiconductor applications.
  • mixed rare earth based materials refer to materials containing a plurality of, i.e., at least two, different rare earth metal elements. Because the rare earth elements are chemically similar and practically infinitely miscible as oxides, nitrides, oxynitrides, aluminates, aluminum nitrides, and aluminum oxynitrides, they are expected to form highly stable solid solutions with other rare earth elements.
  • Expected benefits of a film containing a mixed rare earth based material incorporating a plurality of rare earth metal elements include increased thermal stability in contact with silicon or metal gate electrode material, increased crystallization temperature, increased dielectric constant compared to rare earth based materials containing a single rare earth metal element, decreased density of interface traps, decreased threshold voltage shifts and Fermi level pinning, and improved processing characteristics.
  • the mixed rare earth based films can be used in applications that include future generations of high-k dielectric materials for use as both capacitor and transistor gate dielectrics.
  • Incorporation of aluminum into a mixed rare earth oxide based material to form an aluminate structure provides increased thermal stability in contact with silicon as well as larger band gap to reduce leakage.
  • Other benefits include increase in the dielectric constant over that of rare earth aluminates containing only one rare earth metal element. It is contemplated that there may be compositional ranges of mixed rare earth aluminate films using rare earth elements of differing atomic sizes that may provide significantly higher dielectric constants due to the increased polarizability that can be realized from a size mismatch between the two rare earth metal ions (e.g., lanthanum (La) mixed with lutetium (Lu) aluminate).
  • La lanthanum
  • Lu lutetium
  • Nitrogen incorporation into gate dielectric materials may provide several advantages. In some cases, improved electrical characteristics have been reported. In addition, nitrogen doped dielectrics tend to remain amorphous to higher temperatures than the pure oxide materials. Nitrogen incorporation has the additional benefits of slightly increasing the dielectric constant of the material and suppressing dopant diffusion through the material. Finally, nitrogen incorporation can help suppress interface layer growth during the film deposition and subsequent processing steps.
  • Embodiments of the invention provide a method for forming mixed rare earth based films that can be uniformly deposited with excellent thickness control over high aspect ratios that are envisioned in future DRAM and logic generations. Because CVD and PVD methods of depositing high-k films are not expected to provide the needed conformality and atomic layer control over the deposition rate, ALD and PEALD methods of depositing the high-k materials will be required for use in future generations of integrated circuits.
  • FIG. 1A illustrates an ALD system 1 for depositing mixed rare earth based films on a substrate according to one embodiment of the invention.
  • the ALD system 1 includes a process chamber 10 having a substrate holder 20 configured to support a substrate 25 , upon which the mixed rare earth based film is formed.
  • the process chamber 10 further contains an upper assembly 30 (e.g., a showerhead) coupled to a first process material supply system 40 , a second process material supply system 42 , a purge gas supply system 44 , an oxygen-containing gas supply system 46 , a nitrogen-containing gas supply system 48 , and an aluminum-containing gas supply system 50 .
  • an upper assembly 30 e.g., a showerhead
  • the ALD system 1 includes a substrate temperature control system 60 coupled to substrate holder 20 and configured to elevate and control the temperature of substrate 25 .
  • the ALD system 1 includes a controller 70 that can be coupled to process chamber 10 , substrate holder 20 , assembly 30 configured for introducing process gases into the process chamber 10 , first process material supply system 40 , second process material supply system 42 , purge gas supply system 44 , oxygen-containing gas supply system 46 , nitrogen-containing gas supply system 48 , aluminum-containing gas supply system 50 , and substrate temperature control system 60 .
  • controller 70 can be coupled to one or more additional controllers/computers (not shown), and controller 70 can obtain setup and/or configuration information from an additional controller/computer.
  • FIG. 1A singular processing elements ( 10 , 20 , 30 , 40 , 42 , 44 , 46 , 48 , 50 , and 60 ) are shown, but this is not required for the invention.
  • the ALD system 1 can include any number of processing elements having any number of controllers associated with them in addition to independent processing elements.
  • the controller 70 can be used to configure any number of processing elements ( 10 , 20 , 30 , 40 , 42 , 44 , 46 , 48 , 50 , and 60 ), and the controller 70 can collect, provide, process, store, and display data from processing elements.
  • the controller 70 can comprise a number of applications for controlling one or more of the processing elements.
  • controller 70 can include a graphic user interface (GUI) component (not shown) that can provide easy to use interfaces that enable a user to monitor and/or control one or more processing elements.
  • GUI graphic user interface
  • the ALD system 1 may be configured to process 200 mm substrates, 300 mm substrates, or larger-sized substrates.
  • the deposition system may be configured to process substrates, wafers, or LCDs regardless of their size, as would be appreciated by those skilled in the art. Therefore, while aspects of the invention will be described in connection with the processing of a semiconductor substrate, the invention is not limited solely thereto. Alternately, a batch ALD system capable of processing multiple substrates simultaneously may be utilized for depositing the mixed rare earth based films described in the embodiments of the invention.
  • the first process material supply system 40 and the second process material supply system 42 are configured to alternately or simultaneously introduce a first and second rare earth precursor to process chamber 10 , where the first and second rare earth precursors contains different rare earth metal elements.
  • the alternation of the introduction of the first and second rare earth precursors can be cyclical, or it may be acyclical with variable time periods between introduction of the first and second materials.
  • each of the first process material supply system 40 and the second process material supply system 42 may each be configured to alternately or simultaneously introduce a plurality of rare earth precursors to the process chamber 10 , where the plurality of rare earth precursors contain different rare earth metal elements.
  • several methods may be utilized for introducing the rare earth precursors to the process chamber 10 .
  • One method includes vaporizing rare earth precursors through the use of separate bubblers or direct liquid injection systems, or a combination thereof, and then mixing in the gas phase within or prior to introduction into the process chamber 10 .
  • vaporization rate of each precursor By controlling the vaporization rate of each precursor separately, a desired rare earth metal element stoichiometry can be attained within the deposited film.
  • Another method of delivering each rare earth precursor includes separately controlling two or more different liquid sources, which are then mixed prior to entering a common vaporizer. This method may be utilized when the precursors are compatible in solution or in liquid form and they have similar vaporization characteristics.
  • Other methods include the use of compatible mixed solid or liquid precursors within a bubbler.
  • Liquid source precursors may include neat liquid rare earth precursors, or solid or liquid rare earth precursors that are dissolved in a compatible solvent.
  • Possible compatible solvents include, but are not limited to, ionic liquids, hydrocarbons (aliphatic, olefins, and aromatic), amines, esters, glymes, crown ethers, ethers and polyethers. In some cases it may be possible to dissolve one or more compatible solid precursors in one or more compatible liquid precursors. It will be apparent to one skilled in the art that a plurality of different rare earth elements may be included in this scheme by including a plurality of rare earth precursors within the deposited film. It will also be apparent to one skilled in the art that by controlling the relative concentration levels of the various precursors within a gas pulse, it is possible to deposit mixed rare earth based films with desired stoichiometries.
  • Embodiments of the inventions may utilize a wide variety of different rare earth precursors.
  • many rare earth precursors have the formula:
  • M is a rare earth metal element selected from the group of yttrium (Y), lutetium (Lu), lanthanum (La), cerium (Ce), praseodymium (Pr), neodymium (Nd), samarium (Sm), europium (Eu), gadolinium (Gd), terbium (Tb), dysprosium (Dy), holmium (Ho), erbium (Er), thulium (Tm), and ytterbium (Yb).
  • L 1 , L 2 , L 3 are individual anionic ligands
  • D is a neutral donor ligand where x can be 0, 1, 2, or 3.
  • Each L 1 , L 2 , L 3 ligand may be individually selected from the groups of alkoxides, halides, aryloxides, amides, cyclopentadienyls, alkyls, silyls, amidinates, ⁇ -diketonates, ketoiminates, silanoates, and carboxylates.
  • D ligands may be selected from groups of ethers, furans, pyridines, pyrroles, pyrrolidines, amines, crown ethers, glymes, and nitriles.
  • L group alkoxides include tert-butoxide, iso-propoxide, ethoxide, 1-methoxy-2,2-dimethyl-2-propionate (mmp), 1-dimethylamino-2,2′-dimethyl-propionate, amyloxide, and neo-pentoxide.
  • halides include fluoride, chloride, iodide, and bromide.
  • aryloxides include phenoxide and 2,4,6-trimethylphenoxide.
  • amides include bis(trimethylsilyl)amide di-tert-butylamide, and 2,2,6,6-tetramethylpiperidide (TMPD).
  • cyclopentadienyls include cyclopentadienyl, 1-methylcyclopentadienyl, 1,2,3,4-tetramethylcyclopentadienyl, 1-ethylcyclopentadienyl, pentamethylcyclopentadienyl, 1-iso-propylcyclopentadienyl, 1-n-propylcyclopentadienyl, and 1-n-butylcyclopentadienyl.
  • alkyls include bis(trimethylsilyl)methyl, tris(trimethylsilyl)methyl, and trimethylsilylmethyl.
  • An example of a silyl is trimethylsilyl.
  • amidinates include N,N′-di-tert-butylacetamidinate, N,N′-di-iso-propylacetamidinate, N,N′-di-isopropyl-2-tert-butylamidinate, and N,N′-di-tert-butyl-2-tert-butylamidinate.
  • ⁇ -diketonates include 2,2,6,6-tetramethyl-3,5-heptanedionate (THD), hexafluoro-2,4-pentandionate, and 6,6,7,7,8,8,8-heptafluoro-2,2-dimethyl-3,5-octanedionate (FOD).
  • ketoiminate 2-iso-propylimino-4-pentanonate.
  • silanoates include tri-tert-butylsiloxide and triethylsiloxide.
  • An example of a carboxylate is 2-ethylhexanoate.
  • D ligands examples include tetrahydrofuran, diethylether, 1,2-dimethoxyethane, diglyme, triglyme, tetraglyme, 12-Crown-6,10-Crown-4, pyridine, N-methylpyrrolidine, triethylamine, trimethylamine, acetonitrile, and 2,2-dimethylpropionitrile.
  • rare earth precursors include:
  • Y precursors Y(N(SiMe 3 ) 2 ) 3 , Y(N(iPr) 2 ) 3 , Y(N(tBu)SiMe 3 ) 3 , Y(TMPD) 3 , Cp 3 Y, (MeCp) 3 Y, ((nPr)Cp) 3 Y, ((nBu)Cp) 3 Y, Y(OCMe 2 CH 2 NMe 2 ) 3 , Y(THD) 3 , Y[OOCCH(C 2 H 5 )C 4 H 9 ] 3 , Y(C 11 H 19 O 2 ) 3 CH 3 (OCH 2 CH 2 ) 3 OCH 3 , Y(CF 3 COCHCOCF 3 ) 3 , Y(OOCC 10 H 7 ) 3 , Y(OOC 10 H 19 ) 3 , and Y(O(iPr)) 3 .
  • La precursors La(N(SiMe 3 ) 2 ) 3 , La(N(iPr) 2 ) 3 , La(N(tBu)SiMe 3 ) 3 , La(TMPD) 3 , ((iPr)Cp) 3 La, Cp 3 La, Cp 3 La(NCCH 3 ) 2 , La(Me 2 NC 2 H 4 Cp) 3 , La(THD) 3 , La[OOCCH(C 2 H 5 )C 4 H 9 ] 3 , La(C 11 H 19 O 2 ) 3 .CH 3 (OCH 2 CH 2 ) 3 OCH 3 , La(C 11 H 19 O 2 ) 3 .CH 3 (OCH 2 CH 2 ) 4 OCH 3 , La(O(iPr)) 3 , La(OEt) 3 , La(acac) 3 , La(((tBu) 2 N) 2 CMe) 3 , La((iPr) 2 N) 2 CMe) 3
  • Ce precursors Ce(N(SiMe 3 ) 2 ) 3 , Ce(N(iPr) 2 ) 3 , Ce(N(tBu)SiMe 3 ) 3 , Ce(TMPD) 3 , Ce(FOD) 3 , ((iPr)Cp) 3 Ce, Cp 3 Ce, Ce(Me 4 Cp) 3 , Ce(OCMe 2 CH 2 NMe 2 ) 3 , Ce(THD) 3 , Ce[OOCCH(C 2 H 5 )C 4 H 9 ] 3 , Ce(C 11 H 19 O 2 ) 3 .CH 3 (OCH 2 CH 2 ) 3 OCH 3 , Ce(C 11 H 19 O 2 ) 3 .CH 3 (OCH 2 CH 2 ) 4 OCH 3 , Ce(O(iPr)) 3 , and Ce(acac) 3 .
  • Pr precursors Pr(N(SiMe 3 ) 2 ) 3 , ((iPr)Cp) 3 Pr, Cp 3 Pr, Pr(THD) 3 , Pr(FOD) 3 , (C 5 Me 4 H) 3 Pr, Pr[OOCCH(C 2 H 5 )C 4 H 9 ] 3 , Pr(C 11 H 19 O 2 ) 3 .CH 3 (OCH 2 CH 2 ) 3 OCH 3 , Pr(O(iPr)) 3 , Pr(acac) 3 , Pr(hfac) 3 , Pr(((tBu) 2 N) 2 CMe) 3 , Pr(((iPr) 2 N) 2 CMe) 3 , Pr(((tBu) 2 N) 2 C(tBu)) 3 , and Pr(((iPr) 2 N) 2 C(tBu)) 3 .
  • Nd precursors Nd(N(SiMe 3 ) 2 ) 3 , Nd(N(iPr) 2 ) 3 , ((iPr)Cp) 3 Nd, Cp 3 Nd, (C 5 Me 4 H) 3 Nd, Nd(THD) 3 , Nd[OOCCH(C 2 H 5 )C 4 H 9 ] 3 , Nd(O(iPr)) 3 , Nd(acac) 3 , Nd(hfac) 3 , Nd(F 3 CC(O)CHC(O)CH 3 ) 3 , and Nd(FOD) 3 .
  • Sm precursors Sm(N(SiMe 3 ) 2 ) 3 , ((iPr)Cp) 3 Sm, Cp 3 Sm, Sm(THD) 3 , Sm[OOCCH(C 2 H 5 )C 4 H 9 ] 3 , Sm(O(iPr)) 3 , Sm(acac) 3 , and (C 5 Me 5 ) 2 Sm.
  • Eu precursors Eu(N(SiMe 3 ) 2 ) 3 , ((iPr)Cp) 3 Eu, Cp 3 Eu, (Me 4 Cp) 3 Eu, Eu(THD) 3 , Eu[OOCCH(C 2 H 5 )C 4 H 9 ] 3 , Eu(O(iPr)) 3 , Eu(acac) 3 , and (C 5 Me 5 ) 2 Eu.
  • Gd precursors Gd(N(SiMe 3 ) 2 ) 3 , ((iPr)Cp) 3 Gd, Cp 3 Gd, Gd(THD) 3 , Gd[OOCCH(C 2 H 5 )C 4 H 9 ] 3 , Gd(O(iPr)) 3 , and Gd(acac) 3 .
  • Tb precursors Tb(N(SiMe 3 ) 2 ) 3 , ((iPr)Cp) 3 Tb, Cp 3 Tb, Tb(THD) 3 , Tb[OOCCH(C 2 H 5 )C 4 H 9 ] 3 , Tb(O(iPr)) 3 , and Tb(acac) 3 .
  • Dy precursors Dy(N(SiMe 3 ) 2 ) 3 , ((iPr)Cp) 3 Dy, Cp 3 Dy, Dy(THD) 3 , Dy[OOCCH(C 2 H 5 )C 4 H 9 ] 3 , Dy(O(iPr)) 3 , Dy(O 2 C(CH 2 ) 6 CH 3 ) 3 , and Dy(acac) 3 .
  • Ho precursors Ho(N(SiMe 3 ) 2 ) 3 , ((iPr)Cp) 3 Ho, Cp 3 Ho, Ho(THD) 3 , Ho[OOCCH(C 2 H 5 )C 4 H 9 ] 3 , Ho(O(iPr)) 3 , and Ho(acac) 3 .
  • Er precursors Er(N(SiMe 3 ) 2 ) 3 , ((iPr)Cp) 3 Er, ((nBu)Cp) 3 Er, Cp 3 Er, Er(THD) 3 , Er[OOCCH(C 2 H 5 )C 4 H 9 ] 3 , Er(O(iPr)) 3 , and Er(acac) 3 .
  • Tm precursors Tm(N(SiMe 3 ) 2 ) 3 , ((iPr)Cp) 3 Tm, Cp 3 Tm, Tm(THD) 3 , Tm[OOCCH(C 2 H 5 )C 4 H 9 ] 3 , Tm(O(iPr)) 3 , and Tm(acac) 3 .
  • Yb precursors Yb(N(SiMe 3 ) 2 ) 3 , Yb(N(iPr) 2 ) 3 , ((iPr)Cp) 3 Yb, Cp 3 Yb, Yb(THD) 3 , Yb[OOCCH(C 2 H 5 )C 4 H 9 ] 3 , Yb(O(iPr)) 3 , Yb(acac) 3 , (C 5 Me 5 ) 2 Yb, Yb(hfac) 3 , and Yb(FOD) 3 .
  • Lu precursors Lu(N(SiMe 3 ) 2 ) 3 , ((iPr)Cp) 3 Lu, Cp 3 Lu, Lu(THD) 3 , Lu[OOCCH(C 2 H 5 )C 4 H 9 ] 3 , Lu(O(iPr)) 3 , and Lu(acac) 3
  • Si silicon; Me: methyl; Et: ethyl; iPr: isopropyl; nPr: n-propyl; Bu: butyl; nBu: n-butyl; sBu: sec-butyl; iBu: iso-butyl; tBu: tert-butyl; Cp: cyclopentadienyl; THD: 2,2,6,6-tetramethyl-3,5-heptanedionate; TMPD: 2,2,6,6-tetramethylpiperidide; acac: acetylacetonate; hfac: hexafluoroacetylacetonate; and FOD: 6,6,7,7,8,8,8-heptafluoro-2,2-dimethyl-3,5-octanedionate.
  • the oxygen-containing gas supply system 46 is configured to introduce an oxygen-containing gas to the process chamber 10 .
  • the oxygen-containing gas can include O 2 , H 2 O, or H 2 O 2 , or a combination thereof, and optionally an inert gas such as Ar.
  • the nitrogen-containing gas supply system 48 is configured to introduce a nitrogen-containing gas to the process chamber 10 .
  • the nitrogen-containing gas can include NH 3 , N 2 H 4 , or a combination thereof, and optionally an inert gas such as Ar.
  • the oxygen-containing gas or the nitrogen-containing gas can include NO, NO 2 , or N 2 O, or a combination thereof, and optionally an inert gas such as Ar.
  • Embodiments of the invention may utilize a wide variety of aluminum precursors for incorporating aluminum into the mixed rare earth based films.
  • aluminum precursors for incorporating aluminum into the mixed rare earth based films.
  • many aluminum precursors have the formula:
  • L 1 , L 2 , L 3 are individual anionic ligands
  • D is a neutral donor ligand where x can be 0, 1, or 2.
  • Each L 1 , L 2 , L 3 ligand may be individually selected from the groups of alkoxides, halides, aryloxides, amides, cyclopentadienyls, alkyls, silyls, amidinates, ⁇ -diketonates, ketoiminates, silanoates, and carboxylates.
  • D ligands may be selected from groups of ethers, furans, pyridines, pyroles, pyrrolidines, amines, crown ethers, glymes, and nitriles.
  • aluminum precursors include: Al 2 Me 6 , Al 2 Et 6 , [Al(O(sBu)) 3 ] 4 , Al(CH 3 COCHCOCH 3 ) 3 , AlBr 3 , AlI 3 , Al(O(iPr)) 3 , [Al(NMe 2 ) 3 ] 2 , Al(iBu) 2 Cl, Al(iBu) 3 , Al(iBu) 2 H, AlEt 2 Cl, Et 3 Al 2 (O(sBu)) 3 , and Al(THD) 3 .
  • the purge gas supply system 44 is configured to introduce a purge gas to process chamber 10 .
  • the introduction of purge gas may occur between introduction of pulses of rare earth precursors and an oxygen-containing gas, a nitrogen-containing gas, or an aluminum precursor to the process chamber 10 .
  • the purge gas can comprise an inert gas, such as a noble gas (i.e., He, Ne, Ar, Kr, Xe), nitrogen (N 2 ), or hydrogen (H 2 ).
  • ALD system 1 includes substrate temperature control system 60 coupled to the substrate holder 20 and configured to elevate and control the temperature of substrate 25 .
  • Substrate temperature control system 60 comprises temperature control elements, such as a cooling system including a re-circulating coolant flow that receives heat from substrate holder 20 and transfers heat to a heat exchanger system (not shown), or when heating, transfers heat from the heat exchanger system.
  • the temperature control elements can include heating/cooling elements, such as resistive heating elements, or thermo-electric heaters/coolers, which can be included in the substrate holder 20 , as well as the chamber wall of the processing chamber 10 and any other component within the ALD system 1 .
  • the substrate temperature control system 60 can, for example, be configured to elevate and control the substrate temperature from room temperature to approximately 350° C.
  • the substrate temperature can, for example, range from approximately 150° C. to 350° C. It is to be understood, however, that the temperature of the substrate is selected based on the desired temperature for causing deposition of a particular mixed rare earth based material on the surface of a given substrate.
  • substrate holder 20 can include a mechanical clamping system, or an electrical clamping system, such as an electrostatic clamping system, to affix substrate 25 to an upper surface of substrate holder 20 .
  • substrate holder 20 can further include a substrate backside gas delivery system configured to introduce gas to the back-side of substrate 25 in order to improve the gas-gap thermal conductance between substrate 25 and substrate holder 20 .
  • a substrate backside gas delivery system can be utilized when temperature control of the substrate is required at elevated or reduced temperatures.
  • the substrate backside gas delivery system can comprise a two-zone gas distribution system, wherein the helium gas gap pressure can be independently varied between the center and the edge of substrate 25 .
  • the process chamber 10 is further coupled to a pressure control system 32 , including a vacuum pumping system 34 and a valve 36 , through a duct 38 , wherein the pressure control system 32 is configured to controllably evacuate the process chamber 10 to a pressure suitable for forming the thin film on substrate 25 , and suitable for use of the first and second process materials.
  • the vacuum pumping system 34 can include a turbo-molecular vacuum pump (TMP) or a cryogenic pump capable of a pumping speed up to about 5000 liters per second (and greater) and valve 36 can include a gate valve for throttling the chamber pressure.
  • a device for monitoring chamber pressure (not shown) can be coupled to the processing chamber 10 .
  • the pressure measuring device can be, for example, a Type 628B Baratron absolute capacitance manometer commercially available from MKS Instruments, Inc. (Andover, Mass.).
  • the pressure control system 32 can, for example, be configured to control the process chamber pressure between about 0.1 Ton and about 100 Ton during deposition of the mixed rare earth based materials.
  • the first material supply system 40 , the second material supply system 42 , the purge gas supply system 44 , the oxygen-containing gas supply system 46 , the nitrogen-containing gas supply system 48 , and the aluminum-containing gas supply system 50 can include one or more pressure control devices, one or more flow control devices, one or more filters, one or more valves, and/or one or more flow sensors.
  • the flow control devices can include pneumatic driven valves, electro-mechanical (solenoidal) valves, and/or high-rate pulsed gas injection valves.
  • gases may be sequentially and alternately pulsed into the process chamber 10 , where the length of each gas pulse can, for example, be between about 0.1 sec and about 100 sec.
  • the length of each gas pulse can be between about 1 sec and about 10 sec.
  • Exemplary gas pulse lengths for rare earth precursors can be between 0.3 and 3 sec, for example 1 sec.
  • Exemplary gas pulse lengths for aluminum precursors can be between 0.1 and 3 sec, for example 0.3 sec.
  • Exemplary gas pulse lengths for oxygen- and nitrogen-containing gases can be between 0.3 and 3 sec, for example 1 sec.
  • Exemplary purge gas pulse lengths can be between 1 and 20 sec, for example 3 sec.
  • controller 70 can comprise a microprocessor, memory, and a digital I/O port capable of generating control voltages sufficient to communicate and activate inputs to the ALD system 1 as well as monitor outputs from the ALD system 1 .
  • the controller 70 may be coupled to and may exchange information with the process chamber 10 , substrate holder 20 , upper assembly 30 , first process material supply system 40 , second process material supply system 42 , purge gas supply system 44 , oxygen-containing gas supply system 46 , nitrogen-containing gas supply system 48 , aluminum-containing gas supply system 50 , substrate temperature control system 60 , and pressure control system 32 .
  • a program stored in the memory may be utilized to activate the inputs to the aforementioned components of the ALD system 1 according to a process recipe in order to perform a deposition process.
  • the controller 70 is a DELL PRECISION WORKSTATION 610TM, available from Dell Corporation, Austin, Tex.
  • controller 70 may be implemented as a general purpose computer system that performs a portion or all of the microprocessor based processing steps of the invention in response to a processor executing one or more sequences of one or more instructions contained in a memory. Such instructions may be read into the controller memory from another computer readable medium, such as a hard disk or a removable media drive.
  • processors in a multi-processing arrangement may also be employed as the controller microprocessor to execute the sequences of instructions contained in main memory.
  • hard-wired circuitry may be used in place of or in combination with software instructions. Thus, embodiments are not limited to any specific combination of hardware circuitry and software.
  • the controller 70 includes at least one computer readable medium or memory, such as the controller memory, for holding instructions programmed according to the teachings of the invention and for containing data structures, tables, records, or other data that may be necessary to implement the present invention.
  • Examples of computer readable media are compact discs, hard disks, floppy disks, tape, magneto-optical disks, PROMs (EPROM, EEPROM, flash EPROM), DRAM, SRAM, SDRAM, or any other magnetic medium, compact discs (e.g., CD-ROM), or any other optical medium, punch cards, paper tape, or other physical medium with patterns of holes, a carrier wave (described below), or any other medium from which a computer can read.
  • Such software Stored on any one or on a combination of computer readable media, resides software for controlling the controller 70 , for driving a device or devices for implementing the invention, and/or for enabling the controller to interact with a human user.
  • Such software may include, but is not limited to, device drivers, operating systems, development tools, and applications software.
  • Such computer readable media further includes the computer program product of the present invention for performing all or a portion (if processing is distributed) of the processing performed in implementing the invention.
  • the computer program product may be any interpretable or executable code mechanism, including but not limited to scripts, interpretable programs, dynamic link libraries (DLLs), Java classes, and complete executable programs. Moreover, parts of the processing of the present invention may be distributed for better performance, reliability, and/or cost.
  • Non-volatile media includes, for example, optical, magnetic disks, and magneto-optical disks, such as the hard disk or the removable media drive.
  • Volatile media includes dynamic memory, such as the main memory.
  • various forms of computer readable media may be involved in carrying out one or more sequences of one or more instructions to processor of controller for execution.
  • the instructions may initially be carried on a magnetic disk of a remote computer.
  • the remote computer can load the instructions for implementing all or a portion of the present invention remotely into a dynamic memory and send the instructions over a network to the controller 70 .
  • the controller 70 may be locally located relative to the ALD system 1 , or it may be remotely located relative to the ALD system 1 .
  • the controller 70 may exchange data with the ALD system 1 using at least one of a direct connection, an intranet, the Internet and a wireless connection.
  • the controller 70 may be coupled to an intranet at, for example, a customer site (i.e., a device maker, etc.), or it may be coupled to an intranet at, for example, a vendor site (i.e., an equipment manufacturer). Additionally, for example, the controller 70 may be coupled to the Internet.
  • another computer i.e., controller, server, etc.
  • the controller 70 may exchange data with the ALD system 1 via a wireless connection.
  • FIG. 1B illustrates a PEALD system 100 for depositing a mixed rare earth based film on a substrate according to an embodiment of the invention.
  • the PEALD system 100 is similar to the ALD system 1 described in FIG. 1A , but further includes a plasma generation system configured to generate a plasma during at least a portion of the gas exposures in the process chamber 10 .
  • This allows formation of ozone and plasma excited oxygen from an oxygen-containing gas containing O 2 , H 2 O, H 2 O 2 , or a combination thereof.
  • plasma excited nitrogen may be formed from a nitrogen gas containing N 2 , NH 3 , or N 2 H 4 , or a combination thereof, in the process chamber.
  • plasma excited oxygen and nitrogen may be formed from a process gas containing NO, NO 2 , and N 2 O, or a combination thereof.
  • the plasma generation system includes a first power source 52 coupled to the process chamber 10 , and configured to couple power to gases introduced into the process chamber 10 .
  • the first power source 52 may be a variable power source and may include a radio frequency (RF) generator and an impedance match network, and may further include an electrode through which RF power is coupled to the plasma in process chamber 10 .
  • the electrode can be formed in the upper assembly 31 , and it can be configured to oppose the substrate holder 20 .
  • the impedance match network can be configured to optimize the transfer of RF power from the RF generator to the plasma by matching the output impedance of the match network with the input impedance of the process chamber, including the electrode, and plasma. For instance, the impedance match network serves to improve the transfer of RF power to plasma in process chamber 10 by reducing the reflected power.
  • Match network topologies e.g. L-type, ⁇ -type, T-type, etc.
  • automatic control methods are well known to those skilled in the art.
  • the first power source 52 may include a RF generator and an impedance match network, and may further include an antenna, such as an inductive coil, through which RF power is coupled to plasma in process chamber 10 .
  • the antenna can, for example, include a helical or solenoidal coil, such as in an inductively coupled plasma source or helicon source, or it can, for example, include a flat coil as in a transformer coupled plasma source.
  • the first power source 52 may include a microwave frequency generator, and may further include a microwave antenna and microwave window through which microwave power is coupled to plasma in process chamber 10 .
  • the coupling of microwave power can be accomplished using electron cyclotron resonance (ECR) technology, or it may be employed using surface wave plasma technology, such as a slotted plane antenna (SPA), as described in U.S. Pat. No. 5,024,716.
  • ECR electron cyclotron resonance
  • SPA slotted plane antenna
  • the PEALD system 100 includes a substrate bias generation system configured to generate or assist in generating a plasma (through substrate holder biasing) during at least a portion of the alternating introduction of the gases to the process chamber 10 .
  • the substrate bias system can include a substrate power source 54 coupled to the process chamber 10 , and configured to couple power to the substrate 25 .
  • the substrate power source 54 may include a RF generator and an impedance match network, and may further include an electrode through which RF power is coupled to substrate 25 .
  • the electrode can be formed in substrate holder 20 .
  • substrate holder 20 can be electrically biased at a RF voltage via the transmission of RF power from a RF generator (not shown) through an impedance match network (not shown) to substrate holder 20 .
  • a typical frequency for the RF bias can range from about 0.1 MHz to about 100 MHz, and can be 13.56 MHz.
  • RF bias systems for plasma processing are well known to those skilled in the art.
  • RF power is applied to the substrate holder electrode at multiple frequencies.
  • the plasma generation system and the substrate bias system are illustrated in FIG. 1B as separate entities, they may indeed comprise one or more power sources coupled to substrate holder 20 .
  • the PEALD system 100 includes a remote plasma system 56 for providing and remotely plasma exciting an oxygen-containing gas, a nitrogen-containing gas, or a combination thereof, prior to flowing the plasma excited gas into the process chamber 10 where it is exposed to the substrate 25 .
  • the remote plasma system 56 can, for example, contain a microwave frequency generator.
  • the process chamber pressure can be between about 0.1 Ton and about 10 Ton, or between about 0.2 Ton and about 3 Ton.
  • FIGS. 2A-2F schematically illustrate pulse sequences for forming mixed rare earth based films according to embodiments of the invention.
  • sequential and alternating pulse sequences are used to deposit the different components (i.e., rare earth metal elements, aluminum, oxygen, and nitrogen) of the mixed rare earth based films.
  • ALD and PEALD processes typically deposit less than a monolayer of material per gas pulse, it is possible to form a homogenous material using separate deposition sequences of the different components of the film.
  • mixed rare earth materials may be formed that include mixed rare earth oxide films, mixed rare earth nitride films, mixed rare earth oxynitride films, mixed rare earth aluminate films, mixed rare earth aluminum nitride films, and mixed rare earth aluminum oxynitride films.
  • FIG. 2A depicts a pulse sequence 200 for depositing a first rare earth element from a first rare earth precursor in step 202 .
  • FIG. 2B depicts a pulse sequence 210 for depositing a second rare earth element from a second rare earth precursor in step 212 .
  • FIG. 2C depicts a pulse sequence 220 for simultaneously depositing a plurality of different rare earth elements from a plurality of rare earth precursors in step 222 .
  • FIG. 2D depicts a pulse sequence 230 for incorporating oxygen into a mixed rare earth based film from exposure to an oxygen-containing gas in step 232 .
  • FIG. 2E depicts a pulse sequence 240 for incorporating nitrogen into a mixed rare earth based film from exposure to a nitrogen-containing gas in step 242 .
  • FIG. 2F depicts a pulse sequence 250 for depositing aluminum from an aluminum precursor in step 252 .
  • each of the pulse sequences 200 , 210 , 220 , 230 , 240 , and 250 may include a respective purge or evacuation step 204 , 214 , 224 , 234 , 244 , 254 to remove unreacted gas or byproducts from the process chamber.
  • one or more of the purge or evacuation steps 204 , 214 , 224 , 234 , 244 , 254 may be omitted.
  • different combinations of the pulse sequences depicted in FIGS. 2A-2F may be utilized for depositing different mixed rare earth based materials.
  • Mixed rare earth based materials containing two different rare earth metal elements that may be deposited by the teachings of embodiments of the invention.
  • a wide variety of other mixed rare earth based materials not shown below may be deposited. Therefore, embodiments of the invention are not limited to the materials listed below.
  • other mixed rare earth based materials may contain more than two rare earth elements, for example three, four, or more.
  • FIGS. 3A-3D are process flow diagrams for forming mixed rare earth oxide films according embodiments of the invention.
  • the process flows of FIGS. 3A-3D may be performed by the ALD/PEALD systems 1 / 101 of FIGS. 1 , 2 , or any other suitable ALD/PEALD systems configured to perform an ALD/PEALD process.
  • the process 300 begins when a substrate, such as a semiconductor substrate, is disposed in a process chamber of an ALD or PEALD system in step 302 .
  • the substrate is sequentially exposed to a gas pulse containing a first rare earth precursor and a gas pulse of an oxygen-containing gas.
  • the substrate is sequentially exposed to a gas pulse of a second rare earth precursor and a gas pulse of an oxygen-containing gas.
  • the oxygen-containing gas can include O 2 , H 2 O, H 2 O 2 , ozone, or plasma excited oxygen, or a combination thereof, and optionally an inert gas such as Ar.
  • the first rare earth precursor reacts with hydroxyl groups on the surface of the heated substrate to form a chemisorbed layer less than a monolayer thick containing the first rare earth metal element.
  • the chemisorbed layer is less than a monolayer thick due to the large size of the precursor compared to the size of the first rare earth metal element.
  • oxygen from the gas pulse of the oxygen-containing gas reacts with the chemisorbed surface layer and regenerates a hydroxylated surface.
  • the process chamber may be purged or evacuated to removing any unreacted first or second rare earth precursor, byproducts, and oxygen-containing gas from the process chamber between the sequential and alternating gas pulses.
  • the first rare earth (RE1) precursor and the second rare earth (RE2) precursor contain different rare earth metal elements for forming mixed rare earth oxide films with a general chemical formula RE1 x RE2 y O m , where x, y, and m are non-zero numbers.
  • the sequential exposure steps 304 and 306 may be repeated a predetermined number of times, as shown by the process flow arrow 308 , until a mixed rare earth oxide film with a desired thickness has been formed.
  • the desired film thickness can depend on the type of semiconductor device or device region being formed. For example, the film thickness can be between about 5 angstroms and about 200 angstroms, or between about 5 angstroms and about 40 angstroms.
  • the process flow 300 includes a deposition cycle containing sequential and alternating exposures of a pulse of a first rare earth precursor, a pulse of an oxygen-containing gas, a pulse of a second rare earth precursor, and a pulse of an oxygen-containing gas.
  • the order of the sequential and alternating exposure steps 304 , 306 may be reversed, i.e., step 306 performed before step 304 , to effect film growth and film composition.
  • each of the sequential exposure steps 304 and 306 may be independently repeated a predetermined number of times.
  • a deposition cycle can include AB where AB may be repeated a predetermined number of times (i.e., ABABAB etc.) until the desired film is formed.
  • ABBABB ABBABB
  • AABAAB ABBB
  • AAAB AABB
  • AAABB AABB
  • embodiments of the invention are not limited to these deposition cycles, as any combination of A and B may be utilized. Using these different deposition cycles, it is possible to deposit rare earth oxide films containing different amounts and different depth profiles of the first and second rare earth elements in the resulting mixed rare earth oxide films.
  • additional pulse sequences containing additional rare earth precursors containing different rare earth elements may be added to the process flow depicted in FIG. 3A to form mixed rare earth oxide films containing three or more different rare earth metal elements.
  • additional rare earth elements may be incorporated into the films by adding pulse sequences containing a gas pulse of a rare earth precursor and gas pulse of an oxygen-containing gas for each additional rare earth metal element to be incorporated into the film.
  • a pulse sequence C containing a gas pulse of a third rare earth precursor and a gas pulse of an oxygen-containing gas may be added.
  • one deposition cycle can, for example, include ABC, ABBC, ABCC, etc.
  • embodiments of the invention are not limited to these deposition cycles, as other combinations of A, B, and C may be utilized.
  • FIG. 3B is a process flow diagram for forming a mixed rare earth oxide film according to another embodiment of the invention.
  • the process flow 320 is similar to the process flow 300 of FIG. 3A , but process flow 320 further includes steps of purging or evacuating the process chamber after each gas pulse.
  • the purging or evacuating steps can aid in removing any unreacted rare earth precursor, byproducts, and oxygen-containing gas from the process chamber between the sequential and alternating rare earth precursor and oxygen-containing gas pulses.
  • purging steps may further include evacuating the process chamber during the purging.
  • the process 320 begins when a substrate, such as a semiconductor substrate, is disposed in a process chamber of an ALD or PEALD system in step 322 .
  • the substrate is exposed to a gas pulse of a first rare earth precursor, and in step 326 , the process chamber is purged or evacuated to remove unreacted first rare earth precursor and any byproducts from the process chamber.
  • the substrate is exposed to a pulse of an oxygen-containing gas, and in step 330 , the process chamber is purged or evacuated to remove any unreacted oxygen-containing gas or byproducts from the process chamber.
  • step 332 the substrate is exposed to a gas pulse containing a second rare earth precursor, and in step 334 , the process chamber is purged or evacuated to remove any unreacted second rare earth precursor and any byproducts from the process chamber.
  • step 336 the substrate is exposed to a pulse of an oxygen-containing gas, and in step 338 , the process chamber is purged or evacuated to remove any unreacted oxygen-containing gas or byproducts from the process chamber. Analogous to the process flow 300 of FIG.
  • the exposure steps 324 - 330 of process flow 320 may be repeated a predetermined number of times, as shown by the process flow arrow 340
  • exposure steps 332 - 338 may be repeated a predetermined number of times, as shown by the process flow arrow 342 .
  • the combination of exposure steps 324 - 330 and steps 332 - 338 may be repeated a predetermined number of times, as shown by the process flow arrow 344 .
  • FIG. 3C is a process flow diagram for forming a mixed rare earth oxide film according to yet another embodiment of the invention.
  • the process 350 begins when a substrate, such as a semiconductor substrate, is disposed in a process chamber of an ALD or PEALD system in step 352 .
  • the substrate is exposed to a gas pulse containing a plurality of, i.e., at least two, rare earth precursors each having a different rare earth metal element.
  • the gas pulse contains a plurality of different rare earth metal elements to be deposited on the substrate.
  • the relative concentration of each rare earth precursor in the gas pulse may be independently controlled to tailor the composition of the resulting mixed rare earth oxide film.
  • the substrate is exposed to a pulse of an oxygen-containing gas. According to one embodiment of the invention, the sequential exposure steps 354 and 356 may be repeated a predetermined number of times as depicted by the process flow arrow 358 .
  • FIG. 3D is a process flow diagram for forming a mixed rare earth oxide film according to still another embodiment of the invention.
  • the process flow 360 is similar to the process flow 350 of FIG. 3C but it also includes steps of purging or evacuating the process chamber after each gas pulse.
  • the process 360 begins when a substrate, such as a semiconductor substrate, is disposed in a process chamber of an ALD or PEALD system in step 362 .
  • step 364 the substrate is exposed to a gas pulse containing a plurality of rare earth precursors each having a different rare earth metal element, and in step 366 , the process chamber is purged or evacuated to remove unreacted rare earth precursor and any byproducts from the process chamber.
  • step 368 the substrate is exposed to a pulse of an oxygen-containing gas, and in step 370 , the process chamber is purged or evacuated to remove any excess oxygen-containing gas or byproducts from the process chamber.
  • the sequential exposure steps 364 - 370 may be repeated a predetermined number of times, as shown by the process flow arrow 372 .
  • FIGS. 4A-4B are process flow diagrams for forming mixed rare earth nitride films according embodiments of the invention.
  • the process flows of FIG. 4A-4B may be performed by the ALD/PEALD systems 1 / 101 of FIGS. 1 , 2 , or any other suitable ALD/PEALD systems configured to perform an ALD/PEALD process.
  • the process 400 begins when a substrate, such as a semiconductor substrate, is disposed in a process chamber of an ALD or PEALD system in step 402 .
  • the substrate is sequentially exposed to a gas pulse containing a first rare earth precursor and a gas pulse of a nitrogen-containing gas.
  • the substrate is sequentially exposed to a gas pulse of a second rare earth precursor and a gas pulse of a nitrogen-containing gas.
  • the nitrogen-containing gas can contain NH 3 , N 2 H 4 , plasma excited nitrogen, or a combination thereof, and optionally an inert gas such as Ar.
  • the first rare earth (RE1) precursor and the second rare earth (RE2) precursor contain different rare earth metal elements for forming mixed rare earth nitride films with a general chemical formula RE1 x RE2 y N n , where x, y, and n are non-zero numbers.
  • the sequential exposure steps 404 and 406 may be repeated a predetermined number of times, as shown by the process flow arrow 408 , until a mixed rare earth nitride film with a desired thickness has been formed.
  • the desired film thickness can depend on the type of semiconductor device or device region being formed. For example, the film thickness can be between about 5 angstroms and about 200 angstroms, or between about 5 angstroms and about 40 angstroms.
  • the process flow 400 includes a deposition cycle containing sequential and alternating exposures of a pulse of a first rare earth precursor, a pulse of a nitrogen-containing gas, a pulse of a second rare earth precursor, and a pulse of a nitrogen-containing gas.
  • the process flow 400 may contain steps 404 , 406 , 408 in any order.
  • the order of the sequential and alternating exposure steps 404 and 406 of the deposition cycle be reversed, i.e., step 406 performed before steps 404 to effect film growth and film composition.
  • each of the sequential exposure steps 404 and 406 may be independently repeated a predetermined number of times.
  • a deposition cycle can include AB where AB may be repeated a predetermined number of times (i.e., ABABAB etc.) until the desired film is formed.
  • ABBABB ABBABB
  • AABAAB ABBB
  • AAAB AABB
  • AAABB AABB
  • embodiments of the invention are not limited to these deposition cycles, as other combinations of A and B may be utilized. Using these different deposition cycles, it is possible to deposit rare earth nitride films containing different amounts and different depth profiles of the first and second rare earth elements in the resulting mixed rare earth nitride films.
  • additional pulse sequences containing additional rare earth precursors containing different rare earth elements may be added to the process flow depicted in FIG. 4A to form mixed rare earth nitride films containing three or more different rare earth metal elements.
  • additional rare earth elements may be incorporated into the films by adding pulse sequences containing sequential exposures of a gas pulse of a rare earth precursor and a gas pulse of a nitrogen-containing gas for each additional rare earth metal element to be incorporated into the film.
  • a pulse sequence C containing a gas pulse of a third rare earth precursor and a gas pulse of a nitrogen-containing gas may be added.
  • one deposition cycle can, for example, include ABC, ABBC, ABCC, etc.
  • embodiments of the invention are not limited to these deposition cycles, as other combinations of A, B, and C may be utilized.
  • the process flow 400 may further include steps of purging or evacuating the process chamber after each gas pulse, analogous to the process flow 320 of FIG. 3B .
  • the purging or evacuating steps can aid in removing any unreacted rare earth precursor, byproducts, and nitrogen-containing gas from the process chamber between the alternating rare earth precursor and nitrogen-containing gas pulses.
  • FIG. 4B is a process flow diagram for forming a mixed rare earth nitride film according to yet another embodiment of the invention.
  • the process 410 begins when a substrate, such as a semiconductor substrate, is disposed in a process chamber of an ALD or PEALD system in step 412 .
  • the substrate is exposed to a gas pulse containing a plurality of rare earth precursors each having a different rare earth metal element.
  • the gas pulse contains a plurality of different rare earth metal elements to be deposited on the substrate.
  • the relative concentration of each rare earth precursor in the gas pulse may be independently controlled to tailor the composition of the resulting mixed rare earth nitride film.
  • the substrate is exposed to a pulse of a nitrogen-containing gas. According to one embodiment of the invention, the sequential exposure steps 414 and 416 may be repeated a predetermined number of times as depicted by the process flow arrow 418 .
  • the process flow 410 may further include steps of purging or evacuating the process chamber after each gas pulse, analogous to the process flow 360 of FIG. 3D .
  • the purging or evacuating steps can aid in removing any unreacted rare earth precursor, byproducts, and nitrogen-containing gas from the process chamber between the alternating gas pulses.
  • FIGS. 5A-5B are process flow diagrams for forming mixed rare earth oxynitride films according embodiments of the invention.
  • the process flows of FIG. 5A-5B may be performed by the ALD/PEALD systems 1 / 101 of FIGS. 1 , 2 , or any other suitable ALD/PEALD systems configured to perform an ALD/PEALD process.
  • the process 500 begins when a substrate, such as a semiconductor substrate, is disposed in a process chamber of an ALD or PEALD system in step 502 .
  • the substrate is sequentially exposed to a gas pulse containing a first rare earth precursor and a gas pulse of an oxygen-containing gas, a nitrogen-containing gas, or an oxygen and nitrogen-containing gas.
  • the substrate is sequentially exposed to a gas pulse of a second rare earth precursor and a gas pulse of an oxygen-containing gas, a nitrogen-containing gas, or an oxygen and nitrogen-containing gas.
  • the oxygen-containing gas can include O 2 , H 2 O, H 2 O 2 , NO, NO 2 , N 2 O, ozone, or plasma excited oxygen, or a combination thereof, and optionally an inert gas such as Ar.
  • the nitrogen-containing gas can contain NH 3 , N 2 H 4 , NO, NO 2 , N 2 O, plasma excited nitrogen, or a combination thereof, and optionally an inert gas such as Ar.
  • the combination of steps 504 and 506 should include at least one gas pulse containing oxygen and at least one gas pulse containing nitrogen.
  • gases that include NO, NO 2 , or N 2 O contain both oxygen and nitrogen.
  • the first rare earth (RE1) precursor and the second rare earth (RE2) precursors contain different rare earth metal elements for forming mixed rare earth oxynitride films with a general chemical formula RE1 x RE2 y O m N n , where x, y, m, and n are non-zero numbers.
  • the sequential exposure steps 504 and 506 may be repeated a predetermined number of times, as shown by the process flow arrow 508 , until a mixed rare earth oxynitride film with a desired thickness has been formed.
  • the desired film thickness can depend on the type of semiconductor device or device region being formed. For example, the film thickness can be between about 5 angstroms and about 200 angstroms, or between about 5 angstroms and about 40 angstroms.
  • the process flow 500 includes a deposition cycle containing sequential and alternating exposures of a pulse of a first rare earth precursor, a pulse of an oxygen-, nitrogen- or oxygen and nitrogen-containing gas, a pulse of a second rare earth precursor, and a pulse of an oxygen-, nitrogen- or oxygen and nitrogen-containing gas.
  • the order of the sequential and alternating exposure steps 504 and 506 may be reversed, i.e., step 506 performed before step 504 , to effect film growth and film composition
  • each of the sequential exposure steps 504 and 506 may be independently repeated a predetermined number of times.
  • a deposition cycle can include AB where AB may be repeated a predetermined number of times (i.e., ABABAB etc.) until the desired film is formed.
  • ABBABB ABBABB
  • AABAAB ABBB
  • AAAB AABB
  • AAABB AABB
  • embodiments of the invention are not limited to these deposition cycles, as other combinations of A and B may be utilized. Using these different deposition cycles, it is possible to deposit rare earth oxynitride films containing different amounts and different depth profiles of the first and second rare earth metal elements, oxygen, and nitrogen in the resulting mixed rare earth oxynitride film.
  • additional pulse sequences containing additional rare earth precursors containing different rare earth metal elements may be added to the process flow depicted in FIG. 5A to form mixed rare earth oxynitride films containing three or more different rare earth metal elements.
  • additional rare earth elements may be incorporated into the films by adding pulse sequences containing a gas pulse of a rare earth metal precursor and a gas pulse of an oxygen-, nitrogen- or oxygen and nitrogen-containing gas for each additional rare earth metal element to be incorporated into the film.
  • a pulse sequence C containing a gas pulse of a third rare earth precursor and a gas pulse of an oxygen-, nitrogen- or oxygen and nitrogen-containing gas may be added.
  • one deposition cycle can, for example, include ABC, ABBC, ABCC, etc.
  • embodiments of the invention are not limited to these deposition cycles, as other combinations of A, B, and C may be utilized.
  • the process flow 500 may further include steps of purging or evacuating the process chamber after each gas pulse, analogous to the process flow 320 of FIG. 3B .
  • the purging or evacuating steps can aid in removing any unreacted rare earth precursor, byproducts, oxygen-containing gas, and nitrogen-containing gas from the process chamber between the alternating rare earth precursor, oxygen, and nitrogen-containing gas pulses.
  • FIG. 5B is a process flow diagram for forming a mixed rare earth oxynitride film according to yet another embodiment of the invention.
  • the process 510 begins when a substrate, such as a semiconductor substrate, is disposed in a process chamber of an ALD or PEALD system in step 512 .
  • the substrate is exposed to a gas pulse containing a plurality of rare earth precursors each having a different rare earth metal element.
  • the gas pulse contains a plurality of, i.e., at least two, different rare earth metal elements to be deposited on the substrate.
  • the relative concentration of each rare earth precursor may be independently controlled to tailor the composition of the resulting mixed rare earth nitride film.
  • the substrate is exposed to a pulse of an oxygen-containing gas, a nitrogen-containing gas, or an oxygen and nitrogen-containing gas.
  • the sequential exposure steps 514 and 516 may be repeated a predetermined number of times as depicted by the process flow arrow 518 .
  • the combination of steps 514 and 516 should include at least one gas pulse containing oxygen and at least one gas pulse containing nitrogen.
  • the process flow 510 may further include steps of purging or evacuating the process chamber after each gas pulse, analogous to the process flow 360 of FIG. 3D .
  • the purging or evacuating steps can aid in removing any unreacted rare earth precursor, byproducts, oxygen-containing gas, or nitrogen-containing gas from the process chamber between the alternating gas pulses.
  • FIGS. 6A-6B are process flow diagrams for forming mixed rare earth aluminate films according embodiments of the invention.
  • the process flows of FIG. 6A-6B may be performed by the ALD/PEALD systems 1 / 101 of FIGS. 1 , 2 , or any other suitable ALD/PEALD systems configured to perform an ALD/PEALD process.
  • the process 600 begins when a substrate, such as a semiconductor substrate, is disposed in a process chamber of an ALD or PEALD system in step 602 .
  • the substrate is sequentially exposed to a gas pulse of a first rare earth precursor and a gas pulse of an oxygen-containing gas.
  • the substrate is sequentially exposed to a gas pulse of a second rare earth precursor and a gas pulse of an oxygen-containing gas.
  • the substrate is sequentially exposed to gas pulse of an aluminum precursor and a gas pulse of an oxygen-containing gas.
  • the oxygen-containing gas can include O 2 , H 2 O, H 2 O 2 , ozone, or plasma excited oxygen, or a combination thereof, and optionally an inert gas such as Ar.
  • the first rare earth (RE1) precursor and second rare earth (RE2) precursors contain different rare earth metal elements for forming mixed rare earth aluminate films with a general chemical formula RE1 x RE2 y Al a O m , where x, y, a, and m are non-zero numbers.
  • the sequential exposure steps 604 , 606 , 608 may be repeated a predetermined number of times, as shown by the process flow arrow 614 , until a mixed rare earth aluminate film with a desired thickness has been formed.
  • the desired film thickness can depend on the type of semiconductor device or device region being formed. For example, the film thickness can be between about 5 angstroms and about 200 angstroms, or between about 5 angstroms and about 40 angstroms.
  • the process flow includes a deposition cycle containing sequential and alternating exposures of a pulse of a first rare earth precursor, a pulse of an oxygen-containing gas, a pulse of a second rare earth precursor, a pulse of an oxygen-containing gas, a pulse of an aluminum precursor, and a pulse of an oxygen-containing gas.
  • the order of the sequential and alternating exposure steps 604 , 606 , 608 of the deposition cycle can be changed to effect film growth and film composition.
  • each of the sequential exposure steps 604 , 606 , 608 may be independently repeated a predetermined number of times.
  • a deposition cycle can include ABX where ABX may be repeated a predetermined number of times (i.e., ABXABXABX etc.) until the desired film is formed.
  • ABXABXABX a predetermined number of times
  • a wide variety of other deposition cycles are possible including, for example, AABXAABX, ABBXABBX, ABXXABXX, AABXABBX, etc.
  • embodiments of the invention are not limited to these deposition cycles, as other combinations of A, B, and X may be utilized. Using these different deposition cycles, it is possible to deposit rare earth aluminate films containing different amounts and different depth profiles of the first and second rare earth elements and aluminum in the resulting mixed rare earth aluminate film.
  • additional pulse sequences containing additional rare earth precursors containing different rare earth metal elements may be added to the process flow depicted in FIG. 6A to form mixed rare earth aluminate films containing three or more different rare earth metal elements.
  • additional rare earth elements may be incorporated into the films by adding pulse sequences containing a gas pulse of a rare earth precursor and gas pulse of an oxygen-containing gas for each additional rare earth metal element to be incorporated into the film.
  • a pulse sequence C containing a gas pulse of a third rare earth precursor and a gas pulse of an oxygen-containing gas may be added.
  • one deposition cycle can, for example, include ABCX, ABBCX, ABCCX, etc.
  • embodiments of the invention are not limited to these deposition cycles, as other combinations of A, B, C, and X may be utilized.
  • the process flow 600 may further include steps of purging or evacuating the process chamber after each gas pulse.
  • the purging or evacuating steps can aid in removing any unreacted rare earth precursor, byproducts, aluminum precursor, and oxygen-containing gas from the process chamber between the alternating pulses of rare earth precursor, oxygen-containing gas, and aluminum-containing gas.
  • the exposure steps 604 and 606 may be repeated in sequence a predetermined number of times, as shown by the process flow arrow 612
  • exposure steps 606 and 608 may be repeated in sequence a predetermined number of times, as shown by the process flow arrow 610
  • the exposure steps 604 , 606 , 608 may be repeated a predetermined number of times as shown by the process arrow 614 .
  • FIG. 6B is a process flow diagram for forming a mixed rare earth aluminate film according to yet another embodiment of the invention.
  • the process 620 begins when a substrate, such as a semiconductor substrate, is disposed in a process chamber of an ALD or PEALD system in step 622 .
  • the substrate is sequentially exposed to a gas pulse containing a plurality of rare earth precursors each having a different rare earth metal element and a gas pulse with an oxygen-containing gas.
  • the relative concentration of each rare earth precursor may be independently controlled to tailor the composition of the resulting mixed rare earth aluminate film.
  • the substrate is sequentially exposed to a gas pulse of an aluminum precursor and gas pulse of an oxygen-containing gas.
  • the sequential exposure steps 624 and 626 may be repeated a predetermined number of times as depicted by the process flow arrow 628 .
  • each of the exposure steps 624 and 626 may be independently repeated a predetermined number of times.
  • the process flow 620 may further include steps of purging or evacuating the process chamber after each gas pulse.
  • the purging or evacuating steps can aid in removing any unreacted rare earth precursor, byproducts, oxygen-containing gas, and aluminum precursor from the process chamber.
  • FIGS. 7A-7B are process flow diagrams for forming mixed rare earth aluminum nitride films according embodiments of the invention.
  • the process flows of FIG. 7A-7B may be performed by the ALD/PEALD systems 1 / 101 of FIGS. 1 , 2 , or any other suitable ALD/PEALD systems configured to perform an ALD/PEALD process.
  • the process 700 begins when a substrate, such as a semiconductor substrate, is disposed in a process chamber of an ALD or PEALD system in step 702 .
  • the substrate is sequentially exposed to a gas pulse containing a first rare earth precursor and a gas pulse of a nitrogen-containing gas.
  • the substrate is sequentially exposed to a gas pulse of a second rare earth precursor and a gas pulse of a nitrogen-containing gas.
  • the substrate is sequentially exposed to gas pulse of an aluminum precursor and a gas pulse of a nitrogen-containing gas.
  • the nitrogen-containing gas can contain NH 3 , N 2 H 4 , plasma excited nitrogen, or a combination thereof, and optionally an inert gas such as Ar.
  • the first rare earth (RE1) precursor and second rare earth (RE2) precursors contain different rare earth metal elements for forming mixed rare earth aluminum nitride films with a general chemical formula RE1 x RE2 y Al a N n , where x, y, a, and n are non-zero numbers.
  • the sequential exposure steps 704 and 706 may be repeated a predetermined number of times, as shown by the process flow arrow 712 , until a mixed rare earth aluminum nitride film with a desired thickness has been formed.
  • the desired film thickness can depend on the type of semiconductor device or device region being formed. For example, the film thickness can be between about 5 angstroms and about 200 angstroms, or between about 5 angstroms and about 40 angstroms.
  • the process flow includes a deposition cycle containing sequential and alternating exposures of a pulse of a first rare earth precursor, a pulse of a nitrogen-containing gas, a pulse of a second rare earth precursor, a pulse of a nitrogen-containing gas, a pulse of an aluminum precursor, and a pulse of a nitrogen-containing gas.
  • the order of the sequential and alternating exposure steps 704 , 706 , 708 of the deposition cycle can be changed to effect film growth and film composition.
  • each of the sequential exposure steps 704 , 706 , 708 may be independently repeated a predetermined number of times.
  • a deposition cycle can include ABX where ABX may be repeated a predetermined number of times (i.e., ABXABXABX etc.) until the desired film is formed.
  • ABXABXABX a predetermined number of times
  • a wide variety of other deposition cycles are possible including, for example, AABXAABX, ABBXABBX, ABXXABXX, AABXABBX, etc.
  • embodiments of the invention are not limited to these deposition cycles, as other combinations of A, B, and X may be utilized. Using these different deposition cycles, it is possible to deposit rare earth aluminum nitride films containing different amounts and different depth profiles of the first and second rare earth elements and aluminum in the resulting mixed rare earth aluminum nitride film.
  • additional pulse sequences containing additional rare earth precursors containing different rare earth elements may be added to the process flow depicted in FIG. 7A to form mixed rare earth aluminum nitride films containing a plurality of different rare earth metal elements.
  • additional rare earth elements may be incorporated into the films by including additional pulse sequences containing sequential exposures of a gas pulse of a rare earth metal precursor and a gas pulse of a nitrogen-containing gas to each deposition cycle for each desired rare earth element.
  • a pulse sequence C containing sequential pulses of a third rare earth precursor and a nitrogen-containing gas may be added.
  • one deposition cycle can, for example, include ABCX, ABBCX, ABCCX, ABCXX, etc.
  • embodiments of the invention are not limited to these deposition cycles, as other combinations of A, B, C, and X may be utilized.
  • additional pulse sequences containing additional rare earth precursors containing different rare earth metal elements may be added to the process flow depicted in FIG. 7A to form mixed rare earth aluminum nitride films containing three or more different rare earth metal elements.
  • additional rare earth elements may be incorporated into the films by adding pulse sequences containing a gas pulse of a rare earth precursor and gas pulse of a nitrogen-containing gas for each additional rare earth metal element to be incorporated into the film.
  • a pulse sequence C containing a gas pulse of a third rare earth precursor and a gas pulse of a nitrogen-containing gas may be added.
  • one deposition cycle can, for example, include ABCX, ABBCX, ABCCX, etc.
  • embodiments of the invention are not limited to these deposition cycles, as other combinations of A, B, C, and X may be utilized.
  • the process flow 700 may further include steps of purging or evacuating the process chamber after each gas pulse.
  • the purging or evacuating steps can aid in removing any unreacted rare earth precursor, byproducts, aluminum precursor, and nitrogen-containing gas from the process chamber between the alternating pulses of rare earth precursor, nitrogen-containing gas, and aluminum-containing gas.
  • the exposure steps 704 and 706 may be repeated in sequence a predetermined number of times, as shown by the process flow arrow 712
  • exposure steps 706 and 708 may be repeated in sequence a predetermined number of times, as shown by the process flow arrow 710
  • the exposure steps 704 , 706 , 708 may be repeated a predetermined number of times as shown by the process arrow 714 .
  • FIG. 7B is a process flow diagram for forming a mixed rare earth aluminum nitride film according to yet another embodiment of the invention.
  • the process 720 begins when a substrate, such as a semiconductor substrate, is disposed in a process chamber of an ALD or PEALD system in step 722 .
  • step 724 the substrate is exposed to a gas pulse containing a plurality of rare earth precursors each having a different rare earth metal element and a gas pulse with a nitrogen-containing gas.
  • the relative concentration of each rare earth precursor may be independently controlled to tailor the composition of the resulting mixed rare earth aluminum nitride film.
  • step 726 the substrate is sequentially exposed to a pulse of an aluminum precursor and a gas pulse of a nitrogen-containing gas. According to one embodiment of the invention, the sequential exposure steps 724 and 726 may be repeated a predetermined number of times as depicted by the process flow arrow 728 .
  • the process flow 720 may further include steps of purging or evacuating the process chamber after each gas pulse.
  • the purging or evacuating steps can aid in removing any unreacted rare earth precursor, byproducts, nitrogen-containing gas, and aluminum precursor from the process chamber.
  • FIGS. 8A-8B are process flow diagrams for forming mixed rare earth aluminum oxynitride films according embodiments of the invention.
  • the process flows of FIG. 8A-8B may be performed by the ALD/PEALD systems 1 / 101 of FIGS. 1 , 2 , or any other suitable ALD/PEALD systems configured to perform an ALD/PEALD process.
  • the process 800 begins when a substrate, such as a semiconductor substrate, is disposed in a process chamber of an ALD or PEALD system in step 802 .
  • the substrate is sequentially exposed to a gas pulse containing a first rare earth precursor and a gas pulse of an oxygen-containing gas, a nitrogen-containing gas, or an oxygen and nitrogen-containing gas.
  • the substrate is sequentially exposed to a gas pulse of a second rare earth precursor and gas pulse of an oxygen-containing gas, a nitrogen-containing gas, or an oxygen and nitrogen-containing gas.
  • the substrate is sequentially exposed to gas pulse of an aluminum precursor and a gas pulse of an oxygen-containing gas, a nitrogen-containing gas, or an oxygen and nitrogen-containing gas.
  • the oxygen-containing gas can include O 2 , H 2 O, H 2 O 2 , NO, NO 2 , N 2 O, ozone, or plasma excited oxygen, or a combination thereof, and optionally an inert gas such as Ar.
  • the nitrogen-containing gas can contain NH 3 , N 2 H 4 , NO, NO 2 , N 2 O, plasma excited nitrogen, or a combination thereof, and optionally an inert gas such as Ar.
  • the combination of steps 804 and 806 should include at least one gas pulse containing oxygen and at least one gas pulse containing nitrogen.
  • gases that include NO, NO 2 , or N 2 O contain both oxygen and nitrogen.
  • the first rare earth (RE1) precursor and second rare earth (RE2) precursors contain different rare earth metal elements for forming mixed rare earth aluminum oxynitride films with a general chemical formula RE1 x RE2 y Al a O m N n , where x, y, a, m, and n are non-zero numbers.
  • the sequential exposure steps 804 , 806 , and 808 may be repeated a predetermined number of times, as shown by the process flow arrow 814 , until a mixed rare earth aluminum oxynitride film with a desired thickness has been formed.
  • the desired film thickness can depend on the type of semiconductor device or device region being formed. For example, the film thickness can be between about 5 angstroms and about 200 angstroms, or between about 5 angstroms and about 40 angstroms.
  • the process flow includes a deposition cycle containing sequential and alternating exposures of a pulse of a first rare earth precursor, gas pulse of an oxygen-, nitrogen- or oxygen and nitrogen-containing gas, a pulse of a second rare earth precursor, a gas pulse of an oxygen-, nitrogen- or oxygen and nitrogen-containing gas, a pulse of an aluminum precursor, and a gas pulse of an oxygen-, nitrogen- or oxygen and nitrogen-containing gas.
  • the order of the sequential and alternating exposure steps 804 , 806 , 808 of the deposition cycle can be changed to effect film growth and film composition.
  • each of the sequential exposure steps 804 , 806 , 808 may be independently repeated a predetermined number of times.
  • a deposition cycle can include ABX where ABX may be repeated a predetermined number of times (i.e., ABXABXABX etc.) until the desired film is formed.
  • ABXABXABX a predetermined number of times
  • a wide variety of other deposition cycles are possible including, for example, AABXAABX, ABBXABBX, ABXXABXX, AABXABBX, etc.
  • embodiments of the invention are not limited to these deposition cycles, as other combinations of A, B, and X may be utilized. Using these different deposition cycles, it is possible to deposit rare earth aluminum oxynitride films containing different amounts and different depth profiles of the first and second rare earth elements, aluminum, nitrogen, and oxygen in the resulting mixed rare earth aluminum oxynitride film.
  • additional pulse sequences containing additional rare earth precursors containing different rare earth elements may be added to the process flow depicted in FIG. 8A to form mixed rare earth aluminum oxynitride films containing three or more different rare earth metal elements.
  • additional rare earth elements may be incorporated into the films by adding pulse sequences containing sequential exposures of a gas pulse of a rare earth metal precursor and a gas pulse of an oxygen-, nitrogen- or oxygen and nitrogen-containing gas for each additional rare earth metal element to be incorporated into the film.
  • a pulse sequence C containing a gas pulse of a third rare earth precursor and an oxygen-, nitrogen- or oxygen and nitrogen-containing gas may be added.
  • one deposition cycle can, for example, include ABCX, ABBCX, ABCCX, ABCXX, etc.
  • embodiments of the invention are not limited to these deposition cycles, as other combinations of A, B, C, and X may be utilized.
  • the process flow 800 may further include steps of purging or evacuating the process chamber after each gas pulse. The purging or evacuating steps can aid in removing any unreacted rare earth precursor, byproducts, aluminum precursor, oxygen-containing gas, and nitrogen-containing gas from the process chamber between the alternating pulses of rare earth precursor, oxygen-containing, nitrogen-containing gas, and aluminum-containing gas.
  • the exposure steps 804 and 806 may be repeated in sequence a predetermined number of times, as shown by the process flow arrow 812
  • exposure steps 806 and 808 may be repeated in sequence a predetermined number of times, as shown by the process flow arrow 810
  • the exposure steps 804 , 806 , 808 may be repeated a predetermined number of times as shown by the process arrow 814 .
  • FIG. 8B is a process flow diagram for forming a mixed rare earth aluminum oxynitride film according to yet another embodiment of the invention.
  • the process 820 begins when a substrate, such as a semiconductor substrate, is disposed in a process chamber of an ALD or PEALD system in step 822 .
  • step 824 the substrate is simultaneously exposed to a gas pulse containing a plurality of rare earth precursors each having a different rare earth metal element and a gas pulse with an oxygen-, nitrogen- or oxygen and nitrogen-containing gas.
  • the relative concentration of each rare earth precursor may be independently controlled to tailor the composition of the resulting mixed rare earth oxynitride film.
  • step 826 the substrate is sequentially exposed to a gas pulse of an aluminum precursor and a gas pulse of an oxygen-, nitrogen- or oxygen and nitrogen-containing gas. According to one embodiment of the invention, the sequential exposure steps 824 and 826 may be repeated a predetermined number of times as depicted by the process flow arrow 828 .
  • the process flow 820 may further include steps of purging or evacuating the process chamber after each gas pulse.
  • the purging or evacuating steps can aid in removing any unreacted rare earth precursor, byproducts, oxygen-containing gas, nitrogen-containing gas, and aluminum precursor from the process chamber.
  • FIGS. 9A and 9B schematically show cross-sectional views of semiconductor devices containing mixed rare earth based materials according to embodiments of the invention.
  • source and drain regions of the field effect transistors (FET) 90 and 91 are not shown.
  • the FET 90 in FIG. 9A contains a semiconductor substrate 92 , a mixed rare earth based film 96 that serves as a gate dielectric, and a conductive gate electrode film 98 over the film 96 .
  • the mixed rare earth based film 96 can contain plurality of, i.e., at least two, different rare earth metal elements selected from Y, Lu, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, and Yb.
  • the mixed rare earth based film 96 can be a mixed rare earth oxide film, a mixed rare earth nitride film, a mixed rare earth oxynitride film, a mixed rare earth aluminate film, mixed rare earth aluminum nitride film, or a mixed rare earth aluminum oxynitride film.
  • a thickness of the mixed rare earth based film 96 can be between about 5 and about 200 angstroms, or between about 5 and about 40 angstroms.
  • the FET 90 further contains a gate electrode film 98 that can, for example, be between about 5 nm and about 10 nm thick and can contain poly-Si, a metal, or a metal-containing material, including W, WN, WSi x , Al, Mo, Ta, TaN, TaSiN, HfN, HfSiN, Ti, TiN, TiSiN, Mo, MoN, Re, Pt, or Ru.
  • a gate electrode film 98 can, for example, be between about 5 nm and about 10 nm thick and can contain poly-Si, a metal, or a metal-containing material, including W, WN, WSi x , Al, Mo, Ta, TaN, TaSiN, HfN, HfSiN, Ti, TiN, TiSiN, Mo, MoN, Re, Pt, or Ru.
  • the FET 91 in FIG. 9B is similar to the FET 90 in FIG. 9A but further contains an interface layer 94 between the mixed rare earth based film 96 and the substrate 92 .
  • the interface layer 94 can, for example, be an oxide layer, a nitride layer, or an oxynitride layer.
  • the semiconductor devices can contain capacitors containing the mixed rare earth based materials.

Abstract

A method is provided for depositing a gate dielectric that includes at least two rare earth metal elements in the form of an oxide or an aluminate. The method includes disposing a substrate in a process chamber and exposing the substrate to a gas pulse containing a first rare earth precursor and to a gas pulse containing a second rare earth precursor. The substrate may also optionally be exposed to a gas pulse containing an aluminum precursor. Sequentially after each precursor gas pulse, the substrate is exposed to a gas pulse of an oxygen-containing gas. In alternative embodiments, the first and second rare earth precursors may be pulsed together, and either or both may be pulsed together with the aluminum precursor. The first and second rare earth precursors comprise a different rare earth metal element. The sequential exposing steps may be repeated to deposit a mixed rare earth oxide or aluminate layer with a desired thickness. Purge or evacuation steps may also be performed after each gas pulse.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation of U.S. patent application Ser. No. 11/278,393 (Attorney Docket No. TTCA-127B), entitled “METHOD OF FORMING MIXED RARE EARTH NITRIDE AND ALUMINUM NITRIDE FILMS BY ATOMIC LAYER DEPOSITION,” filed on Mar. 31, 2006. This application is related to co-pending U.S. patent application Ser. No. 11/278,396 (Attorney Docket No. TTCA-127C), entitled “METHOD OF FORMING MIXED RARE EARTH OXYNITRIDE AND ALUMINUM OXYNITRIDE FILMS BY ATOMIC LAYER DEPOSITION,” filed on Mar. 31, 2006; U.S. patent application Ser. No. 11/278,387 (Attorney Docket No. TTCA-127A), entitled “METHOD OF FORMING MIXED RARE EARTH OXIDE AND ALUMINATE FILMS BY ATOMIC LAYER DEPOSITION,” filed on Mar. 31, 2006; U.S. Pat. No. 7,816,737 (Attorney Docket No. TTCA-127D), entitled “SEMICONDUCTOR DEVICE WITH GATE DIELECTRIC CONTAINING MIXED RARE EARTH ELEMENTS,” filed on Mar. 31, 2006; co-pending U.S. application Ser. No. 12/781,402 (Attorney Docket No. TTCA-127DUS1), entitled “SEMICONDUCTOR DEVICE WITH GATE DIELECTRIC CONTAINING MIXED RARE EARTH ELEMENTS,” filed on May 17, 2010; and U.S. Pat. No. 7,759,746 (Attorney Docket No. TTCA-127E), entitled “SEMICONDUCTOR DEVICE WITH GATE DIELECTRIC CONTAINING ALUMINUM AND MIXED RARE EARTH ELEMENTS,” filed on Mar. 31, 2006. The entire contents of these applications are herein incorporated by reference in their entirety.
  • FIELD OF INVENTION
  • The present invention relates to a method of forming dielectric materials for semiconductor manufacturing, and more particularly to a method of forming high dielectric constant mixed rare earth oxide and aluminate films containing a plurality of different rare earth metal elements.
  • BACKGROUND OF THE INVENTION
  • High dielectric constant (high-k) materials are desirable for use as capacitor dielectrics and for use as gate dielectrics in future generations of electronic devices. The first high-k materials used as capacitor dielectrics were tantalum oxide and aluminum oxide materials. Currently, mixed hafnium aluminum oxide materials are being implemented as capacitor dielectrics in DRAM production. Similarly, hafnium-based dielectrics are expected to enter production as gate dielectrics, thereby replacing the current silicon oxide and silicon oxynitride materials.
  • The most common methods of depositing high-k dielectrics include physical vapor deposition (PVD), chemical vapor deposition (CVD) and atomic layer deposition (ALD). The advantages of using ALD over PVD and CVD methods include improved thickness control for thin films, improved uniformity across the wafer and improved conformality over high aspect ratio structures.
  • The atomic layer deposition process includes introducing separate pulses of reactive vapor streams to a process chamber containing a substrate, where the pulses can be separated by either purging or evacuating. During each pulse, a self-limited chemisorbed layer is formed on the surface of the wafer, which layer then reacts with the component included in the next pulse. Purging or evacuation between each pulse is used to reduce or eliminate gas phase mixing of the reactive vapor streams. The typical ALD process results in well-controlled sub-monolayer or near monolayer growth per cycle.
  • One representative case of ALD is deposition of aluminum (Al) oxide from trimethylaluminum and water. In this ALD process, a pulse of trimethylaluminum will react with hydroxyl groups on the surface of a heated substrate to form a chemisorbed layer of methyl-aluminum moieties that are self-limited to less than a monolayer. The reaction chamber is then purged or evacuated to remove unreacted trimethylaluminum as well as any vapor phase reaction by-products. A pulse of water vapor is then introduced which reacts with the surface aluminum-methyl bonds and regenerates a hydroxylated surface. By repeating the above deposition cycle it is possible to realize layer by layer film growth of about 1 angstrom (10−10 m) per cycle. By selecting different reactive precursors and gases, it is possible to deposit many different types of films using ALD processes.
  • Current high-k dielectric materials under evaluation suffer from various problems. Some of the problems encountered include film crystallization during anneals, growth of interfacial layers during deposition and further processing, large densities of interface traps, reduced channel mobility, reaction with poly-silicon gates, and Fermi level pinning with metal gates. One strategy to mitigate these effects that has recently been proposed is to use mixed zirconium (Zr) and hafnium (Hf) oxides as high-k dielectrics. Some of the benefits of these dielectrics include increased thermal stability and improved electrical properties compared with pure Zr oxide or pure Hf oxide. While all of the factors contributing to these improvements are not known, the use of the mixed Zr and Hf oxides is facilitated by the similar chemical properties of zirconium and hafnium, and by the infinite miscibility of zirconium and hafnium oxides. Other problems encountered with current high-k dielectric materials include dielectric constants that are too low compared to desired values for advanced semiconductor devices. Additionally, the dielectric constant may be further reduced by the presence of an interfacial layer between the high-k dielectric material and the underlying substrate.
  • Accordingly, there is a need for further developments for forming high-k dielectric materials to be used as gate dielectrics in semiconductor devices, such as capacitors and transistors.
  • SUMMARY OF THE INVENTION
  • Embodiments of the invention provide a method for depositing mixed rare earth oxide and aluminate films by ALD and plasma enhanced ALD (PEALD). The mixed rare earth oxide and aluminate films contain a mixture of a plurality of different rare earth metal elements, including Y, Lu, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, and Yb. For example, the mixed rare earth oxide and aluminate films may be used in advanced semiconductor applications that include future generations of high-k dielectric materials for use as both capacitor and gate dielectrics.
  • According to one embodiment of the invention, a method is provided for forming a mixed rare earth oxide film or a mixed rare earth aluminate film by disposing a substrate in a process chamber, and exposing the substrate to a gas pulse sequence to deposit a mixed rare earth oxide film or a mixed rare earth aluminate film with a desired thickness. The gas pulse sequence includes, in any order: a) sequentially first, exposing the substrate to a gas pulse containing a first rare earth precursor, and second, exposing the substrate to a gas pulse containing an oxygen-containing gas; b) sequentially first, exposing the substrate to a gas pulse containing a second rare earth precursor, and second, exposing the substrate to a gas pulse containing an oxygen-containing gas, where the first and second rare earth precursors each contain a different rare earth metal element; and optionally, c) sequentially first, exposing the substrate to a gas pulse containing an aluminum precursor and second, exposing the substrate to a gas pulse containing the oxygen-containing gas. The method further includes each of a), b) and optionally c) being optionally repeated any number of desired times, and the gas pulse sequence including a), b) and optionally c) being optionally repeated, in any order, any number of desired times to achieve the desired thickness. According to one embodiment of the invention, the method further includes purging or evacuating the process chamber after at least one of the exposing steps.
  • According to another embodiment of the invention, a method is provided for forming a mixed rare earth oxide film by a) disposing a substrate in a process chamber, b) sequentially exposing the substrate to a gas pulse comprising a plurality of rare earth precursors each containing a different rare earth metal element, c) exposing the substrate to a pulse containing an oxygen-containing gas, and d) repeating steps b) and c) a desired number of times to deposit a mixed rare earth oxide film with a desired thickness. According to one embodiment of the invention, the method further includes purging or evacuating the process chamber after at least one of the exposing steps. According to another embodiment of the invention, the gas pulse of step b) includes an aluminum precursor, whereby a mixed rare earth aluminate film is formed. According to an alternate embodiment, after steps b) and c) are performed, the substrate is exposed to another pulse sequence including exposure to an aluminum precursor followed by exposure to an oxygen-containing gas, whereby a mixed rare earth aluminate film is formed.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • In the accompanying drawings:
  • FIG. 1A depicts a schematic view of an ALD system in accordance with an embodiment of the invention;
  • FIG. 1B depicts a schematic view of a PEALD system in accordance with an embodiment of the invention;
  • FIGS. 2A-2F schematically illustrate pulse sequences for forming mixed rare earth based films according to embodiments of the invention;
  • FIGS. 3A-3D are process flow diagrams for forming mixed rare earth oxide films according to embodiments of the invention;
  • FIGS. 4A-4B are process flow diagrams for forming mixed rare earth nitride films according to embodiments of the invention;
  • FIGS. 5A-5B are process flow diagrams for forming mixed rare earth oxynitride films according to embodiments of the invention;
  • FIGS. 6A-6B are process flow diagrams for forming mixed rare earth aluminate films according to embodiments of the invention;
  • FIGS. 7A-7B are process flow diagrams for forming mixed rare earth aluminum nitride films according to embodiments of the invention;
  • FIGS. 8A-8B are process flow diagrams for forming mixed rare earth aluminum oxynitride films according to embodiments of the invention; and
  • FIGS. 9A and 9B schematically show cross-sectional views of semiconductor devices containing mixed rare earth based materials according to embodiments of the invention.
  • DETAILED DESCRIPTION OF SEVERAL EMBODIMENTS
  • As in the case of mixed Zr/Hf oxide based materials, mixed rare earth based materials are likely to provide beneficial thermal and electrical characteristics for future high-k applications in semiconductor applications. As used herein, mixed rare earth based materials refer to materials containing a plurality of, i.e., at least two, different rare earth metal elements. Because the rare earth elements are chemically similar and practically infinitely miscible as oxides, nitrides, oxynitrides, aluminates, aluminum nitrides, and aluminum oxynitrides, they are expected to form highly stable solid solutions with other rare earth elements. Expected benefits of a film containing a mixed rare earth based material incorporating a plurality of rare earth metal elements include increased thermal stability in contact with silicon or metal gate electrode material, increased crystallization temperature, increased dielectric constant compared to rare earth based materials containing a single rare earth metal element, decreased density of interface traps, decreased threshold voltage shifts and Fermi level pinning, and improved processing characteristics. For example, the mixed rare earth based films can be used in applications that include future generations of high-k dielectric materials for use as both capacitor and transistor gate dielectrics.
  • Incorporation of aluminum into a mixed rare earth oxide based material to form an aluminate structure provides increased thermal stability in contact with silicon as well as larger band gap to reduce leakage. Other benefits include increase in the dielectric constant over that of rare earth aluminates containing only one rare earth metal element. It is contemplated that there may be compositional ranges of mixed rare earth aluminate films using rare earth elements of differing atomic sizes that may provide significantly higher dielectric constants due to the increased polarizability that can be realized from a size mismatch between the two rare earth metal ions (e.g., lanthanum (La) mixed with lutetium (Lu) aluminate).
  • Nitrogen incorporation into gate dielectric materials may provide several advantages. In some cases, improved electrical characteristics have been reported. In addition, nitrogen doped dielectrics tend to remain amorphous to higher temperatures than the pure oxide materials. Nitrogen incorporation has the additional benefits of slightly increasing the dielectric constant of the material and suppressing dopant diffusion through the material. Finally, nitrogen incorporation can help suppress interface layer growth during the film deposition and subsequent processing steps.
  • Embodiments of the invention provide a method for forming mixed rare earth based films that can be uniformly deposited with excellent thickness control over high aspect ratios that are envisioned in future DRAM and logic generations. Because CVD and PVD methods of depositing high-k films are not expected to provide the needed conformality and atomic layer control over the deposition rate, ALD and PEALD methods of depositing the high-k materials will be required for use in future generations of integrated circuits.
  • In the following description, in order to facilitate a thorough understanding of the invention and for purposes of explanation and not limitation, specific details are set forth, such as a particular geometry of the deposition system and descriptions of various components. However, it should be understood that the invention may be practiced in other embodiments that depart from these specific details.
  • Referring now to the drawings, FIG. 1A illustrates an ALD system 1 for depositing mixed rare earth based films on a substrate according to one embodiment of the invention. The ALD system 1 includes a process chamber 10 having a substrate holder 20 configured to support a substrate 25, upon which the mixed rare earth based film is formed. The process chamber 10 further contains an upper assembly 30 (e.g., a showerhead) coupled to a first process material supply system 40, a second process material supply system 42, a purge gas supply system 44, an oxygen-containing gas supply system 46, a nitrogen-containing gas supply system 48, and an aluminum-containing gas supply system 50. Additionally, the ALD system 1 includes a substrate temperature control system 60 coupled to substrate holder 20 and configured to elevate and control the temperature of substrate 25. Furthermore, the ALD system 1 includes a controller 70 that can be coupled to process chamber 10, substrate holder 20, assembly 30 configured for introducing process gases into the process chamber 10, first process material supply system 40, second process material supply system 42, purge gas supply system 44, oxygen-containing gas supply system 46, nitrogen-containing gas supply system 48, aluminum-containing gas supply system 50, and substrate temperature control system 60.
  • Alternatively, or in addition, controller 70 can be coupled to one or more additional controllers/computers (not shown), and controller 70 can obtain setup and/or configuration information from an additional controller/computer.
  • In FIG. 1A, singular processing elements (10, 20, 30, 40, 42, 44, 46, 48, 50, and 60) are shown, but this is not required for the invention. The ALD system 1 can include any number of processing elements having any number of controllers associated with them in addition to independent processing elements.
  • The controller 70 can be used to configure any number of processing elements (10, 20, 30, 40, 42, 44, 46, 48, 50, and 60), and the controller 70 can collect, provide, process, store, and display data from processing elements. The controller 70 can comprise a number of applications for controlling one or more of the processing elements. For example, controller 70 can include a graphic user interface (GUI) component (not shown) that can provide easy to use interfaces that enable a user to monitor and/or control one or more processing elements.
  • Still referring to FIG. 1A, the ALD system 1 may be configured to process 200 mm substrates, 300 mm substrates, or larger-sized substrates. In fact, it is contemplated that the deposition system may be configured to process substrates, wafers, or LCDs regardless of their size, as would be appreciated by those skilled in the art. Therefore, while aspects of the invention will be described in connection with the processing of a semiconductor substrate, the invention is not limited solely thereto. Alternately, a batch ALD system capable of processing multiple substrates simultaneously may be utilized for depositing the mixed rare earth based films described in the embodiments of the invention.
  • The first process material supply system 40 and the second process material supply system 42 are configured to alternately or simultaneously introduce a first and second rare earth precursor to process chamber 10, where the first and second rare earth precursors contains different rare earth metal elements. The alternation of the introduction of the first and second rare earth precursors can be cyclical, or it may be acyclical with variable time periods between introduction of the first and second materials. Furthermore, each of the first process material supply system 40 and the second process material supply system 42 may each be configured to alternately or simultaneously introduce a plurality of rare earth precursors to the process chamber 10, where the plurality of rare earth precursors contain different rare earth metal elements.
  • According to embodiments of the invention, several methods may be utilized for introducing the rare earth precursors to the process chamber 10. One method includes vaporizing rare earth precursors through the use of separate bubblers or direct liquid injection systems, or a combination thereof, and then mixing in the gas phase within or prior to introduction into the process chamber 10. By controlling the vaporization rate of each precursor separately, a desired rare earth metal element stoichiometry can be attained within the deposited film. Another method of delivering each rare earth precursor includes separately controlling two or more different liquid sources, which are then mixed prior to entering a common vaporizer. This method may be utilized when the precursors are compatible in solution or in liquid form and they have similar vaporization characteristics. Other methods include the use of compatible mixed solid or liquid precursors within a bubbler. Liquid source precursors may include neat liquid rare earth precursors, or solid or liquid rare earth precursors that are dissolved in a compatible solvent. Possible compatible solvents include, but are not limited to, ionic liquids, hydrocarbons (aliphatic, olefins, and aromatic), amines, esters, glymes, crown ethers, ethers and polyethers. In some cases it may be possible to dissolve one or more compatible solid precursors in one or more compatible liquid precursors. It will be apparent to one skilled in the art that a plurality of different rare earth elements may be included in this scheme by including a plurality of rare earth precursors within the deposited film. It will also be apparent to one skilled in the art that by controlling the relative concentration levels of the various precursors within a gas pulse, it is possible to deposit mixed rare earth based films with desired stoichiometries.
  • Embodiments of the inventions may utilize a wide variety of different rare earth precursors. For example, many rare earth precursors have the formula:

  • ML1L2L3Dx
  • where M is a rare earth metal element selected from the group of yttrium (Y), lutetium (Lu), lanthanum (La), cerium (Ce), praseodymium (Pr), neodymium (Nd), samarium (Sm), europium (Eu), gadolinium (Gd), terbium (Tb), dysprosium (Dy), holmium (Ho), erbium (Er), thulium (Tm), and ytterbium (Yb). L1, L2, L3 are individual anionic ligands, and D is a neutral donor ligand where x can be 0, 1, 2, or 3. Each L1, L2, L3 ligand may be individually selected from the groups of alkoxides, halides, aryloxides, amides, cyclopentadienyls, alkyls, silyls, amidinates, β-diketonates, ketoiminates, silanoates, and carboxylates. D ligands may be selected from groups of ethers, furans, pyridines, pyrroles, pyrrolidines, amines, crown ethers, glymes, and nitriles.
  • Examples of L group alkoxides include tert-butoxide, iso-propoxide, ethoxide, 1-methoxy-2,2-dimethyl-2-propionate (mmp), 1-dimethylamino-2,2′-dimethyl-propionate, amyloxide, and neo-pentoxide. Examples of halides include fluoride, chloride, iodide, and bromide. Examples of aryloxides include phenoxide and 2,4,6-trimethylphenoxide. Examples of amides include bis(trimethylsilyl)amide di-tert-butylamide, and 2,2,6,6-tetramethylpiperidide (TMPD). Examples of cyclopentadienyls include cyclopentadienyl, 1-methylcyclopentadienyl, 1,2,3,4-tetramethylcyclopentadienyl, 1-ethylcyclopentadienyl, pentamethylcyclopentadienyl, 1-iso-propylcyclopentadienyl, 1-n-propylcyclopentadienyl, and 1-n-butylcyclopentadienyl. Examples of alkyls include bis(trimethylsilyl)methyl, tris(trimethylsilyl)methyl, and trimethylsilylmethyl. An example of a silyl is trimethylsilyl. Examples of amidinates include N,N′-di-tert-butylacetamidinate, N,N′-di-iso-propylacetamidinate, N,N′-di-isopropyl-2-tert-butylamidinate, and N,N′-di-tert-butyl-2-tert-butylamidinate. Examples of β-diketonates include 2,2,6,6-tetramethyl-3,5-heptanedionate (THD), hexafluoro-2,4-pentandionate, and 6,6,7,7,8,8,8-heptafluoro-2,2-dimethyl-3,5-octanedionate (FOD). An example of a ketoiminate is 2-iso-propylimino-4-pentanonate. Examples of silanoates include tri-tert-butylsiloxide and triethylsiloxide. An example of a carboxylate is 2-ethylhexanoate.
  • Examples of D ligands include tetrahydrofuran, diethylether, 1,2-dimethoxyethane, diglyme, triglyme, tetraglyme, 12-Crown-6,10-Crown-4, pyridine, N-methylpyrrolidine, triethylamine, trimethylamine, acetonitrile, and 2,2-dimethylpropionitrile.
  • Representative examples of rare earth precursors include:
  • Y precursors: Y(N(SiMe3)2)3, Y(N(iPr)2)3, Y(N(tBu)SiMe3)3, Y(TMPD)3, Cp3Y, (MeCp)3Y, ((nPr)Cp)3Y, ((nBu)Cp)3Y, Y(OCMe2CH2NMe2)3, Y(THD)3, Y[OOCCH(C2H5)C4H9]3, Y(C11H19O2)3CH3(OCH2CH2)3OCH3, Y(CF3COCHCOCF3)3, Y(OOCC10H7)3, Y(OOC10H19)3, and Y(O(iPr))3.
  • La precursors: La(N(SiMe3)2)3, La(N(iPr)2)3, La(N(tBu)SiMe3)3, La(TMPD)3, ((iPr)Cp)3La, Cp3La, Cp3La(NCCH3)2, La(Me2NC2H4Cp)3, La(THD)3, La[OOCCH(C2H5)C4H9]3, La(C11H19O2)3.CH3(OCH2CH2)3OCH3, La(C11H19O2)3.CH3(OCH2CH2)4OCH3, La(O(iPr))3, La(OEt)3, La(acac)3, La(((tBu)2N)2CMe)3, La(((iPr)2N)2CMe)3, La(((tBu)2N)2C(tBu))3, La(((iPr)2N)2C(tBu))3, and La(FOD)3.
  • Ce precursors: Ce(N(SiMe3)2)3, Ce(N(iPr)2)3, Ce(N(tBu)SiMe3)3, Ce(TMPD)3, Ce(FOD)3, ((iPr)Cp)3Ce, Cp3Ce, Ce(Me4 Cp)3, Ce(OCMe2CH2NMe2)3, Ce(THD)3, Ce[OOCCH(C2H5)C4H9]3, Ce(C11H19O2)3.CH3(OCH2CH2)3OCH3, Ce(C11H19O2)3.CH3(OCH2CH2)4OCH3, Ce(O(iPr))3, and Ce(acac)3.
  • Pr precursors: Pr(N(SiMe3)2)3, ((iPr)Cp)3Pr, Cp3Pr, Pr(THD)3, Pr(FOD)3, (C5Me4H)3Pr, Pr[OOCCH(C2H5)C4H9]3, Pr(C11H19O2)3.CH3(OCH2CH2)3OCH3, Pr(O(iPr))3, Pr(acac)3, Pr(hfac)3, Pr(((tBu)2N)2CMe)3, Pr(((iPr)2N)2CMe)3, Pr(((tBu)2N)2C(tBu))3, and Pr(((iPr)2N)2C(tBu))3.
  • Nd precursors: Nd(N(SiMe3)2)3, Nd(N(iPr)2)3, ((iPr)Cp)3Nd, Cp3Nd, (C5Me4H)3Nd, Nd(THD)3, Nd[OOCCH(C2H5)C4H9]3, Nd(O(iPr))3, Nd(acac)3, Nd(hfac)3, Nd(F3CC(O)CHC(O)CH3)3, and Nd(FOD)3.
  • Sm precursors: Sm(N(SiMe3)2)3, ((iPr)Cp)3Sm, Cp3Sm, Sm(THD)3, Sm[OOCCH(C2H5)C4H9]3, Sm(O(iPr))3, Sm(acac)3, and (C5Me5)2Sm.
  • Eu precursors: Eu(N(SiMe3)2)3, ((iPr)Cp)3Eu, Cp3Eu, (Me4 Cp)3Eu, Eu(THD)3, Eu[OOCCH(C2H5)C4H9]3, Eu(O(iPr))3, Eu(acac)3, and (C5Me5)2Eu.
  • Gd precursors: Gd(N(SiMe3)2)3, ((iPr)Cp)3Gd, Cp3Gd, Gd(THD)3, Gd[OOCCH(C2H5)C4H9]3, Gd(O(iPr))3, and Gd(acac)3.
  • Tb precursors: Tb(N(SiMe3)2)3, ((iPr)Cp)3Tb, Cp3Tb, Tb(THD)3, Tb[OOCCH(C2H5)C4H9]3, Tb(O(iPr))3, and Tb(acac)3.
  • Dy precursors: Dy(N(SiMe3)2)3, ((iPr)Cp)3Dy, Cp3Dy, Dy(THD)3, Dy[OOCCH(C2H5)C4H9]3, Dy(O(iPr))3, Dy(O2C(CH2)6CH3)3, and Dy(acac)3.
  • Ho precursors: Ho(N(SiMe3)2)3, ((iPr)Cp)3Ho, Cp3Ho, Ho(THD)3, Ho[OOCCH(C2H5)C4H9]3, Ho(O(iPr))3, and Ho(acac)3.
  • Er precursors: Er(N(SiMe3)2)3, ((iPr)Cp)3Er, ((nBu)Cp)3Er, Cp3Er, Er(THD)3, Er[OOCCH(C2H5)C4H9]3, Er(O(iPr))3, and Er(acac)3.
  • Tm precursors: Tm(N(SiMe3)2)3, ((iPr)Cp)3Tm, Cp3Tm, Tm(THD)3, Tm[OOCCH(C2H5)C4H9]3, Tm(O(iPr))3, and Tm(acac)3.
  • Yb precursors: Yb(N(SiMe3)2)3, Yb(N(iPr)2)3, ((iPr)Cp)3Yb, Cp3Yb, Yb(THD)3, Yb[OOCCH(C2H5)C4H9]3, Yb(O(iPr))3, Yb(acac)3, (C5Me5)2Yb, Yb(hfac)3, and Yb(FOD)3.
  • Lu precursors: Lu(N(SiMe3)2)3, ((iPr)Cp)3Lu, Cp3Lu, Lu(THD)3, Lu[OOCCH(C2H5)C4H9]3, Lu(O(iPr))3, and Lu(acac)3
  • In the above precursors, as well as precursors set forth below, the following common abbreviations are used: Si: silicon; Me: methyl; Et: ethyl; iPr: isopropyl; nPr: n-propyl; Bu: butyl; nBu: n-butyl; sBu: sec-butyl; iBu: iso-butyl; tBu: tert-butyl; Cp: cyclopentadienyl; THD: 2,2,6,6-tetramethyl-3,5-heptanedionate; TMPD: 2,2,6,6-tetramethylpiperidide; acac: acetylacetonate; hfac: hexafluoroacetylacetonate; and FOD: 6,6,7,7,8,8,8-heptafluoro-2,2-dimethyl-3,5-octanedionate.
  • Still referring to FIG. 1A, the oxygen-containing gas supply system 46 is configured to introduce an oxygen-containing gas to the process chamber 10. The oxygen-containing gas can include O2, H2O, or H2O2, or a combination thereof, and optionally an inert gas such as Ar. Similarly, the nitrogen-containing gas supply system 48 is configured to introduce a nitrogen-containing gas to the process chamber 10. The nitrogen-containing gas can include NH3, N2H4, or a combination thereof, and optionally an inert gas such as Ar. According to one embodiment of the invention, the oxygen-containing gas or the nitrogen-containing gas can include NO, NO2, or N2O, or a combination thereof, and optionally an inert gas such as Ar.
  • Embodiments of the invention may utilize a wide variety of aluminum precursors for incorporating aluminum into the mixed rare earth based films. For example, many aluminum precursors have the formula:

  • AlL1L2L3Dx
  • where L1, L2, L3 are individual anionic ligands, and D is a neutral donor ligand where x can be 0, 1, or 2. Each L1, L2, L3 ligand may be individually selected from the groups of alkoxides, halides, aryloxides, amides, cyclopentadienyls, alkyls, silyls, amidinates, β-diketonates, ketoiminates, silanoates, and carboxylates. D ligands may be selected from groups of ethers, furans, pyridines, pyroles, pyrrolidines, amines, crown ethers, glymes, and nitriles.
  • Other examples of aluminum precursors include: Al2Me6, Al2Et6, [Al(O(sBu))3]4, Al(CH3COCHCOCH3)3, AlBr3, AlI3, Al(O(iPr))3, [Al(NMe2)3]2, Al(iBu)2Cl, Al(iBu)3, Al(iBu)2H, AlEt2Cl, Et3Al2(O(sBu))3, and Al(THD)3.
  • Still referring to FIG. 1A, the purge gas supply system 44 is configured to introduce a purge gas to process chamber 10. For example, the introduction of purge gas may occur between introduction of pulses of rare earth precursors and an oxygen-containing gas, a nitrogen-containing gas, or an aluminum precursor to the process chamber 10. The purge gas can comprise an inert gas, such as a noble gas (i.e., He, Ne, Ar, Kr, Xe), nitrogen (N2), or hydrogen (H2).
  • Furthermore, ALD system 1 includes substrate temperature control system 60 coupled to the substrate holder 20 and configured to elevate and control the temperature of substrate 25. Substrate temperature control system 60 comprises temperature control elements, such as a cooling system including a re-circulating coolant flow that receives heat from substrate holder 20 and transfers heat to a heat exchanger system (not shown), or when heating, transfers heat from the heat exchanger system. Additionally, the temperature control elements can include heating/cooling elements, such as resistive heating elements, or thermo-electric heaters/coolers, which can be included in the substrate holder 20, as well as the chamber wall of the processing chamber 10 and any other component within the ALD system 1. The substrate temperature control system 60 can, for example, be configured to elevate and control the substrate temperature from room temperature to approximately 350° C. to 550° C. Alternatively, the substrate temperature can, for example, range from approximately 150° C. to 350° C. It is to be understood, however, that the temperature of the substrate is selected based on the desired temperature for causing deposition of a particular mixed rare earth based material on the surface of a given substrate.
  • In order to improve the thermal transfer between substrate 25 and substrate holder 20, substrate holder 20 can include a mechanical clamping system, or an electrical clamping system, such as an electrostatic clamping system, to affix substrate 25 to an upper surface of substrate holder 20. Furthermore, substrate holder 20 can further include a substrate backside gas delivery system configured to introduce gas to the back-side of substrate 25 in order to improve the gas-gap thermal conductance between substrate 25 and substrate holder 20. Such a system can be utilized when temperature control of the substrate is required at elevated or reduced temperatures. For example, the substrate backside gas delivery system can comprise a two-zone gas distribution system, wherein the helium gas gap pressure can be independently varied between the center and the edge of substrate 25.
  • Furthermore, the process chamber 10 is further coupled to a pressure control system 32, including a vacuum pumping system 34 and a valve 36, through a duct 38, wherein the pressure control system 32 is configured to controllably evacuate the process chamber 10 to a pressure suitable for forming the thin film on substrate 25, and suitable for use of the first and second process materials. The vacuum pumping system 34 can include a turbo-molecular vacuum pump (TMP) or a cryogenic pump capable of a pumping speed up to about 5000 liters per second (and greater) and valve 36 can include a gate valve for throttling the chamber pressure. Moreover, a device for monitoring chamber pressure (not shown) can be coupled to the processing chamber 10. The pressure measuring device can be, for example, a Type 628B Baratron absolute capacitance manometer commercially available from MKS Instruments, Inc. (Andover, Mass.). The pressure control system 32 can, for example, be configured to control the process chamber pressure between about 0.1 Ton and about 100 Ton during deposition of the mixed rare earth based materials.
  • The first material supply system 40, the second material supply system 42, the purge gas supply system 44, the oxygen-containing gas supply system 46, the nitrogen-containing gas supply system 48, and the aluminum-containing gas supply system 50 can include one or more pressure control devices, one or more flow control devices, one or more filters, one or more valves, and/or one or more flow sensors. The flow control devices can include pneumatic driven valves, electro-mechanical (solenoidal) valves, and/or high-rate pulsed gas injection valves. According to embodiments of the invention, gases may be sequentially and alternately pulsed into the process chamber 10, where the length of each gas pulse can, for example, be between about 0.1 sec and about 100 sec. Alternately, the length of each gas pulse can be between about 1 sec and about 10 sec. Exemplary gas pulse lengths for rare earth precursors can be between 0.3 and 3 sec, for example 1 sec. Exemplary gas pulse lengths for aluminum precursors can be between 0.1 and 3 sec, for example 0.3 sec. Exemplary gas pulse lengths for oxygen- and nitrogen-containing gases can be between 0.3 and 3 sec, for example 1 sec. Exemplary purge gas pulse lengths can be between 1 and 20 sec, for example 3 sec. An exemplary pulsed gas injection system is described in greater detail in pending U.S. Patent Application Publication No. 2004/0123803.
  • Still referring to FIG. 1A, controller 70 can comprise a microprocessor, memory, and a digital I/O port capable of generating control voltages sufficient to communicate and activate inputs to the ALD system 1 as well as monitor outputs from the ALD system 1. Moreover, the controller 70 may be coupled to and may exchange information with the process chamber 10, substrate holder 20, upper assembly 30, first process material supply system 40, second process material supply system 42, purge gas supply system 44, oxygen-containing gas supply system 46, nitrogen-containing gas supply system 48, aluminum-containing gas supply system 50, substrate temperature control system 60, and pressure control system 32. For example, a program stored in the memory may be utilized to activate the inputs to the aforementioned components of the ALD system 1 according to a process recipe in order to perform a deposition process. One example of the controller 70 is a DELL PRECISION WORKSTATION 610™, available from Dell Corporation, Austin, Tex.
  • However, the controller 70 may be implemented as a general purpose computer system that performs a portion or all of the microprocessor based processing steps of the invention in response to a processor executing one or more sequences of one or more instructions contained in a memory. Such instructions may be read into the controller memory from another computer readable medium, such as a hard disk or a removable media drive. One or more processors in a multi-processing arrangement may also be employed as the controller microprocessor to execute the sequences of instructions contained in main memory. In alternative embodiments, hard-wired circuitry may be used in place of or in combination with software instructions. Thus, embodiments are not limited to any specific combination of hardware circuitry and software.
  • The controller 70 includes at least one computer readable medium or memory, such as the controller memory, for holding instructions programmed according to the teachings of the invention and for containing data structures, tables, records, or other data that may be necessary to implement the present invention. Examples of computer readable media are compact discs, hard disks, floppy disks, tape, magneto-optical disks, PROMs (EPROM, EEPROM, flash EPROM), DRAM, SRAM, SDRAM, or any other magnetic medium, compact discs (e.g., CD-ROM), or any other optical medium, punch cards, paper tape, or other physical medium with patterns of holes, a carrier wave (described below), or any other medium from which a computer can read.
  • Stored on any one or on a combination of computer readable media, resides software for controlling the controller 70, for driving a device or devices for implementing the invention, and/or for enabling the controller to interact with a human user. Such software may include, but is not limited to, device drivers, operating systems, development tools, and applications software. Such computer readable media further includes the computer program product of the present invention for performing all or a portion (if processing is distributed) of the processing performed in implementing the invention.
  • The computer program product may be any interpretable or executable code mechanism, including but not limited to scripts, interpretable programs, dynamic link libraries (DLLs), Java classes, and complete executable programs. Moreover, parts of the processing of the present invention may be distributed for better performance, reliability, and/or cost.
  • The term “computer readable medium” as used herein refers to any medium that participates in providing instructions to the processor of the controller 70 for execution. A computer readable medium may take many forms, including but not limited to, non-volatile media, volatile media, and transmission media. Non-volatile media includes, for example, optical, magnetic disks, and magneto-optical disks, such as the hard disk or the removable media drive. Volatile media includes dynamic memory, such as the main memory. Moreover, various forms of computer readable media may be involved in carrying out one or more sequences of one or more instructions to processor of controller for execution. For example, the instructions may initially be carried on a magnetic disk of a remote computer. The remote computer can load the instructions for implementing all or a portion of the present invention remotely into a dynamic memory and send the instructions over a network to the controller 70.
  • The controller 70 may be locally located relative to the ALD system 1, or it may be remotely located relative to the ALD system 1. For example, the controller 70 may exchange data with the ALD system 1 using at least one of a direct connection, an intranet, the Internet and a wireless connection. The controller 70 may be coupled to an intranet at, for example, a customer site (i.e., a device maker, etc.), or it may be coupled to an intranet at, for example, a vendor site (i.e., an equipment manufacturer). Additionally, for example, the controller 70 may be coupled to the Internet. Furthermore, another computer (i.e., controller, server, etc.) may access, for example, the controller 70 to exchange data via at least one of a direct connection, an intranet, and the Internet. As also would be appreciated by those skilled in the art, the controller 70 may exchange data with the ALD system 1 via a wireless connection.
  • FIG. 1B illustrates a PEALD system 100 for depositing a mixed rare earth based film on a substrate according to an embodiment of the invention. The PEALD system 100 is similar to the ALD system 1 described in FIG. 1A, but further includes a plasma generation system configured to generate a plasma during at least a portion of the gas exposures in the process chamber 10. This allows formation of ozone and plasma excited oxygen from an oxygen-containing gas containing O2, H2O, H2O2, or a combination thereof. Similarly, plasma excited nitrogen may be formed from a nitrogen gas containing N2, NH3, or N2H4, or a combination thereof, in the process chamber. Also, plasma excited oxygen and nitrogen may be formed from a process gas containing NO, NO2, and N2O, or a combination thereof. The plasma generation system includes a first power source 52 coupled to the process chamber 10, and configured to couple power to gases introduced into the process chamber 10. The first power source 52 may be a variable power source and may include a radio frequency (RF) generator and an impedance match network, and may further include an electrode through which RF power is coupled to the plasma in process chamber 10. The electrode can be formed in the upper assembly 31, and it can be configured to oppose the substrate holder 20. The impedance match network can be configured to optimize the transfer of RF power from the RF generator to the plasma by matching the output impedance of the match network with the input impedance of the process chamber, including the electrode, and plasma. For instance, the impedance match network serves to improve the transfer of RF power to plasma in process chamber 10 by reducing the reflected power. Match network topologies (e.g. L-type, π-type, T-type, etc.) and automatic control methods are well known to those skilled in the art.
  • Alternatively, the first power source 52 may include a RF generator and an impedance match network, and may further include an antenna, such as an inductive coil, through which RF power is coupled to plasma in process chamber 10. The antenna can, for example, include a helical or solenoidal coil, such as in an inductively coupled plasma source or helicon source, or it can, for example, include a flat coil as in a transformer coupled plasma source.
  • Alternatively, the first power source 52 may include a microwave frequency generator, and may further include a microwave antenna and microwave window through which microwave power is coupled to plasma in process chamber 10. The coupling of microwave power can be accomplished using electron cyclotron resonance (ECR) technology, or it may be employed using surface wave plasma technology, such as a slotted plane antenna (SPA), as described in U.S. Pat. No. 5,024,716.
  • According to one embodiment of the invention, the PEALD system 100 includes a substrate bias generation system configured to generate or assist in generating a plasma (through substrate holder biasing) during at least a portion of the alternating introduction of the gases to the process chamber 10. The substrate bias system can include a substrate power source 54 coupled to the process chamber 10, and configured to couple power to the substrate 25. The substrate power source 54 may include a RF generator and an impedance match network, and may further include an electrode through which RF power is coupled to substrate 25. The electrode can be formed in substrate holder 20. For instance, substrate holder 20 can be electrically biased at a RF voltage via the transmission of RF power from a RF generator (not shown) through an impedance match network (not shown) to substrate holder 20. A typical frequency for the RF bias can range from about 0.1 MHz to about 100 MHz, and can be 13.56 MHz. RF bias systems for plasma processing are well known to those skilled in the art. Alternatively, RF power is applied to the substrate holder electrode at multiple frequencies. Although the plasma generation system and the substrate bias system are illustrated in FIG. 1B as separate entities, they may indeed comprise one or more power sources coupled to substrate holder 20.
  • In addition, the PEALD system 100 includes a remote plasma system 56 for providing and remotely plasma exciting an oxygen-containing gas, a nitrogen-containing gas, or a combination thereof, prior to flowing the plasma excited gas into the process chamber 10 where it is exposed to the substrate 25. The remote plasma system 56 can, for example, contain a microwave frequency generator. The process chamber pressure can be between about 0.1 Ton and about 10 Ton, or between about 0.2 Ton and about 3 Ton.
  • FIGS. 2A-2F schematically illustrate pulse sequences for forming mixed rare earth based films according to embodiments of the invention. According to embodiments of the invention, sequential and alternating pulse sequences are used to deposit the different components (i.e., rare earth metal elements, aluminum, oxygen, and nitrogen) of the mixed rare earth based films. Since ALD and PEALD processes typically deposit less than a monolayer of material per gas pulse, it is possible to form a homogenous material using separate deposition sequences of the different components of the film. Depending on the gas selections and combination of pulse sequences, mixed rare earth materials may be formed that include mixed rare earth oxide films, mixed rare earth nitride films, mixed rare earth oxynitride films, mixed rare earth aluminate films, mixed rare earth aluminum nitride films, and mixed rare earth aluminum oxynitride films.
  • FIG. 2A depicts a pulse sequence 200 for depositing a first rare earth element from a first rare earth precursor in step 202. FIG. 2B depicts a pulse sequence 210 for depositing a second rare earth element from a second rare earth precursor in step 212. FIG. 2C depicts a pulse sequence 220 for simultaneously depositing a plurality of different rare earth elements from a plurality of rare earth precursors in step 222. FIG. 2D depicts a pulse sequence 230 for incorporating oxygen into a mixed rare earth based film from exposure to an oxygen-containing gas in step 232. FIG. 2E depicts a pulse sequence 240 for incorporating nitrogen into a mixed rare earth based film from exposure to a nitrogen-containing gas in step 242. FIG. 2F depicts a pulse sequence 250 for depositing aluminum from an aluminum precursor in step 252.
  • According to the embodiments depicted in FIGS. 2A-2F, each of the pulse sequences 200, 210, 220, 230, 240, and 250 may include a respective purge or evacuation step 204, 214, 224, 234, 244, 254 to remove unreacted gas or byproducts from the process chamber. According to another embodiment of the invention, one or more of the purge or evacuation steps 204, 214, 224, 234, 244, 254 may be omitted.
  • According to embodiments of the invention, different combinations of the pulse sequences depicted in FIGS. 2A-2F may be utilized for depositing different mixed rare earth based materials. Below are exemplary mixed rare earth based materials containing two different rare earth metal elements that may be deposited by the teachings of embodiments of the invention. As those skilled in the art will readily recognize, a wide variety of other mixed rare earth based materials not shown below may be deposited. Therefore, embodiments of the invention are not limited to the materials listed below. For example, other mixed rare earth based materials may contain more than two rare earth elements, for example three, four, or more.
  • Mixed Rare Earth Oxides: LaxLuyOm, YxLuyOm, YxLayOm, NdxLayOm, and LaxPryOm.
  • Mixed Rare Earth Nitrides: LaxLuyNn, YxLuyNn, YxLayNn, NdxLayNn, and LaxPryNn.
  • Mixed Rare Earth Oxynitrides: LaxLuyOmNn, YxLuyOmNn, YxLayOmNn, NdxLayOmNn, and LaxPryOmNn.
  • Mixed Rare Earth Aluminum Oxides: LaxLuyAlaOm, YxLuyAlaOm, YxLayAlaOm, NdxLayAlaOm, and LaxPryAlaOm.
  • Mixed Rare Earth Aluminum Nitrides: LaxLuyAlaNn, YxLuyAlaNn, YxLayAlaNn, NdxLayAlaNn, and LaxPryAlaNn.
  • Mixed Rare Earth Aluminum Oxynitrides: LaxLuyAlaOmNn, YxLuyAlaOmNn, YxLayAlaOmNn, NdxLayAlaOmNn, and LaxPryAlaOmNn.
  • Mixed Rare Earth Oxide Films
  • FIGS. 3A-3D are process flow diagrams for forming mixed rare earth oxide films according embodiments of the invention. The process flows of FIGS. 3A-3D may be performed by the ALD/PEALD systems 1/101 of FIGS. 1, 2, or any other suitable ALD/PEALD systems configured to perform an ALD/PEALD process. In FIG. 3A, the process 300 begins when a substrate, such as a semiconductor substrate, is disposed in a process chamber of an ALD or PEALD system in step 302. In step 304, the substrate is sequentially exposed to a gas pulse containing a first rare earth precursor and a gas pulse of an oxygen-containing gas. In step 306, the substrate is sequentially exposed to a gas pulse of a second rare earth precursor and a gas pulse of an oxygen-containing gas. The oxygen-containing gas can include O2, H2O, H2O2, ozone, or plasma excited oxygen, or a combination thereof, and optionally an inert gas such as Ar.
  • In step 304, the first rare earth precursor reacts with hydroxyl groups on the surface of the heated substrate to form a chemisorbed layer less than a monolayer thick containing the first rare earth metal element. The chemisorbed layer is less than a monolayer thick due to the large size of the precursor compared to the size of the first rare earth metal element. Next, oxygen from the gas pulse of the oxygen-containing gas reacts with the chemisorbed surface layer and regenerates a hydroxylated surface. By repeating this sequential gas exposure, i.e., by alternating the two exposures a plurality of times, it is possible to achieve layer by layer growth of about 1 angstrom (10−10 m) per cycle. As will be described below, according to another embodiment of the invention, the process chamber may be purged or evacuated to removing any unreacted first or second rare earth precursor, byproducts, and oxygen-containing gas from the process chamber between the sequential and alternating gas pulses.
  • According to embodiments of the invention, the first rare earth (RE1) precursor and the second rare earth (RE2) precursor contain different rare earth metal elements for forming mixed rare earth oxide films with a general chemical formula RE1xRE2yOm, where x, y, and m are non-zero numbers. The sequential exposure steps 304 and 306 may be repeated a predetermined number of times, as shown by the process flow arrow 308, until a mixed rare earth oxide film with a desired thickness has been formed. The desired film thickness can depend on the type of semiconductor device or device region being formed. For example, the film thickness can be between about 5 angstroms and about 200 angstroms, or between about 5 angstroms and about 40 angstroms.
  • According to the embodiment depicted in FIG. 3A, the process flow 300 includes a deposition cycle containing sequential and alternating exposures of a pulse of a first rare earth precursor, a pulse of an oxygen-containing gas, a pulse of a second rare earth precursor, and a pulse of an oxygen-containing gas. According to another embodiment of the invention, the order of the sequential and alternating exposure steps 304, 306 may be reversed, i.e., step 306 performed before step 304, to effect film growth and film composition.
  • According to one embodiment of the invention, each of the sequential exposure steps 304 and 306 may be independently repeated a predetermined number of times. In one example, if step 304 is denoted by pulse sequence A and step 306 is denoted by a pulse sequence B, a deposition cycle can include AB where AB may be repeated a predetermined number of times (i.e., ABABAB etc.) until the desired film is formed. As those skilled in the art will readily recognize, a wide variety of other deposition cycles are possible, including, for example, ABBABB, AABAAB, ABBB, AAAB, AABB, AAABB, etc. However, embodiments of the invention are not limited to these deposition cycles, as any combination of A and B may be utilized. Using these different deposition cycles, it is possible to deposit rare earth oxide films containing different amounts and different depth profiles of the first and second rare earth elements in the resulting mixed rare earth oxide films.
  • According to another embodiment of the invention, additional pulse sequences containing additional rare earth precursors containing different rare earth elements may be added to the process flow depicted in FIG. 3A to form mixed rare earth oxide films containing three or more different rare earth metal elements. In other words, additional rare earth elements may be incorporated into the films by adding pulse sequences containing a gas pulse of a rare earth precursor and gas pulse of an oxygen-containing gas for each additional rare earth metal element to be incorporated into the film. In one example, a pulse sequence C containing a gas pulse of a third rare earth precursor and a gas pulse of an oxygen-containing gas may be added. Thus, one deposition cycle can, for example, include ABC, ABBC, ABCC, etc. However, embodiments of the invention are not limited to these deposition cycles, as other combinations of A, B, and C may be utilized.
  • FIG. 3B is a process flow diagram for forming a mixed rare earth oxide film according to another embodiment of the invention. The process flow 320 is similar to the process flow 300 of FIG. 3A, but process flow 320 further includes steps of purging or evacuating the process chamber after each gas pulse. The purging or evacuating steps can aid in removing any unreacted rare earth precursor, byproducts, and oxygen-containing gas from the process chamber between the sequential and alternating rare earth precursor and oxygen-containing gas pulses. As used herein, purging steps may further include evacuating the process chamber during the purging.
  • The process 320 begins when a substrate, such as a semiconductor substrate, is disposed in a process chamber of an ALD or PEALD system in step 322. In step 324, the substrate is exposed to a gas pulse of a first rare earth precursor, and in step 326, the process chamber is purged or evacuated to remove unreacted first rare earth precursor and any byproducts from the process chamber. In step 328, the substrate is exposed to a pulse of an oxygen-containing gas, and in step 330, the process chamber is purged or evacuated to remove any unreacted oxygen-containing gas or byproducts from the process chamber.
  • In step 332, the substrate is exposed to a gas pulse containing a second rare earth precursor, and in step 334, the process chamber is purged or evacuated to remove any unreacted second rare earth precursor and any byproducts from the process chamber. In step 336, the substrate is exposed to a pulse of an oxygen-containing gas, and in step 338, the process chamber is purged or evacuated to remove any unreacted oxygen-containing gas or byproducts from the process chamber. Analogous to the process flow 300 of FIG. 3A, the exposure steps 324-330 of process flow 320 may be repeated a predetermined number of times, as shown by the process flow arrow 340, and exposure steps 332-338 may be repeated a predetermined number of times, as shown by the process flow arrow 342. According to one embodiment of the invention, the combination of exposure steps 324-330 and steps 332-338 may be repeated a predetermined number of times, as shown by the process flow arrow 344.
  • FIG. 3C is a process flow diagram for forming a mixed rare earth oxide film according to yet another embodiment of the invention. As seen in FIG. 3C, the process 350 begins when a substrate, such as a semiconductor substrate, is disposed in a process chamber of an ALD or PEALD system in step 352. In step 354, the substrate is exposed to a gas pulse containing a plurality of, i.e., at least two, rare earth precursors each having a different rare earth metal element. Thus, the gas pulse contains a plurality of different rare earth metal elements to be deposited on the substrate. The relative concentration of each rare earth precursor in the gas pulse may be independently controlled to tailor the composition of the resulting mixed rare earth oxide film. In step 356, the substrate is exposed to a pulse of an oxygen-containing gas. According to one embodiment of the invention, the sequential exposure steps 354 and 356 may be repeated a predetermined number of times as depicted by the process flow arrow 358.
  • FIG. 3D is a process flow diagram for forming a mixed rare earth oxide film according to still another embodiment of the invention. The process flow 360 is similar to the process flow 350 of FIG. 3C but it also includes steps of purging or evacuating the process chamber after each gas pulse. The process 360 begins when a substrate, such as a semiconductor substrate, is disposed in a process chamber of an ALD or PEALD system in step 362.
  • In step 364, the substrate is exposed to a gas pulse containing a plurality of rare earth precursors each having a different rare earth metal element, and in step 366, the process chamber is purged or evacuated to remove unreacted rare earth precursor and any byproducts from the process chamber. In step 368, the substrate is exposed to a pulse of an oxygen-containing gas, and in step 370, the process chamber is purged or evacuated to remove any excess oxygen-containing gas or byproducts from the process chamber. According to one embodiment of the invention, the sequential exposure steps 364-370 may be repeated a predetermined number of times, as shown by the process flow arrow 372.
  • Mixed Rare Earth Nitride Films
  • FIGS. 4A-4B are process flow diagrams for forming mixed rare earth nitride films according embodiments of the invention. The process flows of FIG. 4A-4B may be performed by the ALD/PEALD systems 1/101 of FIGS. 1, 2, or any other suitable ALD/PEALD systems configured to perform an ALD/PEALD process.
  • In FIG. 4A, the process 400 begins when a substrate, such as a semiconductor substrate, is disposed in a process chamber of an ALD or PEALD system in step 402. In step 404, the substrate is sequentially exposed to a gas pulse containing a first rare earth precursor and a gas pulse of a nitrogen-containing gas. In step 406, the substrate is sequentially exposed to a gas pulse of a second rare earth precursor and a gas pulse of a nitrogen-containing gas. The nitrogen-containing gas can contain NH3, N2H4, plasma excited nitrogen, or a combination thereof, and optionally an inert gas such as Ar.
  • According to embodiments of the invention, the first rare earth (RE1) precursor and the second rare earth (RE2) precursor contain different rare earth metal elements for forming mixed rare earth nitride films with a general chemical formula RE1xRE2yNn, where x, y, and n are non-zero numbers. The sequential exposure steps 404 and 406 may be repeated a predetermined number of times, as shown by the process flow arrow 408, until a mixed rare earth nitride film with a desired thickness has been formed. The desired film thickness can depend on the type of semiconductor device or device region being formed. For example, the film thickness can be between about 5 angstroms and about 200 angstroms, or between about 5 angstroms and about 40 angstroms.
  • According to the embodiment depicted in FIG. 4A, the process flow 400 includes a deposition cycle containing sequential and alternating exposures of a pulse of a first rare earth precursor, a pulse of a nitrogen-containing gas, a pulse of a second rare earth precursor, and a pulse of a nitrogen-containing gas. According to one embodiment of the invention, the process flow 400 may contain steps 404, 406, 408 in any order. According to another embodiment of the invention, the order of the sequential and alternating exposure steps 404 and 406 of the deposition cycle be reversed, i.e., step 406 performed before steps 404 to effect film growth and film composition.
  • According to one embodiment of the invention, each of the sequential exposure steps 404 and 406 may be independently repeated a predetermined number of times. In one example, if step 404 is denoted by pulse sequence A and step 406 is denoted by a pulse sequence B, a deposition cycle can include AB where AB may be repeated a predetermined number of times (i.e., ABABAB etc.) until the desired film is formed. As those skilled in the art will readily recognize, a wide variety of other deposition cycles are possible including, for example, ABBABB, AABAAB, ABBB, AAAB, AABB, AAABB, etc. However, embodiments of the invention are not limited to these deposition cycles, as other combinations of A and B may be utilized. Using these different deposition cycles, it is possible to deposit rare earth nitride films containing different amounts and different depth profiles of the first and second rare earth elements in the resulting mixed rare earth nitride films.
  • According to another embodiment of the invention, additional pulse sequences containing additional rare earth precursors containing different rare earth elements may be added to the process flow depicted in FIG. 4A to form mixed rare earth nitride films containing three or more different rare earth metal elements. In other words, additional rare earth elements may be incorporated into the films by adding pulse sequences containing sequential exposures of a gas pulse of a rare earth precursor and a gas pulse of a nitrogen-containing gas for each additional rare earth metal element to be incorporated into the film. In one example, a pulse sequence C containing a gas pulse of a third rare earth precursor and a gas pulse of a nitrogen-containing gas may be added. Thus, one deposition cycle can, for example, include ABC, ABBC, ABCC, etc. However, embodiments of the invention are not limited to these deposition cycles, as other combinations of A, B, and C may be utilized.
  • According to another embodiment of the invention, the process flow 400 may further include steps of purging or evacuating the process chamber after each gas pulse, analogous to the process flow 320 of FIG. 3B. The purging or evacuating steps can aid in removing any unreacted rare earth precursor, byproducts, and nitrogen-containing gas from the process chamber between the alternating rare earth precursor and nitrogen-containing gas pulses.
  • FIG. 4B is a process flow diagram for forming a mixed rare earth nitride film according to yet another embodiment of the invention. As seen in FIG. 4B, the process 410 begins when a substrate, such as a semiconductor substrate, is disposed in a process chamber of an ALD or PEALD system in step 412.
  • In step 414, the substrate is exposed to a gas pulse containing a plurality of rare earth precursors each having a different rare earth metal element. Thus, the gas pulse contains a plurality of different rare earth metal elements to be deposited on the substrate. The relative concentration of each rare earth precursor in the gas pulse may be independently controlled to tailor the composition of the resulting mixed rare earth nitride film. In step 416, the substrate is exposed to a pulse of a nitrogen-containing gas. According to one embodiment of the invention, the sequential exposure steps 414 and 416 may be repeated a predetermined number of times as depicted by the process flow arrow 418.
  • According to another embodiment of the invention, the process flow 410 may further include steps of purging or evacuating the process chamber after each gas pulse, analogous to the process flow 360 of FIG. 3D. The purging or evacuating steps can aid in removing any unreacted rare earth precursor, byproducts, and nitrogen-containing gas from the process chamber between the alternating gas pulses.
  • Mixed Rare Earth Oxynitride Films
  • FIGS. 5A-5B are process flow diagrams for forming mixed rare earth oxynitride films according embodiments of the invention. The process flows of FIG. 5A-5B may be performed by the ALD/PEALD systems 1/101 of FIGS. 1, 2, or any other suitable ALD/PEALD systems configured to perform an ALD/PEALD process.
  • In FIG. 5A, the process 500 begins when a substrate, such as a semiconductor substrate, is disposed in a process chamber of an ALD or PEALD system in step 502. In step 504, the substrate is sequentially exposed to a gas pulse containing a first rare earth precursor and a gas pulse of an oxygen-containing gas, a nitrogen-containing gas, or an oxygen and nitrogen-containing gas. In step 506, the substrate is sequentially exposed to a gas pulse of a second rare earth precursor and a gas pulse of an oxygen-containing gas, a nitrogen-containing gas, or an oxygen and nitrogen-containing gas. The oxygen-containing gas can include O2, H2O, H2O2, NO, NO2, N2O, ozone, or plasma excited oxygen, or a combination thereof, and optionally an inert gas such as Ar. The nitrogen-containing gas can contain NH3, N2H4, NO, NO2, N2O, plasma excited nitrogen, or a combination thereof, and optionally an inert gas such as Ar. In order to incorporate oxygen and nitrogen into the film, the combination of steps 504 and 506 should include at least one gas pulse containing oxygen and at least one gas pulse containing nitrogen. Of course, gases that include NO, NO2, or N2O, contain both oxygen and nitrogen.
  • According to embodiments of the invention, the first rare earth (RE1) precursor and the second rare earth (RE2) precursors contain different rare earth metal elements for forming mixed rare earth oxynitride films with a general chemical formula RE1xRE2yOmNn, where x, y, m, and n are non-zero numbers. The sequential exposure steps 504 and 506 may be repeated a predetermined number of times, as shown by the process flow arrow 508, until a mixed rare earth oxynitride film with a desired thickness has been formed. The desired film thickness can depend on the type of semiconductor device or device region being formed. For example, the film thickness can be between about 5 angstroms and about 200 angstroms, or between about 5 angstroms and about 40 angstroms.
  • According to the embodiment depicted in FIG. 5A, the process flow 500 includes a deposition cycle containing sequential and alternating exposures of a pulse of a first rare earth precursor, a pulse of an oxygen-, nitrogen- or oxygen and nitrogen-containing gas, a pulse of a second rare earth precursor, and a pulse of an oxygen-, nitrogen- or oxygen and nitrogen-containing gas. According to another embodiment of the invention, the order of the sequential and alternating exposure steps 504 and 506 may be reversed, i.e., step 506 performed before step 504, to effect film growth and film composition
  • According to one embodiment of the invention, each of the sequential exposure steps 504 and 506 may be independently repeated a predetermined number of times. In one example, if step 504 is denoted by pulse sequence A and step 506 is denoted by a pulse sequence B, a deposition cycle can include AB where AB may be repeated a predetermined number of times (i.e., ABABAB etc.) until the desired film is formed. As those skilled in the art will readily recognize, a wide variety of other deposition cycles are possible including, for example, ABBABB, AABAAB, ABBB, AAAB, AABB, AAABB, etc. However, embodiments of the invention are not limited to these deposition cycles, as other combinations of A and B may be utilized. Using these different deposition cycles, it is possible to deposit rare earth oxynitride films containing different amounts and different depth profiles of the first and second rare earth metal elements, oxygen, and nitrogen in the resulting mixed rare earth oxynitride film.
  • According to another embodiment of the invention, additional pulse sequences containing additional rare earth precursors containing different rare earth metal elements may be added to the process flow depicted in FIG. 5A to form mixed rare earth oxynitride films containing three or more different rare earth metal elements. In other words, additional rare earth elements may be incorporated into the films by adding pulse sequences containing a gas pulse of a rare earth metal precursor and a gas pulse of an oxygen-, nitrogen- or oxygen and nitrogen-containing gas for each additional rare earth metal element to be incorporated into the film. In one example, a pulse sequence C containing a gas pulse of a third rare earth precursor and a gas pulse of an oxygen-, nitrogen- or oxygen and nitrogen-containing gas may be added. Thus, one deposition cycle can, for example, include ABC, ABBC, ABCC, etc. However, embodiments of the invention are not limited to these deposition cycles, as other combinations of A, B, and C may be utilized.
  • According to another embodiment of the invention, the process flow 500 may further include steps of purging or evacuating the process chamber after each gas pulse, analogous to the process flow 320 of FIG. 3B. The purging or evacuating steps can aid in removing any unreacted rare earth precursor, byproducts, oxygen-containing gas, and nitrogen-containing gas from the process chamber between the alternating rare earth precursor, oxygen, and nitrogen-containing gas pulses.
  • FIG. 5B is a process flow diagram for forming a mixed rare earth oxynitride film according to yet another embodiment of the invention. As seen in FIG. 5B, the process 510 begins when a substrate, such as a semiconductor substrate, is disposed in a process chamber of an ALD or PEALD system in step 512.
  • In step 514, the substrate is exposed to a gas pulse containing a plurality of rare earth precursors each having a different rare earth metal element. Thus, the gas pulse contains a plurality of, i.e., at least two, different rare earth metal elements to be deposited on the substrate. The relative concentration of each rare earth precursor may be independently controlled to tailor the composition of the resulting mixed rare earth nitride film. In step 516, the substrate is exposed to a pulse of an oxygen-containing gas, a nitrogen-containing gas, or an oxygen and nitrogen-containing gas. According to one embodiment of the invention, the sequential exposure steps 514 and 516 may be repeated a predetermined number of times as depicted by the process flow arrow 518. In order to incorporate oxygen and nitrogen into the film, the combination of steps 514 and 516 should include at least one gas pulse containing oxygen and at least one gas pulse containing nitrogen.
  • According to another embodiment of the invention, the process flow 510 may further include steps of purging or evacuating the process chamber after each gas pulse, analogous to the process flow 360 of FIG. 3D. The purging or evacuating steps can aid in removing any unreacted rare earth precursor, byproducts, oxygen-containing gas, or nitrogen-containing gas from the process chamber between the alternating gas pulses.
  • Mixed Rare Earth Aluminate Films
  • FIGS. 6A-6B are process flow diagrams for forming mixed rare earth aluminate films according embodiments of the invention. The process flows of FIG. 6A-6B may be performed by the ALD/PEALD systems 1/101 of FIGS. 1, 2, or any other suitable ALD/PEALD systems configured to perform an ALD/PEALD process.
  • In FIG. 6A, the process 600 begins when a substrate, such as a semiconductor substrate, is disposed in a process chamber of an ALD or PEALD system in step 602. In step 604, the substrate is sequentially exposed to a gas pulse of a first rare earth precursor and a gas pulse of an oxygen-containing gas. In step 606, the substrate is sequentially exposed to a gas pulse of a second rare earth precursor and a gas pulse of an oxygen-containing gas. In step 608, the substrate is sequentially exposed to gas pulse of an aluminum precursor and a gas pulse of an oxygen-containing gas. The oxygen-containing gas can include O2, H2O, H2O2, ozone, or plasma excited oxygen, or a combination thereof, and optionally an inert gas such as Ar.
  • According to embodiments of the invention, the first rare earth (RE1) precursor and second rare earth (RE2) precursors contain different rare earth metal elements for forming mixed rare earth aluminate films with a general chemical formula RE1xRE2yAlaOm, where x, y, a, and m are non-zero numbers. The sequential exposure steps 604, 606, 608 may be repeated a predetermined number of times, as shown by the process flow arrow 614, until a mixed rare earth aluminate film with a desired thickness has been formed. The desired film thickness can depend on the type of semiconductor device or device region being formed. For example, the film thickness can be between about 5 angstroms and about 200 angstroms, or between about 5 angstroms and about 40 angstroms.
  • According to the embodiment depicted in FIG. 6A, the process flow includes a deposition cycle containing sequential and alternating exposures of a pulse of a first rare earth precursor, a pulse of an oxygen-containing gas, a pulse of a second rare earth precursor, a pulse of an oxygen-containing gas, a pulse of an aluminum precursor, and a pulse of an oxygen-containing gas. According to another embodiment of the invention, the order of the sequential and alternating exposure steps 604, 606, 608 of the deposition cycle can be changed to effect film growth and film composition.
  • According to one embodiment of the invention, each of the sequential exposure steps 604, 606, 608 may be independently repeated a predetermined number of times. In one example, if step 604 is denoted by pulse sequence A, step 606 is denoted by a pulse sequence B, and step 608 is denoted by pulse sequence X, a deposition cycle can include ABX where ABX may be repeated a predetermined number of times (i.e., ABXABXABX etc.) until the desired film is formed. As those skilled in the art will readily recognize, a wide variety of other deposition cycles are possible including, for example, AABXAABX, ABBXABBX, ABXXABXX, AABXABBX, etc. However, embodiments of the invention are not limited to these deposition cycles, as other combinations of A, B, and X may be utilized. Using these different deposition cycles, it is possible to deposit rare earth aluminate films containing different amounts and different depth profiles of the first and second rare earth elements and aluminum in the resulting mixed rare earth aluminate film.
  • According to another embodiment of the invention, additional pulse sequences containing additional rare earth precursors containing different rare earth metal elements may be added to the process flow depicted in FIG. 6A to form mixed rare earth aluminate films containing three or more different rare earth metal elements. In other words, additional rare earth elements may be incorporated into the films by adding pulse sequences containing a gas pulse of a rare earth precursor and gas pulse of an oxygen-containing gas for each additional rare earth metal element to be incorporated into the film. In one example, a pulse sequence C containing a gas pulse of a third rare earth precursor and a gas pulse of an oxygen-containing gas may be added. Thus, one deposition cycle can, for example, include ABCX, ABBCX, ABCCX, etc. However, embodiments of the invention are not limited to these deposition cycles, as other combinations of A, B, C, and X may be utilized.
  • According to another embodiment of the invention, the process flow 600 may further include steps of purging or evacuating the process chamber after each gas pulse. The purging or evacuating steps can aid in removing any unreacted rare earth precursor, byproducts, aluminum precursor, and oxygen-containing gas from the process chamber between the alternating pulses of rare earth precursor, oxygen-containing gas, and aluminum-containing gas.
  • The exposure steps 604 and 606 may be repeated in sequence a predetermined number of times, as shown by the process flow arrow 612, and exposure steps 606 and 608 may be repeated in sequence a predetermined number of times, as shown by the process flow arrow 610. Furthermore, the exposure steps 604, 606, 608 may be repeated a predetermined number of times as shown by the process arrow 614.
  • FIG. 6B is a process flow diagram for forming a mixed rare earth aluminate film according to yet another embodiment of the invention. As seen in FIG. 6B, the process 620 begins when a substrate, such as a semiconductor substrate, is disposed in a process chamber of an ALD or PEALD system in step 622.
  • In step 624, the substrate is sequentially exposed to a gas pulse containing a plurality of rare earth precursors each having a different rare earth metal element and a gas pulse with an oxygen-containing gas. The relative concentration of each rare earth precursor may be independently controlled to tailor the composition of the resulting mixed rare earth aluminate film. In step 626, the substrate is sequentially exposed to a gas pulse of an aluminum precursor and gas pulse of an oxygen-containing gas. According to one embodiment of the invention, the sequential exposure steps 624 and 626 may be repeated a predetermined number of times as depicted by the process flow arrow 628. Furthermore, each of the exposure steps 624 and 626 may be independently repeated a predetermined number of times.
  • According to another embodiment of the invention, the process flow 620 may further include steps of purging or evacuating the process chamber after each gas pulse. The purging or evacuating steps can aid in removing any unreacted rare earth precursor, byproducts, oxygen-containing gas, and aluminum precursor from the process chamber.
  • Mixed Rare Earth Aluminum Nitride Films
  • FIGS. 7A-7B are process flow diagrams for forming mixed rare earth aluminum nitride films according embodiments of the invention. The process flows of FIG. 7A-7B may be performed by the ALD/PEALD systems 1/101 of FIGS. 1, 2, or any other suitable ALD/PEALD systems configured to perform an ALD/PEALD process.
  • In FIG. 7A, the process 700 begins when a substrate, such as a semiconductor substrate, is disposed in a process chamber of an ALD or PEALD system in step 702. In step 704, the substrate is sequentially exposed to a gas pulse containing a first rare earth precursor and a gas pulse of a nitrogen-containing gas. In step 706, the substrate is sequentially exposed to a gas pulse of a second rare earth precursor and a gas pulse of a nitrogen-containing gas. In step 708, the substrate is sequentially exposed to gas pulse of an aluminum precursor and a gas pulse of a nitrogen-containing gas. The nitrogen-containing gas can contain NH3, N2H4, plasma excited nitrogen, or a combination thereof, and optionally an inert gas such as Ar.
  • According to embodiments of the invention, the first rare earth (RE1) precursor and second rare earth (RE2) precursors contain different rare earth metal elements for forming mixed rare earth aluminum nitride films with a general chemical formula RE1xRE2yAlaNn, where x, y, a, and n are non-zero numbers. The sequential exposure steps 704 and 706 may be repeated a predetermined number of times, as shown by the process flow arrow 712, until a mixed rare earth aluminum nitride film with a desired thickness has been formed. The desired film thickness can depend on the type of semiconductor device or device region being formed. For example, the film thickness can be between about 5 angstroms and about 200 angstroms, or between about 5 angstroms and about 40 angstroms.
  • According to the embodiment depicted in FIG. 7A, the process flow includes a deposition cycle containing sequential and alternating exposures of a pulse of a first rare earth precursor, a pulse of a nitrogen-containing gas, a pulse of a second rare earth precursor, a pulse of a nitrogen-containing gas, a pulse of an aluminum precursor, and a pulse of a nitrogen-containing gas. According to another embodiment of the invention, the order of the sequential and alternating exposure steps 704, 706, 708 of the deposition cycle can be changed to effect film growth and film composition.
  • According to one embodiment of the invention, each of the sequential exposure steps 704, 706, 708 may be independently repeated a predetermined number of times. In one example, if step 704 is denoted by pulse sequence A, step 706 is denoted by a pulse sequence B, and step 708 is denoted by pulse sequence X, a deposition cycle can include ABX where ABX may be repeated a predetermined number of times (i.e., ABXABXABX etc.) until the desired film is formed. As those skilled in the art will readily recognize, a wide variety of other deposition cycles are possible including, for example, AABXAABX, ABBXABBX, ABXXABXX, AABXABBX, etc. However, embodiments of the invention are not limited to these deposition cycles, as other combinations of A, B, and X may be utilized. Using these different deposition cycles, it is possible to deposit rare earth aluminum nitride films containing different amounts and different depth profiles of the first and second rare earth elements and aluminum in the resulting mixed rare earth aluminum nitride film.
  • According to another embodiment of the invention, additional pulse sequences containing additional rare earth precursors containing different rare earth elements may be added to the process flow depicted in FIG. 7A to form mixed rare earth aluminum nitride films containing a plurality of different rare earth metal elements. In other words, additional rare earth elements may be incorporated into the films by including additional pulse sequences containing sequential exposures of a gas pulse of a rare earth metal precursor and a gas pulse of a nitrogen-containing gas to each deposition cycle for each desired rare earth element. In one example, a pulse sequence C containing sequential pulses of a third rare earth precursor and a nitrogen-containing gas may be added. Thus, one deposition cycle can, for example, include ABCX, ABBCX, ABCCX, ABCXX, etc. However, embodiments of the invention are not limited to these deposition cycles, as other combinations of A, B, C, and X may be utilized.
  • According to another embodiment of the invention, additional pulse sequences containing additional rare earth precursors containing different rare earth metal elements may be added to the process flow depicted in FIG. 7A to form mixed rare earth aluminum nitride films containing three or more different rare earth metal elements. In other words, additional rare earth elements may be incorporated into the films by adding pulse sequences containing a gas pulse of a rare earth precursor and gas pulse of a nitrogen-containing gas for each additional rare earth metal element to be incorporated into the film. In one example, a pulse sequence C containing a gas pulse of a third rare earth precursor and a gas pulse of a nitrogen-containing gas may be added. Thus, one deposition cycle can, for example, include ABCX, ABBCX, ABCCX, etc. However, embodiments of the invention are not limited to these deposition cycles, as other combinations of A, B, C, and X may be utilized.
  • According to another embodiment of the invention, the process flow 700 may further include steps of purging or evacuating the process chamber after each gas pulse. The purging or evacuating steps can aid in removing any unreacted rare earth precursor, byproducts, aluminum precursor, and nitrogen-containing gas from the process chamber between the alternating pulses of rare earth precursor, nitrogen-containing gas, and aluminum-containing gas.
  • The exposure steps 704 and 706 may be repeated in sequence a predetermined number of times, as shown by the process flow arrow 712, and exposure steps 706 and 708 may be repeated in sequence a predetermined number of times, as shown by the process flow arrow 710. Furthermore, the exposure steps 704, 706, 708 may be repeated a predetermined number of times as shown by the process arrow 714.
  • FIG. 7B is a process flow diagram for forming a mixed rare earth aluminum nitride film according to yet another embodiment of the invention. As seen in FIG. 7B, the process 720 begins when a substrate, such as a semiconductor substrate, is disposed in a process chamber of an ALD or PEALD system in step 722.
  • In step 724, the substrate is exposed to a gas pulse containing a plurality of rare earth precursors each having a different rare earth metal element and a gas pulse with a nitrogen-containing gas. The relative concentration of each rare earth precursor may be independently controlled to tailor the composition of the resulting mixed rare earth aluminum nitride film. In step 726, the substrate is sequentially exposed to a pulse of an aluminum precursor and a gas pulse of a nitrogen-containing gas. According to one embodiment of the invention, the sequential exposure steps 724 and 726 may be repeated a predetermined number of times as depicted by the process flow arrow 728.
  • According to another embodiment of the invention, the process flow 720 may further include steps of purging or evacuating the process chamber after each gas pulse. The purging or evacuating steps can aid in removing any unreacted rare earth precursor, byproducts, nitrogen-containing gas, and aluminum precursor from the process chamber.
  • Mixed Rare Earth Aluminum Oxynitride Films
  • FIGS. 8A-8B are process flow diagrams for forming mixed rare earth aluminum oxynitride films according embodiments of the invention. The process flows of FIG. 8A-8B may be performed by the ALD/PEALD systems 1/101 of FIGS. 1, 2, or any other suitable ALD/PEALD systems configured to perform an ALD/PEALD process.
  • In FIG. 8A, the process 800 begins when a substrate, such as a semiconductor substrate, is disposed in a process chamber of an ALD or PEALD system in step 802. In step 804, the substrate is sequentially exposed to a gas pulse containing a first rare earth precursor and a gas pulse of an oxygen-containing gas, a nitrogen-containing gas, or an oxygen and nitrogen-containing gas. In step 806, the substrate is sequentially exposed to a gas pulse of a second rare earth precursor and gas pulse of an oxygen-containing gas, a nitrogen-containing gas, or an oxygen and nitrogen-containing gas. In step 808, the substrate is sequentially exposed to gas pulse of an aluminum precursor and a gas pulse of an oxygen-containing gas, a nitrogen-containing gas, or an oxygen and nitrogen-containing gas. The oxygen-containing gas can include O2, H2O, H2O2, NO, NO2, N2O, ozone, or plasma excited oxygen, or a combination thereof, and optionally an inert gas such as Ar. The nitrogen-containing gas can contain NH3, N2H4, NO, NO2, N2O, plasma excited nitrogen, or a combination thereof, and optionally an inert gas such as Ar. In order to incorporate oxygen and nitrogen into the film, the combination of steps 804 and 806 should include at least one gas pulse containing oxygen and at least one gas pulse containing nitrogen. Of course, gases that include NO, NO2, or N2O, contain both oxygen and nitrogen.
  • According to embodiments of the invention, the first rare earth (RE1) precursor and second rare earth (RE2) precursors contain different rare earth metal elements for forming mixed rare earth aluminum oxynitride films with a general chemical formula RE1xRE2yAlaOmNn, where x, y, a, m, and n are non-zero numbers. The sequential exposure steps 804, 806, and 808 may be repeated a predetermined number of times, as shown by the process flow arrow 814, until a mixed rare earth aluminum oxynitride film with a desired thickness has been formed. The desired film thickness can depend on the type of semiconductor device or device region being formed. For example, the film thickness can be between about 5 angstroms and about 200 angstroms, or between about 5 angstroms and about 40 angstroms.
  • According to the embodiment depicted in FIG. 8A, the process flow includes a deposition cycle containing sequential and alternating exposures of a pulse of a first rare earth precursor, gas pulse of an oxygen-, nitrogen- or oxygen and nitrogen-containing gas, a pulse of a second rare earth precursor, a gas pulse of an oxygen-, nitrogen- or oxygen and nitrogen-containing gas, a pulse of an aluminum precursor, and a gas pulse of an oxygen-, nitrogen- or oxygen and nitrogen-containing gas. According to another embodiment of the invention, the order of the sequential and alternating exposure steps 804, 806, 808 of the deposition cycle can be changed to effect film growth and film composition.
  • According to one embodiment of the invention, each of the sequential exposure steps 804, 806, 808 may be independently repeated a predetermined number of times. In one example, if step 804 is denoted by pulse sequence A, step 806 is denoted by a pulse sequence B, and step 808 is denoted by pulse sequence X, a deposition cycle can include ABX where ABX may be repeated a predetermined number of times (i.e., ABXABXABX etc.) until the desired film is formed. As those skilled in the art will readily recognize, a wide variety of other deposition cycles are possible including, for example, AABXAABX, ABBXABBX, ABXXABXX, AABXABBX, etc. However, embodiments of the invention are not limited to these deposition cycles, as other combinations of A, B, and X may be utilized. Using these different deposition cycles, it is possible to deposit rare earth aluminum oxynitride films containing different amounts and different depth profiles of the first and second rare earth elements, aluminum, nitrogen, and oxygen in the resulting mixed rare earth aluminum oxynitride film.
  • According to another embodiment of the invention, additional pulse sequences containing additional rare earth precursors containing different rare earth elements may be added to the process flow depicted in FIG. 8A to form mixed rare earth aluminum oxynitride films containing three or more different rare earth metal elements. In other words, additional rare earth elements may be incorporated into the films by adding pulse sequences containing sequential exposures of a gas pulse of a rare earth metal precursor and a gas pulse of an oxygen-, nitrogen- or oxygen and nitrogen-containing gas for each additional rare earth metal element to be incorporated into the film. In one example, a pulse sequence C containing a gas pulse of a third rare earth precursor and an oxygen-, nitrogen- or oxygen and nitrogen-containing gas may be added. Thus, one deposition cycle can, for example, include ABCX, ABBCX, ABCCX, ABCXX, etc. However, embodiments of the invention are not limited to these deposition cycles, as other combinations of A, B, C, and X may be utilized. According to another embodiment of the invention, the process flow 800 may further include steps of purging or evacuating the process chamber after each gas pulse. The purging or evacuating steps can aid in removing any unreacted rare earth precursor, byproducts, aluminum precursor, oxygen-containing gas, and nitrogen-containing gas from the process chamber between the alternating pulses of rare earth precursor, oxygen-containing, nitrogen-containing gas, and aluminum-containing gas.
  • The exposure steps 804 and 806 may be repeated in sequence a predetermined number of times, as shown by the process flow arrow 812, and exposure steps 806 and 808 may be repeated in sequence a predetermined number of times, as shown by the process flow arrow 810. Furthermore, the exposure steps 804, 806, 808 may be repeated a predetermined number of times as shown by the process arrow 814.
  • FIG. 8B is a process flow diagram for forming a mixed rare earth aluminum oxynitride film according to yet another embodiment of the invention. As seen in FIG. 8B, the process 820 begins when a substrate, such as a semiconductor substrate, is disposed in a process chamber of an ALD or PEALD system in step 822.
  • In step 824, the substrate is simultaneously exposed to a gas pulse containing a plurality of rare earth precursors each having a different rare earth metal element and a gas pulse with an oxygen-, nitrogen- or oxygen and nitrogen-containing gas. The relative concentration of each rare earth precursor may be independently controlled to tailor the composition of the resulting mixed rare earth oxynitride film. In step 826, the substrate is sequentially exposed to a gas pulse of an aluminum precursor and a gas pulse of an oxygen-, nitrogen- or oxygen and nitrogen-containing gas. According to one embodiment of the invention, the sequential exposure steps 824 and 826 may be repeated a predetermined number of times as depicted by the process flow arrow 828.
  • According to another embodiment of the invention, the process flow 820 may further include steps of purging or evacuating the process chamber after each gas pulse. The purging or evacuating steps can aid in removing any unreacted rare earth precursor, byproducts, oxygen-containing gas, nitrogen-containing gas, and aluminum precursor from the process chamber.
  • FIGS. 9A and 9B schematically show cross-sectional views of semiconductor devices containing mixed rare earth based materials according to embodiments of the invention. In the schematic cross-sectional views, source and drain regions of the field effect transistors (FET) 90 and 91 are not shown. The FET 90 in FIG. 9A contains a semiconductor substrate 92, a mixed rare earth based film 96 that serves as a gate dielectric, and a conductive gate electrode film 98 over the film 96. The mixed rare earth based film 96 can contain plurality of, i.e., at least two, different rare earth metal elements selected from Y, Lu, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, and Yb. The mixed rare earth based film 96 can be a mixed rare earth oxide film, a mixed rare earth nitride film, a mixed rare earth oxynitride film, a mixed rare earth aluminate film, mixed rare earth aluminum nitride film, or a mixed rare earth aluminum oxynitride film. A thickness of the mixed rare earth based film 96 can be between about 5 and about 200 angstroms, or between about 5 and about 40 angstroms.
  • The FET 90 further contains a gate electrode film 98 that can, for example, be between about 5 nm and about 10 nm thick and can contain poly-Si, a metal, or a metal-containing material, including W, WN, WSix, Al, Mo, Ta, TaN, TaSiN, HfN, HfSiN, Ti, TiN, TiSiN, Mo, MoN, Re, Pt, or Ru.
  • The FET 91 in FIG. 9B is similar to the FET 90 in FIG. 9A but further contains an interface layer 94 between the mixed rare earth based film 96 and the substrate 92. The interface layer 94 can, for example, be an oxide layer, a nitride layer, or an oxynitride layer.
  • According to other embodiments of the invention, the semiconductor devices can contain capacitors containing the mixed rare earth based materials.
  • Although only certain exemplary embodiments of inventions have been described in detail above, those skilled in the art will readily appreciate that many modifications are possible in the exemplary embodiments without materially departing from the novel teachings and advantages of this invention. Accordingly, all such modifications are intended to be included within the scope of this invention.

Claims (25)

1. A method for forming a mixed rare earth oxide or mixed rare earth aluminate film, comprising:
disposing a substrate in a process chamber; and
exposing the substrate to a gas pulse sequence to deposit a mixed rare earth oxide film or a mixed rare earth aluminate film with a desired thickness, wherein the gas pulse sequence includes, in any order:
a) sequentially first, exposing the substrate to a gas pulse comprising a first rare earth precursor, and second, exposing the substrate to a gas pulse comprising an oxygen-containing gas;
b) sequentially first, exposing the substrate to a gas pulse comprising a second rare earth precursor, and second, exposing the substrate to a gas pulse comprising the oxygen-containing gas, wherein the first and second rare earth precursors each contain different rare earth metal elements of differing and mismatched atomic sizes; and
c) optionally, sequentially first, exposing the substrate to a gas pulse containing an aluminum precursor and second, exposing the substrate to a gas pulse containing the oxygen-containing gas,
wherein each of a), b) and optionally c) are optionally repeated any number of desired times, wherein the gas pulse sequence including a), b) and optionally c) is optionally repeated, in any order, any number of desired times to achieve the desired thickness, and wherein the different rare earth metal elements are in solid solution in the mixed rare earth oxide or mixed rare earth aluminate film, and wherein the mixed rare earth oxide or mixed rare earth aluminate film has a dielectric constant that is greater than the dielectric constant of an oxide or aluminate film having only one of the different rare earth metal elements present in the mixed rare earth oxide or mixed rare earth aluminate film.
2. The method of claim 1, wherein the rare earth metal elements in the first and second rare earth precursors are selected from Y, Lu, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, and Yb.
3. The method of claim 1, wherein the oxygen-containing gas comprises O2, H2O, H2O2, ozone, or plasma excited oxygen, or a combination of two or more thereof.
4. The method of claim 1, wherein a) comprises:
alternating the first and second exposing steps a plurality of times.
5. The method of claim 1, wherein b) comprises:
alternating the first and second exposing steps a plurality of times.
6. The method of claim 1, wherein c) comprises:
alternating the first and second exposing steps a plurality of times
7. The method of claim 1, further comprising purging or evacuating the process chamber after at least one of a), b) or c).
8. The method of claim 1, further comprising purging or evacuating the process chamber between the first and second exposing steps in at least one of a), b) or c).
9. The method of claim 1, further comprising:
performing one or more additional exposure steps, wherein each additional exposure step comprises sequentially first, exposing the substrate to a gas pulse comprising an additional rare earth precursor, and second, exposing the substrate to a gas pulse comprising the oxygen-containing gas, wherein each additional rare earth precursor contains a different rare earth metal element than the rare earth metal elements in the first and second rare earth precursors.
10. The method of claim 1, wherein the mixed rare earth oxide or mixed rare earth aluminate film has a thickness between 5 and 200 angstroms.
11. The method of claim 1, wherein the first exposing steps in a) and b) are performed concurrently and the second exposing steps in a) and b) are performed concurrently, whereby to sequentially first expose the substrate to a gas pulse comprising both the first and second rare earth precursors, and second expose the substrate to a gas pulse comprising the oxygen-containing gas.
12. A method for forming a mixed rare earth oxide film, comprising:
a) disposing a substrate in a process chamber;
b) exposing the substrate to a gas pulse comprising a plurality of rare earth precursors each containing at least two different rare earth metal elements;
c) exposing the substrate to a gas pulse of an oxygen-containing gas; and
d) repeating steps b) and c) a desired number of times to deposit a mixed rare earth oxide film with a desired thickness, wherein the at least two different rare earth metal elements are of differing and mismatched atomic size and are in solid solution in the mixed rare earth oxide film, and wherein the mixed rare earth oxide film has a dielectric constant that is greater than the dielectric constant of an oxide film having only one of the different rare earth metal elements present in the mixed rare earth oxide film.
13. The method of claim 12, wherein the at least two rare earth metal elements are selected from Y, Lu, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb.
14. The method of claim 12, wherein the oxygen-containing gas comprises O2, H2O, H2O2, ozone, or plasma excited oxygen, or a combination of two or more thereof.
15. The method of claim 12, further comprising purging or evacuating the process chamber after at least one of the exposing steps.
16. The method of claim 12, wherein the mixed rare earth oxide film has a thickness between 5 and 200 angstrom.
17. A method for forming a mixed rare earth aluminate film, comprising:
a) disposing a substrate in a process chamber;
b) sequentially first, exposing the substrate to a gas pulse comprising a plurality of rare earth precursors each containing at least two different rare earth metal elements, and second, exposing the substrate to a gas pulse of an oxygen-containing gas;
c) sequentially first, exposing the substrate to a gas pulse containing an aluminum precursor and second, exposing the substrate to a gas pulse containing an oxygen-containing gas; and
d) repeating steps b)-c) a desired number of times to deposit a mixed rare earth aluminate film with a desired thickness, wherein the at least two of the different rare earth metal elements are of differing and mismatched atomic size and are in solid solution in the mixed rare earth aluminate film, and wherein the mixed rare earth aluminate film has a dielectric constant that is greater than the dielectric constant of an aluminate film having only one of the different rare earth metal elements present in the mixed rare earth aluminate film.
18. The method of claim 17, wherein the at least two rare earth metal elements are selected from Y, Lu, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, and Yb.
19. The method of claim 17, wherein the oxygen-containing gas comprises O2, H2O, H2O2, ozone or plasma excited oxygen, or a combination of two or more thereof.
20. The method of claim 17, further comprising purging or evacuating the process chamber after at least one of b) or c).
21. The method of claim 17, further comprising purging or evacuating the process chamber between the first and second exposing steps in at least one of b) or c).
22. A method for forming a mixed rare earth aluminate film, comprising:
a) disposing a substrate in a process chamber;
b) exposing the substrate to a gas pulse comprising a plurality of rare earth precursors containing at least two different rare earth metal elements and containing an aluminum precursor;
c) exposing the substrate to a gas pulse of an oxygen-containing gas;
d) repeating steps b)-c) a desired number of times to deposit a mixed rare earth aluminate film with a desired thickness, wherein the at least two different rare earth metal elements are of differing and mismatched atomic size and are in solid solution in the mixed rare earth aluminate film, and wherein the mixed rare earth aluminate film has a dielectric constant that is greater than the dielectric constant of an aluminate film having only one of the different rare earth metal elements present in the mixed rare earth aluminate film.
23. The method of claim 22, wherein the rare earth metal elements are selected from Y, Lu, La, Ce, Pr, Nd, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, and Yb.
24. The method of claim 22, wherein the oxygen-containing gas comprises O2, H2O, H2O2, ozone, or plasma excited oxygen, or a combination of two or more thereof.
25. The method of claim 22, further comprising purging or evacuating the process chamber after at least one of the exposing steps.
US13/049,355 2006-03-31 2011-03-16 Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition Abandoned US20110165328A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/049,355 US20110165328A1 (en) 2006-03-31 2011-03-16 Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/278,393 US8012442B2 (en) 2006-03-31 2006-03-31 Method of forming mixed rare earth nitride and aluminum nitride films by atomic layer deposition
US13/049,355 US20110165328A1 (en) 2006-03-31 2011-03-16 Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US11/278,393 Continuation US8012442B2 (en) 2006-03-31 2006-03-31 Method of forming mixed rare earth nitride and aluminum nitride films by atomic layer deposition

Publications (1)

Publication Number Publication Date
US20110165328A1 true US20110165328A1 (en) 2011-07-07

Family

ID=38465146

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/278,393 Expired - Fee Related US8012442B2 (en) 2006-03-31 2006-03-31 Method of forming mixed rare earth nitride and aluminum nitride films by atomic layer deposition
US13/049,355 Abandoned US20110165328A1 (en) 2006-03-31 2011-03-16 Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US11/278,393 Expired - Fee Related US8012442B2 (en) 2006-03-31 2006-03-31 Method of forming mixed rare earth nitride and aluminum nitride films by atomic layer deposition

Country Status (3)

Country Link
US (2) US8012442B2 (en)
TW (1) TWI359875B (en)
WO (1) WO2007118006A2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019036500A1 (en) * 2017-08-14 2019-02-21 Applied Materials, Inc. Atomic layer deposition coatings for high temperature heaters
US11180847B2 (en) 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
WO2022059571A1 (en) * 2020-09-17 2022-03-24 株式会社Adeka Raw material for formation of thin film for use in atomic layer deposition, and method for producing thin film

Families Citing this family (289)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102650051A (en) * 2011-02-25 2012-08-29 鸿富锦精密工业(深圳)有限公司 Aluminum or aluminum alloy shell and manufacturing method thereof
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9552979B2 (en) * 2013-05-31 2017-01-24 Asm Ip Holding B.V. Cyclic aluminum nitride deposition in a batch reactor
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9460917B2 (en) * 2014-02-12 2016-10-04 Translucent, Inc. Method of growing III-N semiconductor layer on Si substrate
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
NZ725495A (en) 2014-04-02 2020-05-29 Franck Natali Doped rare earth nitride materials and devices comprising same
WO2015152736A2 (en) 2014-04-02 2015-10-08 Granville Simon Edward Magnetic materials and devices comprising rare earth nitrides
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9799509B2 (en) * 2014-11-26 2017-10-24 Asm Ip Holding B.V. Cyclic aluminum oxynitride deposition
US9837281B2 (en) * 2014-11-26 2017-12-05 Asm Ip Holding B.V. Cyclic doped aluminum nitride deposition
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9982345B2 (en) * 2015-07-14 2018-05-29 Applied Materials, Inc. Deposition of metal films using beta-hydrogen free precursors
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) * 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (en) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 Apparatus including a clean mini environment
JP7214724B2 (en) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. Storage device for storing wafer cassettes used in batch furnaces
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (en) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
KR20190129718A (en) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
JP2021529880A (en) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
JP2020136677A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Periodic accumulation method for filing concave part formed inside front surface of base material, and device
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system including a gas detector
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
TW202113936A (en) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
KR20210043460A (en) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
JP2021097227A (en) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー Method of forming vanadium nitride layer and structure including vanadium nitride layer
KR20210080214A (en) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. Methods for filling a gap feature on a substrate and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
TW202219628A (en) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5888870A (en) * 1997-10-22 1999-03-30 Advanced Micro Devices, Inc. Memory cell fabrication employing an interpoly gate dielectric arranged upon a polished floating gate
US6200898B1 (en) * 1999-10-25 2001-03-13 Vanguard International Semiconductor Corporation Global planarization process for high step DRAM devices via use of HF vapor etching
US20020135030A1 (en) * 2001-03-22 2002-09-26 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and manufacturing method thereof
US6511925B1 (en) * 2001-10-19 2003-01-28 Lsi Logic Corporation Process for forming high dielectric constant gate dielectric for integrated circuit structure
US20030045080A1 (en) * 2001-08-31 2003-03-06 Visokay Mark R. Gate structure and method
US20030060003A1 (en) * 2001-08-31 2003-03-27 Thomas Hecht Capacitor device for a semiconductor circuit configuration, and fabrication method
US20030072882A1 (en) * 2001-08-03 2003-04-17 Jaakko Niinisto Method of depositing rare earth oxide thin films
US20030168697A1 (en) * 2002-03-07 2003-09-11 Fujitsu Limited Semiconductor device and method for fabricating the same
US20030183885A1 (en) * 2002-03-29 2003-10-02 Kabushiki Kaisha Toshiba Field effect transistor and method of fabricating the same
US20040043634A1 (en) * 2002-08-28 2004-03-04 Micron Technology, Inc Systems and methods for forming metal-doped alumina
US20040051126A1 (en) * 2002-09-16 2004-03-18 Structured Materials Inc. Compositionally engineered CexMnyO3 and semiconductor devices based thereon
US6730164B2 (en) * 2002-08-28 2004-05-04 Micron Technology, Inc. Systems and methods for forming strontium- and/or barium-containing layers
US20040123803A1 (en) * 2001-03-02 2004-07-01 Strang Eric J. Shower head gas injection apparatus with secondary high pressure pulsed gas injection
US20040129969A1 (en) * 2003-01-08 2004-07-08 Luigi Colombo Control of high -k gate dielectric film composition profile for property optimization
US20040132315A1 (en) * 2003-01-03 2004-07-08 Chambers James Joseph Multistage deposition that incorporates nitrogen via an intermediate step
US20040157473A1 (en) * 2003-02-12 2004-08-12 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device
US20040191997A1 (en) * 2003-03-24 2004-09-30 Semiconductor Leading Edge Technologies, Inc. Method for manufacturing semiconductor device
US20050064207A1 (en) * 2003-04-21 2005-03-24 Yoshihide Senzaki System and method for forming multi-component dielectric films
US20050104112A1 (en) * 2002-12-03 2005-05-19 Suvi Haukka Method of depositing barrier layer from metal gates
US20050130442A1 (en) * 2003-12-11 2005-06-16 Visokay Mark R. Method for fabricating transistor gate structures and gate dielectrics thereof
US20050136632A1 (en) * 2003-12-17 2005-06-23 Rotondaro Antonio L. Implementation of split gate transistor technology with high-k gate dielectrics
US20050156155A1 (en) * 2000-08-08 2005-07-21 Atanackovic Petar B. Rare earth-oxides, rare earth-nitrides, rare earth-phosphides and ternary alloys with silicon
US20050190031A1 (en) * 2004-02-26 2005-09-01 Shin-Etsu Chemical Co., Ltd. Sealed rare earth magnet and method for manufacturing the same
US20050272196A1 (en) * 2004-05-31 2005-12-08 Anelva Corporation Method of depositing a higher permittivity dielectric film
US20060054943A1 (en) * 2004-09-14 2006-03-16 Infineon Technologies North America Corp. Flash EEPROM with metal floating gate electrode
US20060072281A1 (en) * 2004-08-05 2006-04-06 Samsung Electronics Co., Ltd. Methods of forming a layer utilizing a liquid-phase lanthanum precursor and methods of manufacturing a capacitor using the same
US20060128092A1 (en) * 2004-12-09 2006-06-15 Texas Instruments Incorporated Wafer bonded MOS decoupling capacitor
US20060151823A1 (en) * 2005-01-07 2006-07-13 Shrinivas Govindarajan High dielectric constant materials
US20060189154A1 (en) * 2005-02-23 2006-08-24 Micron Technology, Inc. Atomic layer deposition of Hf3N4/HfO2 films as gate dielectrics
US7122464B2 (en) * 2002-08-28 2006-10-17 Micron Technology, Inc. Systems and methods of forming refractory metal nitride layers using disilazanes
US20070004224A1 (en) * 2005-06-29 2007-01-04 Amberwave Systems Corporation Methods for forming dielectrics and metal electrodes
US20070077750A1 (en) * 2005-09-06 2007-04-05 Paul Ma Atomic layer deposition processes for ruthenium materials
US20070134931A1 (en) * 2005-12-08 2007-06-14 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
US7312139B2 (en) * 2005-01-03 2007-12-25 United Microelectronics Corp. Method of fabricating nitrogen-containing gate dielectric layer and semiconductor device
US7378129B2 (en) * 2003-08-18 2008-05-27 Micron Technology, Inc. Atomic layer deposition methods of forming conductive metal nitride comprising layers
US7456064B2 (en) * 2002-05-28 2008-11-25 Agere Systems Inc. High K dielectric material and method of making a high K dielectric material

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0524931A (en) 1991-07-16 1993-02-02 Hitachi Metals Ltd Aluminum nitride sintered compact
JPH11168096A (en) 1997-12-04 1999-06-22 Sony Corp Formation of highly dielectric oxide film
AU2003289764A1 (en) 2002-12-09 2004-06-30 Asm America Inc. Method for forming a dielectric stack
JP2005191482A (en) 2003-12-26 2005-07-14 Semiconductor Leading Edge Technologies Inc Semiconductor device and its manufacturing method
WO2005065402A2 (en) 2003-12-29 2005-07-21 Translucent Photonics, Inc. Rare earth-oxides, rare earth-nitrides, rare earth-phosphides and ternary alloys with silicon

Patent Citations (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5888870A (en) * 1997-10-22 1999-03-30 Advanced Micro Devices, Inc. Memory cell fabrication employing an interpoly gate dielectric arranged upon a polished floating gate
US6200898B1 (en) * 1999-10-25 2001-03-13 Vanguard International Semiconductor Corporation Global planarization process for high step DRAM devices via use of HF vapor etching
US20050156155A1 (en) * 2000-08-08 2005-07-21 Atanackovic Petar B. Rare earth-oxides, rare earth-nitrides, rare earth-phosphides and ternary alloys with silicon
US20040123803A1 (en) * 2001-03-02 2004-07-01 Strang Eric J. Shower head gas injection apparatus with secondary high pressure pulsed gas injection
US20020135030A1 (en) * 2001-03-22 2002-09-26 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and manufacturing method thereof
US20030072882A1 (en) * 2001-08-03 2003-04-17 Jaakko Niinisto Method of depositing rare earth oxide thin films
US6858546B2 (en) * 2001-08-03 2005-02-22 Asm International, Nv Method of depositing rare earth oxide thin films
US20030045080A1 (en) * 2001-08-31 2003-03-06 Visokay Mark R. Gate structure and method
US20030060003A1 (en) * 2001-08-31 2003-03-27 Thomas Hecht Capacitor device for a semiconductor circuit configuration, and fabrication method
US6511925B1 (en) * 2001-10-19 2003-01-28 Lsi Logic Corporation Process for forming high dielectric constant gate dielectric for integrated circuit structure
US20030168697A1 (en) * 2002-03-07 2003-09-11 Fujitsu Limited Semiconductor device and method for fabricating the same
US20030183885A1 (en) * 2002-03-29 2003-10-02 Kabushiki Kaisha Toshiba Field effect transistor and method of fabricating the same
US6914312B2 (en) * 2002-03-29 2005-07-05 Kabushiki Kaisha Toshiba Field effect transistor having a MIS structure and method of fabricating the same
US7456064B2 (en) * 2002-05-28 2008-11-25 Agere Systems Inc. High K dielectric material and method of making a high K dielectric material
US7122464B2 (en) * 2002-08-28 2006-10-17 Micron Technology, Inc. Systems and methods of forming refractory metal nitride layers using disilazanes
US6730164B2 (en) * 2002-08-28 2004-05-04 Micron Technology, Inc. Systems and methods for forming strontium- and/or barium-containing layers
US20040043634A1 (en) * 2002-08-28 2004-03-04 Micron Technology, Inc Systems and methods for forming metal-doped alumina
US20040051126A1 (en) * 2002-09-16 2004-03-18 Structured Materials Inc. Compositionally engineered CexMnyO3 and semiconductor devices based thereon
US20050104112A1 (en) * 2002-12-03 2005-05-19 Suvi Haukka Method of depositing barrier layer from metal gates
US20040132315A1 (en) * 2003-01-03 2004-07-08 Chambers James Joseph Multistage deposition that incorporates nitrogen via an intermediate step
US20040129969A1 (en) * 2003-01-08 2004-07-08 Luigi Colombo Control of high -k gate dielectric film composition profile for property optimization
US7488655B2 (en) * 2003-02-12 2009-02-10 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device
US20040157473A1 (en) * 2003-02-12 2004-08-12 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device
US20040191997A1 (en) * 2003-03-24 2004-09-30 Semiconductor Leading Edge Technologies, Inc. Method for manufacturing semiconductor device
US20050064207A1 (en) * 2003-04-21 2005-03-24 Yoshihide Senzaki System and method for forming multi-component dielectric films
US20050233156A1 (en) * 2003-04-21 2005-10-20 Aviza Technology, Inc. System and method for forming multi-component dielectric films
US7378129B2 (en) * 2003-08-18 2008-05-27 Micron Technology, Inc. Atomic layer deposition methods of forming conductive metal nitride comprising layers
US20050130442A1 (en) * 2003-12-11 2005-06-16 Visokay Mark R. Method for fabricating transistor gate structures and gate dielectrics thereof
US20050136632A1 (en) * 2003-12-17 2005-06-23 Rotondaro Antonio L. Implementation of split gate transistor technology with high-k gate dielectrics
US20050190031A1 (en) * 2004-02-26 2005-09-01 Shin-Etsu Chemical Co., Ltd. Sealed rare earth magnet and method for manufacturing the same
US20050272196A1 (en) * 2004-05-31 2005-12-08 Anelva Corporation Method of depositing a higher permittivity dielectric film
US20060072281A1 (en) * 2004-08-05 2006-04-06 Samsung Electronics Co., Ltd. Methods of forming a layer utilizing a liquid-phase lanthanum precursor and methods of manufacturing a capacitor using the same
US20060054943A1 (en) * 2004-09-14 2006-03-16 Infineon Technologies North America Corp. Flash EEPROM with metal floating gate electrode
US20060128092A1 (en) * 2004-12-09 2006-06-15 Texas Instruments Incorporated Wafer bonded MOS decoupling capacitor
US7312139B2 (en) * 2005-01-03 2007-12-25 United Microelectronics Corp. Method of fabricating nitrogen-containing gate dielectric layer and semiconductor device
US20060151823A1 (en) * 2005-01-07 2006-07-13 Shrinivas Govindarajan High dielectric constant materials
US20060189154A1 (en) * 2005-02-23 2006-08-24 Micron Technology, Inc. Atomic layer deposition of Hf3N4/HfO2 films as gate dielectrics
US20070004224A1 (en) * 2005-06-29 2007-01-04 Amberwave Systems Corporation Methods for forming dielectrics and metal electrodes
US20070077750A1 (en) * 2005-09-06 2007-04-05 Paul Ma Atomic layer deposition processes for ruthenium materials
US20070134931A1 (en) * 2005-12-08 2007-06-14 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019036500A1 (en) * 2017-08-14 2019-02-21 Applied Materials, Inc. Atomic layer deposition coatings for high temperature heaters
US11180847B2 (en) 2018-12-06 2021-11-23 Applied Materials, Inc. Atomic layer deposition coatings for high temperature ceramic components
WO2022059571A1 (en) * 2020-09-17 2022-03-24 株式会社Adeka Raw material for formation of thin film for use in atomic layer deposition, and method for producing thin film

Also Published As

Publication number Publication date
US20070237698A1 (en) 2007-10-11
US8012442B2 (en) 2011-09-06
TW200745369A (en) 2007-12-16
WO2007118006A3 (en) 2008-02-07
TWI359875B (en) 2012-03-11
WO2007118006A2 (en) 2007-10-18

Similar Documents

Publication Publication Date Title
US8012442B2 (en) Method of forming mixed rare earth nitride and aluminum nitride films by atomic layer deposition
US8097300B2 (en) Method of forming mixed rare earth oxynitride and aluminum oxynitride films by atomic layer deposition
KR101366541B1 (en) Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition
US7767262B2 (en) Nitrogen profile engineering in nitrided high dielectric constant films
US7833913B2 (en) Method of forming crystallographically stabilized doped hafnium zirconium based films
US7772073B2 (en) Semiconductor device containing a buried threshold voltage adjustment layer and method of forming
US7790628B2 (en) Method of forming high dielectric constant films using a plurality of oxidation sources
US7531452B2 (en) Strained metal silicon nitride films and method of forming
US7759746B2 (en) Semiconductor device with gate dielectric containing aluminum and mixed rare earth elements
US7755128B2 (en) Semiconductor device containing crystallographically stabilized doped hafnium zirconium based materials
US7741202B2 (en) Method of controlling interface layer thickness in high dielectric constant film structures including growing and annealing a chemical oxide layer
US20080079111A1 (en) Semiconductor devices containing nitrided high dielectric constant films
US10790149B2 (en) Method of forming crystallographically stabilized ferroelectric hafnium zirconium based films for semiconductor devices
US20110065287A1 (en) Pulsed chemical vapor deposition of metal-silicon-containing films
US20100237395A1 (en) Semiconductor device with gate dielectric containing mixed rare earth elements
US7494937B2 (en) Strained metal silicon nitride films and method of forming
US7713868B2 (en) Strained metal nitride films and method of forming
US8178446B2 (en) Strained metal nitride films and method of forming
WO2008042695A2 (en) Semiconductor devices containing nitrided high dielectric constant films and method of forming

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION