US20110204491A1 - Dielectric layer structure - Google Patents

Dielectric layer structure Download PDF

Info

Publication number
US20110204491A1
US20110204491A1 US13/102,060 US201113102060A US2011204491A1 US 20110204491 A1 US20110204491 A1 US 20110204491A1 US 201113102060 A US201113102060 A US 201113102060A US 2011204491 A1 US2011204491 A1 US 2011204491A1
Authority
US
United States
Prior art keywords
dielectric layer
low
layer
single tensile
layer structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/102,060
Inventor
Chin-Hsiang Lin
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
United Microelectronics Corp
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to US13/102,060 priority Critical patent/US20110204491A1/en
Assigned to UNITED MICROELECTRONICS CORP. reassignment UNITED MICROELECTRONICS CORP. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LIN, CHIN-HSIANG
Publication of US20110204491A1 publication Critical patent/US20110204491A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12479Porous [e.g., foamed, spongy, cracked, etc.]

Abstract

A dielectric layer structure includes an interlayer dielectric (ILD) layer covering at least a metal interconnect structure and a single tensile film. The ILD layer further includes a low-k dielectric layer, and the single tensile film is positioned on the low-k dielectric layer for counteracting at least a part of a stress of the low-k dielectric layer.

Description

    CROSS REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation of U.S. patent application Ser. No. 12/948,789, filed on Nov. 18, 2010, which is a division of U.S. patent application Ser. No. 11/834,643, filed on Aug. 6, 2007 and issued as U.S. Pat. No. 7,858,532 on Dec. 28, 2010, the entire disclosures of which are hereby incorporated herein by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention relates to a dielectric layer structure and manufacturing method thereof, and more particularly, to a dielectric layer structure having superior process control and stability and manufacturing method thereof.
  • 2. Description of the Prior Art
  • Devices in semiconductor industry need to undergo several complicated processes such as photolithograph process, dry or wet etching process, ion implantation, and heat treatment, etc. to construct precise integrated circuits in layers. Among those complicated processes, the process control of dielectric layer etching has become a critical factor, particularly in some application such as damascene process or interconnection technique. For example, in a damascene process, a dielectric layer is etched to form patterns comprising trenches or via. Then the trenches or via are filled with copper, and a planarization process is performed to complete formation of damascene structure. Additionally, to satisfy requirements of low RC delay effects, low-K material, ultra low-k (ULK) material, or porous low-k material is used to be the dielectric layer in the damascene structure.
  • Please refer to FIGS. 1-5, which are schematic drawings of a conventional trench-first dual damascene process. As shown in FIG. 1, a substrate 10 having at least a conductive layer 12 and a base layer 14 comprising silicon nitride sequentially formed thereon is provided. And a dielectric layer 16, a cap layer 18, a metal hard mask layer 20, and a bottom anti-reflective coating (BARC) layer 22 are sequentially formed on the base layer 14. Then, a photoresist layer 30 is formed and patterned to form an opening 32 by a well-known photolithography method. The opening 32 is used to define a trench pattern of a damascene structure.
  • Please refer to FIGS. 1 and 2. Subsequently, an etching process is performed. Accordingly a trench recess 34 is etched into the metal hard mask layer 20 and the cap layer 18 through the opening 32. The etching is stopped on the cap layer 18. The remaining photoresist layer 30 and the BARC layer 22 are then stripped off.
  • As shown in FIG. 3, another BARC layer 36 is deposited over the substrate 10 and fills the trench recess 34. And another photoresist layer 40 is formed on the BARC layer 36. The photoresist layer 40 has an opening 42 patterned by a conventional photolithography method. The opening 42 is situated directly above the trench recess 34 and the conductive layer 12, and is used to define a via pattern of a damascene structure. As shown in FIG. 4, the BARC layer 36, the cap layer 18, and the dielectric layer 16 are etched through the opening 42 with the photoresist layer 40 being an etching mask. Thus, a partial via feature 44 is formed in an upper portion of the dielectric layer 16. Then the remaining photoresist layer 40 and the BARC layer 36 are stripped off by an oxygen plasma.
  • Please refer to FIG. 5. Next, the metal hard mask layer 20 serves as an etching hard mask in an etching process, which is performed to etch away the cap layer 18 and the dielectric layer 16 through the trench recess 34 and the partial via 44, thereby a dual damascene pattern comprising a trench opening 52 and a via opening 54 is obtained. Then, the damascene pattern is filled with a conductive metal such as copper followed by a planarization process that is performed, thus a dual damascene structure is formed. It is noteworthy that the dielectric layer 16 possesses a low mechanical strength and a compressive stress which leads to line distortion occurring in the dielectric layer 16.
  • Furthermore, there is another phenomenon drawing attention in the conventional damascene formation process: Generally, the cap layer 18 is a silicon oxide layer such as a tetra-ethyl-ortho-silicate (TEOS) based silicon oxide layer with TEOS used as a precursor. Because the TEOS layer comprises lots of Si—OH bonds and Si—H dangling bonds, the TEOS layer is a hydrophilic layer which is apt to absorb moisture. And the absorbed moisture is then desported from the TEOS layer and into the dielectric layer 16 in following process, thus Kelvin via open are formed in the dielectric layer 16. Kelvin via open reduces reliability of the process and influences electrical performance of the damascene interconnects formed following.
  • To solve the problem mentioned above, those skilled in the art provide many approaches, for example, a multi-layered cap layer such as a tri-layered cap layer is provided. The tri-layered cap layer provides a tensile stress layer offering a tensile stress which is opposite to the compressive stress of the dielectric layer. The multi-layered cap layer also provides hermetical layers sandwiching the tensile stress layer to prevent the tri-layered cap layer itself from absorbing the moisture and to prevent the dielectric layer from the desported moisture. However, due to the multi-layered characteristic, the process for the multi-layered cap layer has inferior process control, for example, it is not easy to form openings or recesses in the multi-layered cap layer. And the multi-layered cap layer also has inferior process stability. Therefore, a simple layer capable of balancing stress in the dielectric layer and preventing itself from absorbing moisture is needed.
  • SUMMARY OF THE INVENTION
  • Therefore the present invention provides a dielectric layer structure and a manufacturing method thereof to prevent line distortion and Kelvin via open formation in dielectric layer.
  • According to the claimed invention, a dielectric layer structure is provided. The dielectric layer structure includes an interlayer dielectric (ILD) layer covering at least a metal interconnect structure and a single tensile film. The ILD layer further includes a low-k dielectric layer, and the single tensile film is positioned on the low-k dielectric layer for counteracting at least a part of a stress of the low-k dielectric layer.
  • According to the dielectric layer structure, the single tensile film is used to be a cap layer on the dielectric layer structure. Therefore a tensile stress comparative to the stress of the dielectric layer is provided to prevent line distortion in the dielectric layer. And a hydrophobic characteristic of the single tensile film prevents itself from moisture absorption, thus the Kelvin via open in the dielectric layer resulted by water desorpted from the single tensile film in following processes is also avoided.
  • These and other objectives of the present invention will no doubt become obvious to those of ordinary skill in the art after reading the following detailed description of the preferred embodiment that is illustrated in the various figures and drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIGS. 1-5 are schematic drawings of a conventional trench-first dual damascene process.
  • FIGS. 6-12 are schematic drawings illustrating a preferred embodiment of the method for manufacturing a dielectric layer structure.
  • DETAILED DESCRIPTION
  • Please refer to FIGS. 6-12, which are schematic drawings illustrating a preferred embodiment of the method for manufacturing a dielectric layer structure according to the present invention. As shown in FIG. 6, a substrate 100 is provided. The substrate 100 comprises a metal layer 102 serving as a conductive layer and a base layer 104 comprising silicon nitride or SiCHN. Then a low-k dielectric layer 106 is sequentially formed thereon. The low-k dielectric layer 106 comprises porous low-k dielectric material or ultra low-K (ULK) material. A thickness of the low-k dielectric layer 106 is about 800-5000 angstroms.
  • Please refer to FIG. 7. Next, a single tensile film 108 comprising tetra-ethyl-ortho-silicate (TEOS) is formed on the low-k dielectric layer 106 by a deposition process. The deposition process comprises a plasma-enhanced vapor deposition (PECVD) process, a sub-atmosphere chemical vapor deposition (SACVD) process, or an atmosphere chemical vapor deposition (APCVD) process. A high-frequency RF power and a low-frequency RF power of the deposition process can be adjusted to control the tensile stress of the single tensile film 108 according to the stress in the low-k dielectric layer 106. For example, when the high-frequency RF power is about 750-850 Watts and the low-frequency RF power is about 100-200 Watts, the tensile stress of the single tensile film 108 is about 50-100 MPa. A thickness of the single tensile film 108 is also adjustable according to the thickness of the low-k dielectric layer 106. For example, when the thickness of the low-k dielectric layer 106 is 800-5000 angstroms, the thickness of the single tensile film 108 is about 200-1500 angstroms.
  • Silane (SiH4), TEOS, tetra-methyl silane (4MS), tetra-methyl cyclo tetra-siloxane (TMCTS), diethoxy-methyl-silane (DEMS) or other silicon-containing chemicals can be added in the deposition processes as a precursor, and CO2, N2O, O2, or O3 can be added as an oxidizing agent. In addition, He, Ar, N2, NH3, CO2, or O2 can be used in the preferred embodiment for a pre-treatment or a post-treatment.
  • Please refer to FIG. 8. Then, a moisture preventing treatment is performed to the single tensile film 108. The moisture preventing treatment comprises an UV treatment, an electromagnetic treatment, or an N-plasma treatment. The moisture preventing treatment is used to alter the polarity of the single tensile film 108 for enhancing moisture preventing effect of the single tensile film 108. For example, the UV treatment is performed with an UV light 110 having a wavelength of 50-400 nanometers (nm) at a temperature of about 250-450° C. for 1-5 minutes. In the UV treatment, the UV light 110 is used to break the Si—OH bonds and the Si—H dangling bonds in the single tensile film 108. Therefore the Si—OH bonds and the Si—H dangling bonds are eliminated and Si—O bonds or Si—Si bonds are formed. Thus the polarity of the single tensile film 108 is altered from hydrophilic into hydrophobic and a single tensile hydrophobic film 112 is obtained as shown in FIG. 8. Moreover, the N-plasma treatment is performed with an N-containing plasma for nitrifying a surface of the single tensile film 108, and thus a hydrophobic surface 122 is obtained as shown in FIG. 9.
  • Please refer to FIG. 10. After performing the moisture preventing treatment, a metal hard mask layer 130 comprising TiN is formed on the single tensile film 108. When forming the metal hard mask layer 130, the substrate 100 is placed in an nitrogen environment, then an N-plasma is introduced to bombard a Ti metal target, thus the metal hard mask layer 130 comprising TiN is formed. It is noteworthy that before bombarding the Ti metal target, said N-plasma can be used in the N-plasma treatment, therefore the hydrophobic surface 122 is obtained and the step of forming the metal hard mask layer 130 can be performed in the same apparatus. Thus it can be seen that the N-plasma treatment, which is one approach of the moisture preventing treatment, and the step of forming the metal hard mask layer 130 can be performed in-situ. Of course the moisture preventing treatment and the step of forming the metal hard mask layer 130 can be performed ex-situ. Furthermore, as shown in FIG. 10, the single tensile film 108 can be altered to be the single tensile hydrophobic film 112 with the UV treatment first, then its surface can be treated to be the hydrophobic surface 122 with the N-plasma treatment, and the metal hard mask layer 130 can be formed in the same apparatus.
  • Please refer to FIGS. 11-12. Then, a photoresist layer 140 is formed on the metal hard mask layer 130. Additionally, a bottom anti-reflective coating (BARC) layer (not shown) can be formed on the metal hard mask layer 130. And a conventional photolithography method is performed to pattern the photoresist 140, thus an opening 142 used to define a pattern is formed as shown in FIG. 11. Please refer to FIG. 12, an etching process is performed to etch the metal hard mask layer 130 to the single tensile hydrophobic film 112 through the opening 142 and to form an opening 144. A depth of the opening 144 is not limited as shown in FIG. 12 and is adjustable according to requirements of the process, even to penetrate the single tensile hydrophobic film 112.
  • According to the method for manufacturing dielectric layer structure provided by the present invention, the compressive stress of the low-k dielectric layer 106 can be balanced by the tensile stress provided by the single tensile film 108, therefore pattern or line distortion in the low-k dielectric layer 106 due to the compressive stress is avoided effectively. And the single tensile film 108 which comprises hydrophilic TEOS is altered in to the single tensile hydrophobic film 112, even to further comprise the hydrophobic surface 122 by the moisture preventing treatments, therefore the moisture absorption is effectively prevented. Thus problems of moisture absorption in the low-k dielectric layer 106 from the single tensile film 108 and moisture desorption from the low-k dielectric layer 106 in following processes which causes Kelvin via open are fundamentally prevented. Additionally, when the low-k dielectric layer 106 comprises porous low-k dielectric material or ULK material which is more susceptible to the contaminant and damage, the single tensile film 108 provided by the present invention can prevent defects such Kelvin via open more effectively. Therefore process stability is improved. What is noteworthy is that due to the single tensile hydrophobic film 112 comprising only one lamination, the entire process further benefits from simpler process control and superior process stability.
  • Please refer to FIGS. 8 and 9 again. As mentioned above, the present invention provides a dielectric layer structure comprising a low-k dielectric layer 106 and a single tensile hydrophobic film 112 positioned on the low-k dielectric layer 106. The low-k dielectric layer 106 comprises porous low-k dielectric material or ULK material. A thickness of the low-k dielectric layer 106 is about 800-5000 angstroms.
  • The single tensile hydrophobic film 112 comprises TEOS. A thickness of the single tensile hydrophobic film 112 can be adjusted according to the thickness of the low-k dielectric layer 106 therefore a range of the thickness of the single tensile hydrophobic film 112 is 200-5000 angstroms. The single tensile hydrophobic film 112 possesses a tensile stress which is comparative to a compressive stress of the low-k dielectric layer 106. The single tensile hydrophobic film 112 can comprise a nitrified surface serving as a hydrophobic surface 122.
  • According to the dielectric layer structure provided by the present invention, the compressive stress of the low-k dielectric layer 106 can be balanced by the tensile stress provided by the single tensile hydrophobic film 112, therefore pattern or line distortion in the low-k dielectric layer 106 is avoided effectively. And since the single tensile hydrophobic film 112 has the hydrophobic feature, moisture will not be absorbed, therefore the moisture absorption is effectively prevented. Thus problems of moisture absorption in the low-k dielectric layer 106 from the single tensile film 108 and moisture desorption from the low-k dielectric layer 106 in following processes which causes Kelvin via open are fundamentally prevented.
  • Additionally, the dielectric layer structure provided by the present invention further comprises a metal hard mask layer (shown in FIG. 10) positioned on the single tensile hydrophobic film 112 for defining patterns and protecting the low-k dielectric layer 106.
  • As mentioned above, according to the dielectric layer structure and the method manufacturing thereof, the single tensile hydrophobic film is used to balance a comparative stress of the former layer such as the dielectric layer, therefore pattern or line distortion in the dielectric layer is prevented. And the hydrophobic characteristic of the single tensile hydrophobic film prevents itself from moisture absorption, thus the Kelvin via open in the dielectric layer resulted by water desorpted from the tensile hydrophobic film in following processes is also avoided. In other words, the dielectric layer structure provided by the present invention not only effectively improves the process control and process stability of the entire process, but also improves the process result.
  • Those skilled in the art will readily observe that numerous modifications and alterations of the device and method may be made while retaining the teachings of the invention. Accordingly, the above disclosure should be construed as limited only by the metes and bounds of the appended claims.

Claims (9)

1. A dielectric layer structure comprising:
an interlayer dielectric (ILD) layer covering at least a metal interconnect structure, wherein the ILD layer comprises a low-k dielectric layer; and
a single tensile film positioned on the low-k dielectric layer for counteracting at least a part of a stress of the low-k dielectric layer.
2. The dielectric layer structure of claim 1, wherein the low-k dielectric layer comprises porous low-k dielectric material or ultra low-k (ULK) dielectric material.
3. The dielectric layer structure of claim 1, wherein the low-k dielectric layer comprises a thickness of 800-5000 angstroms.
4. The dielectric layer structure of claim 3, wherein the single tensile film comprises a thickness of 200-1500 angstroms.
5. The dielectric layer structure of claim 1, wherein the single tensile film comprises a hydrophobic film.
6. The dielectric layer structure of claim 1, wherein the single tensile film comprises tetra-ethyl-ortho-silicate (TEOS).
7. The dielectric layer structure of claim 1, wherein the single tensile film further comprises a nitrified surface.
8. The dielectric layer structure of claim 1 further comprises a hard mask layer positioned on the single tensile film.
9. The dielectric layer structure of claim 8, wherein the metal hard mask, the single tensile film and the ILD layer further comprise at least an opening for exposing the metal interconnect structure.
US13/102,060 2007-08-06 2011-05-06 Dielectric layer structure Abandoned US20110204491A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/102,060 US20110204491A1 (en) 2007-08-06 2011-05-06 Dielectric layer structure

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/834,643 US7858532B2 (en) 2007-08-06 2007-08-06 Dielectric layer structure and manufacturing method thereof
US12/948,789 US7960826B2 (en) 2007-08-06 2010-11-18 Dielectric layer structure
US13/102,060 US20110204491A1 (en) 2007-08-06 2011-05-06 Dielectric layer structure

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US12/948,789 Continuation US7960826B2 (en) 2007-08-06 2010-11-18 Dielectric layer structure

Publications (1)

Publication Number Publication Date
US20110204491A1 true US20110204491A1 (en) 2011-08-25

Family

ID=40346833

Family Applications (4)

Application Number Title Priority Date Filing Date
US11/834,643 Active 2027-10-12 US7858532B2 (en) 2007-08-06 2007-08-06 Dielectric layer structure and manufacturing method thereof
US12/948,789 Active US7960826B2 (en) 2007-08-06 2010-11-18 Dielectric layer structure
US12/949,739 Active US8183166B2 (en) 2007-08-06 2010-11-18 Dielectric layer structure and manufacturing method thereof
US13/102,060 Abandoned US20110204491A1 (en) 2007-08-06 2011-05-06 Dielectric layer structure

Family Applications Before (3)

Application Number Title Priority Date Filing Date
US11/834,643 Active 2027-10-12 US7858532B2 (en) 2007-08-06 2007-08-06 Dielectric layer structure and manufacturing method thereof
US12/948,789 Active US7960826B2 (en) 2007-08-06 2010-11-18 Dielectric layer structure
US12/949,739 Active US8183166B2 (en) 2007-08-06 2010-11-18 Dielectric layer structure and manufacturing method thereof

Country Status (1)

Country Link
US (4) US7858532B2 (en)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7858532B2 (en) * 2007-08-06 2010-12-28 United Microelectronics Corp. Dielectric layer structure and manufacturing method thereof
DE102010003560B4 (en) * 2010-03-31 2018-04-05 Globalfoundries Dresden Module One Llc & Co. Kg A semiconductor device having a capacitor in a metallization system fabricated by a hardmask patterning scheme
US8114769B1 (en) * 2010-12-31 2012-02-14 Globalfoundries Singapore Pte, Lte. Methods and structures to enable self-aligned via etch for Cu damascene structure using trench first metal hard mask (TFMHM) scheme
US8399359B2 (en) 2011-06-01 2013-03-19 United Microelectronics Corp. Manufacturing method for dual damascene structure
CN102446841B (en) * 2011-11-07 2016-08-03 上海华力微电子有限公司 A kind of preparation method of low stress metal hard mask layer
KR101955332B1 (en) * 2012-02-22 2019-05-30 삼성전자주식회사 Plasmonic modulator and optical apparatus employing the same
US8735295B2 (en) 2012-06-19 2014-05-27 United Microelectronics Corp. Method of manufacturing dual damascene structure
US8647991B1 (en) 2012-07-30 2014-02-11 United Microelectronics Corp. Method for forming dual damascene opening
CN102800628A (en) * 2012-09-11 2012-11-28 上海华力微电子有限公司 Method for producing dual damascene structure capable of preventing pattern collapsing
CN103871961B (en) * 2012-12-17 2017-08-25 中芯国际集成电路制造(上海)有限公司 Interconnection structure and its manufacture method
US8921226B2 (en) 2013-01-14 2014-12-30 United Microelectronics Corp. Method of forming semiconductor structure having contact plug
US9449214B2 (en) * 2013-07-11 2016-09-20 Fingerprint Cards Ab Fingerprint sensing device with protective coating
CN103413781B (en) * 2013-08-27 2016-03-02 上海华力微电子有限公司 The preparation method of a kind of metal hard mask layer and copper interconnection structure
CN103426819A (en) * 2013-08-27 2013-12-04 上海华力微电子有限公司 Method for preparing interconnection structure of metal hard mask layer and copper
US8962490B1 (en) 2013-10-08 2015-02-24 United Microelectronics Corp. Method for fabricating semiconductor device
US20160372413A1 (en) * 2015-06-17 2016-12-22 Globalfoundries Inc. Unique bi-layer etch stop to protect conductive structures during a metal hard mask removal process and methods of using same
EP3270411A1 (en) * 2015-07-08 2018-01-17 IMEC vzw Method for producing an integrated circuit device with enhanced mechanical properties
US9917007B2 (en) 2016-06-21 2018-03-13 United Microelectronics Corp. Method of forming opening pattern
US11031279B2 (en) * 2016-12-14 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with reduced trench loading effect
TWI742167B (en) * 2016-12-14 2021-10-11 台灣積體電路製造股份有限公司 Semiconductor structure and method for fabricating the same

Citations (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5356722A (en) * 1992-06-10 1994-10-18 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5366929A (en) * 1993-05-28 1994-11-22 Cypress Semiconductor Corp. Method for making reliable selective via fills
US5387546A (en) * 1992-06-22 1995-02-07 Canon Sales Co., Inc. Method for manufacturing a semiconductor device
US5955200A (en) * 1997-11-18 1999-09-21 United Microelectronics Corp. Structure for reducing stress between metallic layer and spin-on-glass layer
US6010943A (en) * 1998-04-23 2000-01-04 United Silicon Incorporated Method of fabricating a cylindrical capacitor
US6015759A (en) * 1997-12-08 2000-01-18 Quester Technology, Inc. Surface modification of semiconductors using electromagnetic radiation
US6020024A (en) * 1997-08-04 2000-02-01 Motorola, Inc. Method for forming high dielectric constant metal oxides
US6121164A (en) * 1997-10-24 2000-09-19 Applied Materials, Inc. Method for forming low compressive stress fluorinated ozone/TEOS oxide film
US6208014B1 (en) * 1998-07-07 2001-03-27 Alliedsignal, Inc. Use of multifunctional reagents for the surface modification of nanoporous silica films
US20010023125A1 (en) * 1996-12-25 2001-09-20 Yuhko Nishimoto Interlayer insulating film forming method, semiconductor device and method of manufacturing the same
US6294473B1 (en) * 1998-06-03 2001-09-25 Rodel Holdings Inc. Method of polishing substrates comprising silicon dioxide and composition relating thereto
US6297532B1 (en) * 1993-11-08 2001-10-02 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and method of manufacturing the same
US20020068469A1 (en) * 2000-03-14 2002-06-06 Ebrahim Andideh Method for making a semiconductor device having a low-k dielectric layer
US6420277B1 (en) * 2000-11-01 2002-07-16 Lsi Logic Corporation Process for inhibiting crack formation in low dielectric constant dielectric films of integrated circuit structure
US20020094388A1 (en) * 1997-07-07 2002-07-18 The Penn State Research Foundation Low temperature, high quality silicon dioxide thin films deposited using tetramethylsilane (TMS) for stress control and coverage applications
US6426285B1 (en) * 1999-11-03 2002-07-30 Taiwan Semiconductor Manufacturing Company Method to solve intermetallic dielectric cracks in integrated circuit devices
US6455891B2 (en) * 2000-04-14 2002-09-24 Sharp Kabushiki Kaisha Semiconductor device and method for manufacturing the same
US20030066482A1 (en) * 1999-08-17 2003-04-10 Applied Materials, Inc. Lid cooling mechanism and method for optimized deposition of low-K dielectric using TRI methylsilane-ozone based processes
US6548901B1 (en) * 2000-06-15 2003-04-15 International Business Machines Corporation Cu/low-k BEOL with nonconcurrent hybrid dielectric interface
US6613592B1 (en) * 2002-04-25 2003-09-02 Taiwan Semiconductor Manufacturing Company IMD oxide crack monitor pattern and design rule
US6667231B1 (en) * 2002-07-12 2003-12-23 Texas Instruments Incorporated Method of forming barrier films for copper metallization over low dielectric constant insulators in an integrated circuit
US20040046259A1 (en) * 2002-08-08 2004-03-11 Chow Loren A. Composite dielectric layers
US20040262032A1 (en) * 2002-10-09 2004-12-30 Yoshiyuki Tonami Multilayer composite and method for preparing the same
US20050070128A1 (en) * 1999-08-17 2005-03-31 Applied Materials, Inc. Post-deposition treatment to enhance properties of Si-O-C low K films
US20050079701A1 (en) * 2003-06-24 2005-04-14 International Business Machines Corporation Method for forming damascene structure utilizing planarizing material coupled with compressive diffusion barrier material
US20050106762A1 (en) * 2003-09-03 2005-05-19 Nirupama Chakrapani Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
US20050110153A1 (en) * 2003-11-25 2005-05-26 Zhen-Cheng Wu Copper interconnects
US20050146099A1 (en) * 2004-01-07 2005-07-07 Roller Derby Skate Corporation In-line roller skate
US20050227488A1 (en) * 2004-03-31 2005-10-13 O'brien Kevin P Capping of copper structures in hydrophobic ILD using aqueous electro-less bath
US20050242414A1 (en) * 2004-04-28 2005-11-03 International Business Machines Corporation Low-k interlevel dielectric layer (ild) and method
US20050282372A1 (en) * 2004-02-13 2005-12-22 Agere Systems Inc. Semiconductor device and a method of manufacture therefor
US20060006543A1 (en) * 2004-06-18 2006-01-12 Hitachi, Ltd. Semiconductor device
US20060027924A1 (en) * 2004-08-03 2006-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Metallization layers for crack prevention and reduced capacitance
US20060043591A1 (en) * 2004-08-24 2006-03-02 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US20060073650A1 (en) * 2004-09-24 2006-04-06 Seetharaman Sridhar Method to selectively strain NMOS devices using a cap poly layer
US20060138665A1 (en) * 2004-12-27 2006-06-29 Jihperng Leu Mechanically robust dielectric film and stack
US7071708B2 (en) * 2004-04-16 2006-07-04 Lightuning Tech. Inc. Chip-type sensor against ESD and stress damages and contamination interference
US20060170836A1 (en) * 2005-01-06 2006-08-03 Hiroshi Kondo Electronic device, method for manufacturing electronic device, contact hole of electronic device, method for forming contact hole of electronic device
US20060276054A1 (en) * 2005-06-03 2006-12-07 Applied Materials, Inc. In situ oxide cap layer development
US20070063348A1 (en) * 2005-09-19 2007-03-22 International Business Machines Corporation Method and structure of forming an interconnect including a dielectric cap having a tensile stress
US20070105297A1 (en) * 2005-11-07 2007-05-10 Jeong Yong-Kuk Semiconductor devices and methods of manufacturing the same
US20070117408A1 (en) * 2005-11-22 2007-05-24 International Business Machines Corporation Method for reducing film stress for sicoh low-k dielectric materials
US20070114667A1 (en) * 2005-11-18 2007-05-24 Lsi Logic Corporation Alternate pad structures/passivation inegration schemes to reduce or eliminate IMC cracking in post wire bonded dies during Cu/Low-K BEOL processing
US20070123024A1 (en) * 2005-11-30 2007-05-31 Lsi Logic Corporation Eliminate IMC cracking in post wirebonded dies: macro level stress reduction by modifying dielectric/metal film stack in be layers during Cu/Low-K processing
US20070281497A1 (en) * 2006-06-01 2007-12-06 Applied Materials, Inc. Method to mitigate impact of uv and e-beam exposure on semiconductor device film properties by use of a bilayer film
US20080020570A1 (en) * 2006-07-18 2008-01-24 Applied Materials, Inc. Dual damascene fabrication with low k materials
US7326444B1 (en) * 2004-09-14 2008-02-05 Novellus Systems, Inc. Methods for improving integration performance of low stress CDO films
US20080122045A1 (en) * 2006-11-29 2008-05-29 International Business Machines Corporation Dual liner capping layer interconnect structure
US7381451B1 (en) * 2004-11-17 2008-06-03 Novellus Systems, Inc. Strain engineering—HDP thin film with tensile stress for FEOL and other applications
US20080150145A1 (en) * 2006-12-21 2008-06-26 Sean King Adhesion and electromigration performance at an interface between a dielectric and metal
US20080197513A1 (en) * 2007-02-20 2008-08-21 International Business Machines Corporation Beol interconnect structures with improved resistance to stress
US20080237658A1 (en) * 2007-03-26 2008-10-02 United Microelectronics Corp. Semiconductor device and method of fabricating the same
US20090179003A1 (en) * 2008-01-11 2009-07-16 Tokyo Electron Limited Substrate processing method, substrate processing apparatus and recording medium
US20100122711A1 (en) * 2008-11-14 2010-05-20 Advanced Micro Devices, Inc. wet clean method for semiconductor device fabrication processes
US7892648B2 (en) * 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding
US7960826B2 (en) * 2007-08-06 2011-06-14 United Microelectronics Corp. Dielectric layer structure

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06267935A (en) * 1993-03-12 1994-09-22 Mitsubishi Electric Corp Manufacture of semiconductor device
JP4722501B2 (en) 2004-01-29 2011-07-13 三星電子株式会社 Multilayer dielectric structure for semiconductor device, semiconductor, and manufacturing method thereof
US7320945B2 (en) 2004-06-30 2008-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Gradient low k material
TWI262309B (en) * 2004-12-31 2006-09-21 Ind Tech Res Inst Droplet controlling apparatus, manufacturing method, controlling method and digital flow inspection apparatus

Patent Citations (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5356722A (en) * 1992-06-10 1994-10-18 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5387546A (en) * 1992-06-22 1995-02-07 Canon Sales Co., Inc. Method for manufacturing a semiconductor device
US5366929A (en) * 1993-05-28 1994-11-22 Cypress Semiconductor Corp. Method for making reliable selective via fills
US6297532B1 (en) * 1993-11-08 2001-10-02 Mitsubishi Denki Kabushiki Kaisha Semiconductor device and method of manufacturing the same
US20010023125A1 (en) * 1996-12-25 2001-09-20 Yuhko Nishimoto Interlayer insulating film forming method, semiconductor device and method of manufacturing the same
US20020094388A1 (en) * 1997-07-07 2002-07-18 The Penn State Research Foundation Low temperature, high quality silicon dioxide thin films deposited using tetramethylsilane (TMS) for stress control and coverage applications
US6020024A (en) * 1997-08-04 2000-02-01 Motorola, Inc. Method for forming high dielectric constant metal oxides
US6121164A (en) * 1997-10-24 2000-09-19 Applied Materials, Inc. Method for forming low compressive stress fluorinated ozone/TEOS oxide film
US5955200A (en) * 1997-11-18 1999-09-21 United Microelectronics Corp. Structure for reducing stress between metallic layer and spin-on-glass layer
US6015759A (en) * 1997-12-08 2000-01-18 Quester Technology, Inc. Surface modification of semiconductors using electromagnetic radiation
US6010943A (en) * 1998-04-23 2000-01-04 United Silicon Incorporated Method of fabricating a cylindrical capacitor
US6294473B1 (en) * 1998-06-03 2001-09-25 Rodel Holdings Inc. Method of polishing substrates comprising silicon dioxide and composition relating thereto
US6208014B1 (en) * 1998-07-07 2001-03-27 Alliedsignal, Inc. Use of multifunctional reagents for the surface modification of nanoporous silica films
US20050070128A1 (en) * 1999-08-17 2005-03-31 Applied Materials, Inc. Post-deposition treatment to enhance properties of Si-O-C low K films
US20030066482A1 (en) * 1999-08-17 2003-04-10 Applied Materials, Inc. Lid cooling mechanism and method for optimized deposition of low-K dielectric using TRI methylsilane-ozone based processes
US6426285B1 (en) * 1999-11-03 2002-07-30 Taiwan Semiconductor Manufacturing Company Method to solve intermetallic dielectric cracks in integrated circuit devices
US20020068469A1 (en) * 2000-03-14 2002-06-06 Ebrahim Andideh Method for making a semiconductor device having a low-k dielectric layer
US6455891B2 (en) * 2000-04-14 2002-09-24 Sharp Kabushiki Kaisha Semiconductor device and method for manufacturing the same
US6548901B1 (en) * 2000-06-15 2003-04-15 International Business Machines Corporation Cu/low-k BEOL with nonconcurrent hybrid dielectric interface
US6420277B1 (en) * 2000-11-01 2002-07-16 Lsi Logic Corporation Process for inhibiting crack formation in low dielectric constant dielectric films of integrated circuit structure
US6613592B1 (en) * 2002-04-25 2003-09-02 Taiwan Semiconductor Manufacturing Company IMD oxide crack monitor pattern and design rule
US6667231B1 (en) * 2002-07-12 2003-12-23 Texas Instruments Incorporated Method of forming barrier films for copper metallization over low dielectric constant insulators in an integrated circuit
US20040046259A1 (en) * 2002-08-08 2004-03-11 Chow Loren A. Composite dielectric layers
US20040262032A1 (en) * 2002-10-09 2004-12-30 Yoshiyuki Tonami Multilayer composite and method for preparing the same
US20050079701A1 (en) * 2003-06-24 2005-04-14 International Business Machines Corporation Method for forming damascene structure utilizing planarizing material coupled with compressive diffusion barrier material
US20050106762A1 (en) * 2003-09-03 2005-05-19 Nirupama Chakrapani Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
US20050110153A1 (en) * 2003-11-25 2005-05-26 Zhen-Cheng Wu Copper interconnects
US20050146099A1 (en) * 2004-01-07 2005-07-07 Roller Derby Skate Corporation In-line roller skate
US20050282372A1 (en) * 2004-02-13 2005-12-22 Agere Systems Inc. Semiconductor device and a method of manufacture therefor
US20050227488A1 (en) * 2004-03-31 2005-10-13 O'brien Kevin P Capping of copper structures in hydrophobic ILD using aqueous electro-less bath
US7071708B2 (en) * 2004-04-16 2006-07-04 Lightuning Tech. Inc. Chip-type sensor against ESD and stress damages and contamination interference
US20050242414A1 (en) * 2004-04-28 2005-11-03 International Business Machines Corporation Low-k interlevel dielectric layer (ild) and method
US20060006543A1 (en) * 2004-06-18 2006-01-12 Hitachi, Ltd. Semiconductor device
US20060027924A1 (en) * 2004-08-03 2006-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Metallization layers for crack prevention and reduced capacitance
US20060043591A1 (en) * 2004-08-24 2006-03-02 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US7326444B1 (en) * 2004-09-14 2008-02-05 Novellus Systems, Inc. Methods for improving integration performance of low stress CDO films
US20060073650A1 (en) * 2004-09-24 2006-04-06 Seetharaman Sridhar Method to selectively strain NMOS devices using a cap poly layer
US7381451B1 (en) * 2004-11-17 2008-06-03 Novellus Systems, Inc. Strain engineering—HDP thin film with tensile stress for FEOL and other applications
US20060138665A1 (en) * 2004-12-27 2006-06-29 Jihperng Leu Mechanically robust dielectric film and stack
US20060170836A1 (en) * 2005-01-06 2006-08-03 Hiroshi Kondo Electronic device, method for manufacturing electronic device, contact hole of electronic device, method for forming contact hole of electronic device
US7892648B2 (en) * 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding
US20060276054A1 (en) * 2005-06-03 2006-12-07 Applied Materials, Inc. In situ oxide cap layer development
US20070063348A1 (en) * 2005-09-19 2007-03-22 International Business Machines Corporation Method and structure of forming an interconnect including a dielectric cap having a tensile stress
US7563704B2 (en) * 2005-09-19 2009-07-21 International Business Machines Corporation Method of forming an interconnect including a dielectric cap having a tensile stress
US20070105297A1 (en) * 2005-11-07 2007-05-10 Jeong Yong-Kuk Semiconductor devices and methods of manufacturing the same
US20070114667A1 (en) * 2005-11-18 2007-05-24 Lsi Logic Corporation Alternate pad structures/passivation inegration schemes to reduce or eliminate IMC cracking in post wire bonded dies during Cu/Low-K BEOL processing
US20070117408A1 (en) * 2005-11-22 2007-05-24 International Business Machines Corporation Method for reducing film stress for sicoh low-k dielectric materials
US20070123024A1 (en) * 2005-11-30 2007-05-31 Lsi Logic Corporation Eliminate IMC cracking in post wirebonded dies: macro level stress reduction by modifying dielectric/metal film stack in be layers during Cu/Low-K processing
US20070281497A1 (en) * 2006-06-01 2007-12-06 Applied Materials, Inc. Method to mitigate impact of uv and e-beam exposure on semiconductor device film properties by use of a bilayer film
US20080020570A1 (en) * 2006-07-18 2008-01-24 Applied Materials, Inc. Dual damascene fabrication with low k materials
US20080122045A1 (en) * 2006-11-29 2008-05-29 International Business Machines Corporation Dual liner capping layer interconnect structure
US20080150145A1 (en) * 2006-12-21 2008-06-26 Sean King Adhesion and electromigration performance at an interface between a dielectric and metal
US20080197513A1 (en) * 2007-02-20 2008-08-21 International Business Machines Corporation Beol interconnect structures with improved resistance to stress
US20080237658A1 (en) * 2007-03-26 2008-10-02 United Microelectronics Corp. Semiconductor device and method of fabricating the same
US7960826B2 (en) * 2007-08-06 2011-06-14 United Microelectronics Corp. Dielectric layer structure
US20090179003A1 (en) * 2008-01-11 2009-07-16 Tokyo Electron Limited Substrate processing method, substrate processing apparatus and recording medium
US20100122711A1 (en) * 2008-11-14 2010-05-20 Advanced Micro Devices, Inc. wet clean method for semiconductor device fabrication processes

Also Published As

Publication number Publication date
US20110065285A1 (en) 2011-03-17
US20090042053A1 (en) 2009-02-12
US8183166B2 (en) 2012-05-22
US7858532B2 (en) 2010-12-28
US7960826B2 (en) 2011-06-14
US20110062562A1 (en) 2011-03-17

Similar Documents

Publication Publication Date Title
US7960826B2 (en) Dielectric layer structure
KR100518700B1 (en) Electronic device manufacturing method
US7378343B2 (en) Dual damascence process utilizing teos-based silicon oxide cap layer having reduced carbon content
US8445377B2 (en) Mechanically robust metal/low-k interconnects
US8158521B2 (en) Two step post-deposition treatment of ILD layer for a lower dielectric constant and improved mechanical properties
US7601607B2 (en) Protruded contact and insertion of inter-layer-dielectric material to match damascene hardmask to improve undercut for low-k interconnects
US6348407B1 (en) Method to improve adhesion of organic dielectrics in dual damascene interconnects
US7741224B2 (en) Plasma treatment and repair processes for reducing sidewall damage in low-k dielectrics
US6284644B1 (en) IMD scheme by post-plasma treatment of FSG and TEOS oxide capping layer
US7314828B2 (en) Repairing method for low-k dielectric materials
US7015133B2 (en) Dual damascene structure formed of low-k dielectric materials
JP2001077196A (en) Manufacture of semiconductor device
US5861345A (en) In-situ pre-PECVD oxide deposition process for treating SOG
US20090283310A1 (en) Multi cap layer and manufacturing method thereof
US7429542B2 (en) UV treatment for low-k dielectric layer in damascene structure
US20090061633A1 (en) Method of manufacturing semiconductor device
US8084357B2 (en) Method for manufacturing a dual damascene opening comprising a trench opening and a via opening
CN110838464A (en) Metal internal connection structure and its making method
KR100391992B1 (en) METHOD OF FORMING SEMICONDUCTOR DEVICES HAVING SiOC LAYER
US5872066A (en) Method of forming inter-metal dielectric layer for WVIA process
US20100260992A1 (en) Multi cap layer
KR100315455B1 (en) a semiconductor device and a manufacturing method thereof
TW200908146A (en) Dielectric layer structure and manufacturing method thereof
US7662714B2 (en) Method for forming metal line of semiconductor device
KR100315026B1 (en) Metal wiring formation method of semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: UNITED MICROELECTRONICS CORP., TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:LIN, CHIN-HSIANG;REEL/FRAME:026235/0386

Effective date: 20070801

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION