US20120043538A1 - Process to make metal oxide thin film transistor array with etch stopping layer - Google Patents

Process to make metal oxide thin film transistor array with etch stopping layer Download PDF

Info

Publication number
US20120043538A1
US20120043538A1 US13/283,966 US201113283966A US2012043538A1 US 20120043538 A1 US20120043538 A1 US 20120043538A1 US 201113283966 A US201113283966 A US 201113283966A US 2012043538 A1 US2012043538 A1 US 2012043538A1
Authority
US
United States
Prior art keywords
layer
etch stop
thin film
film transistor
semiconductor layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/283,966
Inventor
Yan Ye
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US13/283,966 priority Critical patent/US20120043538A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: YE, YAN
Publication of US20120043538A1 publication Critical patent/US20120043538A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/7869Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising an oxide semiconductor material, e.g. zinc oxide, copper aluminium oxide, cadmium stannate

Definitions

  • Embodiments of the present invention generally relate to a thin film transistor (TFT) fabrication method and a TFT produced by the method.
  • TFT thin film transistor
  • TFT arrays may be used in liquid crystal active matrix displays (LCDs) of the kind often employed for computer and television flat panels.
  • LCDs liquid crystal active matrix displays
  • the LCDs may also contain light emitting diodes (LEDs) for back lighting.
  • LEDs light emitting diodes
  • OLEDs organic light emitting diodes
  • TFTs for addressing the activity of the displays.
  • TFTs made with amorphous silicon as the active material have become the key components of the flat panel display industry.
  • the second type is called a bottom gate TFT because the gate electrode is located below the source and drain electrodes. In the bottom gate TFT structure, the source and drain electrodes are disposed over the active material layer.
  • the source and drain electrodes in a bottom gate TFT may be fabricated by depositing a metal layer over the active material layer and then etching the metal layer to define the source and drain electrodes. During the etching, the active material layer may be exposed to the plasma. Plasma exposure of the active material layer may negatively affect the performance of the TFT. To prevent plasma exposure of the active material layer, an etch stop may be used. Thus, the etch stop bottom gate TFTs generally have better performance than non-etch stop bottom gate TFTs.
  • An etch stop bottom gate TFT has an etch stop layer deposited between the active material layer and the metal layer used for the source and drain electrodes.
  • the etch stop layer is blanket deposited and then etched using a mask such that the remaining portion of the etch stop is disposed over the gate electrode.
  • the metal layer is blanket deposited followed by etching the active material layer and the metal layer with a mask.
  • the source and drain electrodes are defined by etching through the metal layer using a mask.
  • the etch stop bottom gate TFT utilizes at least three masks for the patterning (i.e., to pattern the etch stop, to pattern the active material layer and metal layer, and to define the source and drain electrodes).
  • the bottom gate TFTs without etch stops necessitate at least one less mask which therefore has made the bottom gate TFTs without etch stops the preferred TFT despite the better performance of the etch stop bottom gate TFTs.
  • the present invention generally relates to TFTs and methods of making TFTs.
  • the active channel of the TFT may comprise one or more metals selected from the group consisting of zinc, gallium, tin, indium, and cadmium.
  • the active channel may also comprise nitrogen and oxygen.
  • an etch stop layer may be deposited over the active layer. The etch stop layer prevents the active channel from being exposed to the plasma used to define the source and drain electrodes.
  • the etch stop layer and the source and drain electrodes may be used as a mask when wet or dry etching the active material layer that is used for the active channel.
  • a thin film transistor formation method comprises depositing and patterning a gate electrode over a substrate, depositing a gate dielectric layer over the gate electrode, and depositing a semiconductive active layer over the gate dielectric layer.
  • the active layer may comprise oxygen, nitrogen, and one or more elements selected from the group consisting of zinc, indium, cadmium, gallium, and tin.
  • the active layer may be doped.
  • the method also comprises depositing an etch stop layer over the active layer, forming a first mask over the etch stop layer, patterning the etch stop layer and removing the first mask.
  • the method also comprises depositing a metal layer over the etch stop layer, forming a second mask over the metal layer, etching the metal layer to define a source electrode and a drain electrode and removing the second mask.
  • the method also comprises etching the active layer and depositing a passivation layer over the source electrode and the drain electrode.
  • a method comprises depositing a semiconductor layer over a substrate.
  • the semiconductor layer may comprise oxygen, nitrogen, and one or more elements selected from the group consisting of zinc, gallium, indium, cadmium, and tin.
  • the semiconductor layer may comprise a dopant.
  • the method also comprises depositing an etch stop layer over the semiconductor layer, forming a first mask over the etch stop layer, patterning the etch stop layer and removing the first mask.
  • the method also comprises depositing a metal layer over the etch stop layer and the semiconductor layer, forming a second mask over the metal layer, etching the metal layer and removing the second mask.
  • the method also comprises etching at least a portion of the semiconductor layer while using the metal layer and the etch stop layer as masks.
  • a thin film transistor comprises a gate electrode disposed over a substrate, a gate dielectric layer disposed over the gate electrode, and a semiconductor layer disposed over the gate dielectric layer.
  • the semiconductor layer may comprise oxygen, nitrogen, and one or more elements selected from the group consisting of zinc, gallium, indium, cadmium, and tin.
  • the semiconductor layer may be doped.
  • a source electrode, a drain electrode, and an etch stop layer may be disposed over the semiconductor layer and between the source electrode and the drain electrode.
  • FIGS. 1A-1J show a TFT in various stages of processing according to one embodiment of the invention.
  • FIG. 2 is a flow chart 200 of a TFT fabrication process according to one embodiment of the invention.
  • FIGS. 3A-3D show a TFT in various stages of processing according to another embodiment of the invention.
  • FIG. 4 is a flow chart 400 of a TFT fabrication process according to another embodiment of the invention.
  • the present invention generally relates to TFTs and methods of making TFTs.
  • the active channel of the TFT may comprise one or more metals selected from the group consisting of zinc, gallium, tin, indium, and cadmium.
  • the active channel may or may not be doped.
  • the active channel may also comprise nitrogen and oxygen.
  • an etch stop layer may be deposited over the active layer. The etch stop layer prevents the active channel from being exposed to the plasma used to define the source and drain electrodes.
  • the etch stop layer and the source and drain electrodes may be used as a mask when wet etching the active material layer that is used for the active channel.
  • FIGS. 1A-1J show a TFT in various stages of processing according to one embodiment of the invention.
  • a substrate 100 is shown.
  • the substrate 100 may comprise glass.
  • the substrate 100 may comprise a polymer.
  • the substrate 100 may comprise plastic.
  • the substrate 100 may comprise metal.
  • a gate electrode layer may be deposited.
  • the gate electrode layer may be patterned to form the gate electrode 102 as shown in FIG. 1B .
  • the gate electrode 102 may comprise an electrically conductive layer that controls the movement of charge carriers within the TFT.
  • the gate electrode 102 may comprise a metal such as chromium, molybdenum, aluminum, tungsten tantalum, copper, or combinations thereof.
  • the gate electrode 102 may be formed using conventional techniques including sputtering, lithography, and etching.
  • a gate dielectric layer 104 may be deposited over the gate electrode 102 as shown in FIG. 1C .
  • the gate dielectric layer 104 may comprise silicon dioxide, silicon oxynitride, silicon nitride, or combinations thereof.
  • the gate dielectric layer 104 may be deposited by well known deposition techniques including plasma enhanced chemical vapor deposition (PECVD).
  • the active layer 106 may be formed as shown in FIG. 1D .
  • the active layer 106 is annealed.
  • the active layer 106 is exposed to a plasma treatment. The annealing and/or plasma treatment may increase the mobility of the active layer 106 .
  • the active layer 106 may comprise the compound having one or more elements selected from the group consisting of zinc, tin, gallium, cadmium, and indium.
  • the element may comprise an element having a filled d orbital.
  • the element may comprise an element having a filled f orbital.
  • the active layer 106 may also comprise oxygen and nitrogen.
  • the compound may be doped.
  • Suitable dopants that may be used include Al, Sn, Ga, Ca, Si, Ti, Cu, Ge, In, Ni, Mn, Cr, V, Mg, Si x N y , Al x O y , and SiC.
  • the dopant comprises aluminum.
  • the active layer 106 may comprise oxygen and one or more elements selected from the group consisting of zinc, tin, gallium, cadmium, and indium.
  • the active layer 106 may be deposited by reactive sputtering.
  • the reactive sputtering method may be practiced in a physical vapor deposition (PVD) chamber for processing large area substrates, such as a 4300 PVD chamber, available from AKT America, Inc., a subsidiary of Applied Materials, Inc., Santa Clara, Calif.
  • PVD physical vapor deposition
  • the active layer produced according to the method may be determined by the structure and composition, it should be understood that the reactive sputtering method may have utility in other system configurations, including those systems configured to process large area round substrates and those systems produced by other manufacturers, including roll-to-roll process platforms.
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • spin-on processes may be utilized to deposit the active layer 106 .
  • a sputtering target may contain one or more of zinc, indium, tin, gallium, and cadmium. One or more dopants may also be present.
  • the sputtering may comprise full reactive sputtering.
  • Full reactive sputtering comprises sputtering a target that does not contain the reactive material in an atmosphere containing the reactive material.
  • Full reactive sputtering is distinct from RF reactive sputtering where a target contains not only the metal, but also the reactant. In RF reactive sputtering, the material is sputtered and then further reacted with additional reactant provided in the reactive gas.
  • the sputtering target for full reactive sputtering may comprise one or more of zinc, indium, tin, gallium, and cadmium, and a dopant may or may not be present. No oxygen or nitrogen is present in the target.
  • the sputtering target may be DC biased while an inert gas, a nitrogen containing gas, and an oxygen containing gas are introduced into the sputtering chamber. The nitrogen of the nitrogen containing gas and the oxygen of the oxygen containing gas then react with the one or more of zinc, indium, tin, gallium, and cadmium to deposit the layer on the substrate.
  • the inert gas may comprise argon.
  • the nitrogen containing gas may be selected from the group of nitrogen, nitrogen oxide, and combinations thereof.
  • the oxygen containing gas may be selected from the group of oxygen, ozone, nitrogen oxide, and combinations thereof.
  • the active layer 106 may be crystalline or semicrystalline. The active layer 106 may not be amorphous.
  • an etch stop layer may be deposited and etched using a mask to have an etch stop 108 disposed on the active layer 106 over the gate electrode 102 as shown in FIG. 1E .
  • the etch stop 108 may be formed by blanket depositing, followed by photoresist depositing, followed by pattern developing.
  • the etch stop 108 may be patterned by plasma etching using one or more gases selected from the group consisting of fluorine containing etchants such as CF 4 , C 2 F 6 , CHF 3 , C 4 F 6 , oxygen, nitrogen, inert gases such as argon, or combinations thereof.
  • the etch stop layer 108 may comprise silicon nitride.
  • the etch stop layer 108 may comprise silicon oxynitride. In still another embodiment, the etch stop layer 108 may comprise silicon oxide.
  • the etch stop layer may be deposited by well known deposition techniques including PECVD and spin-on coating. In one embodiment, the etch stop 108 may be pattern deposited utilizing a mask. After the etch stop 108 is formed, the photoresist mask may be removed.
  • a metal layer 110 may be deposited thereover as shown in FIG. 1F .
  • the metal layer may comprise a metal such as aluminum, tungsten, molybdenum, chromium, tantalum, and combinations thereof.
  • the metal layer 110 may then be patterned to define the source and drain electrodes 112 A, 112 B as shown in FIG. 1G .
  • the metal layer 110 may be patterned by depositing a photolithographic or photoresist mask thereon and etching utilizing the mask.
  • the metal layer 110 may be etched utilizing a plasma etch.
  • the plasma etching may comprise exposing the metal layer 110 to a plasma containing a gas having an element selected from the group consisting of chlorine, oxygen, fluorine, or combinations thereof.
  • the active layer 106 that is not covered by the etch stop 108 may be exposed to the plasma, but the active layer 106 over the gate electrode 102 may not be exposed to the plasma due to the presence of the etch stop 108 .
  • the active layer 106 exposed to the plasma may etch at a slower rate than the metal layer 110 when exposed to the plasma. In one embodiment, the active layer 106 may not etch at all when exposed to the plasma.
  • the photoresist mask may be removed.
  • the etch stop 108 and the source and drain electrodes 112 A, 112 B may be used as a mask during wet etching of the active layer 106 as shown in FIG. 1H .
  • the etch stop 108 and the source and drain electrodes 112 A, 112 B etch at a slower rate than the active layer 106 when exposed to the wet etchant.
  • the etch stop 108 and the source and drain electrodes 112 A, 112 B may not etch at all when exposed to the wet etchant. Hence, no additional mask layer needs to be deposited and patterned to perform the etching.
  • the source and drain electrodes 112 A, 112 B as well as the etch stop 108 function as a mask when etching the exposed active layer 106 .
  • the wet etchant may comprise any conventional wet etchant that may etch the effective for etching the active layer 106 without etching the etch stop 108 and the source and drain electrodes 112 A, 112 B.
  • the etchant may comprise an acid with a pH of less than 3 of a base with a pH higher than 10.
  • the etchant may comprise diluted HCl.
  • the etchant may comprise the same liquid as used for developing the photoresist.
  • a display electrode 114 may then be deposited over the gate dielectric layer 104 as shown in FIG. 1I .
  • the display electrode 114 may comprise a metal such as aluminum, tungsten, molybdenum, chromium, tantalum, and combinations thereof.
  • a passivation layer 116 may then be deposited over the structure as shown in FIG. 1J .
  • the passivation layer 116 may be deposited to a thickness between about 1000 Angstroms to about 5000 Angstroms.
  • the passivation layer 116 may comprise silicon dioxide or silicon nitride.
  • FIG. 2 is a flow chart 200 of a TFT fabrication process according to one embodiment of the invention.
  • the gate electrode is deposited onto the substrate.
  • the gate electrode may be deposited as a layer and then etched utilizing a photoresist mask as the pattern.
  • the gate electrode may be pattern deposited onto the substrate.
  • a gate dielectric layer may be blanket deposited over the substrate and gate electrode.
  • An active layer may then be deposited over the gate dielectric layer in step 206 .
  • An etch stop layer may then be deposited in step 208 .
  • the etch stop layer may be patterned to define an etch stop portion over the active layer above the gate electrode.
  • the patterning may comprise depositing a photoresist layer thereover, patterning the photoresist layer to create a mask, and etching to remove undesired portions of the etch stop layer. The mask is then removed.
  • a metal layer may then be deposited in step 210 .
  • the metal layer will eventually become the source and drain electrodes.
  • the source and drain electrodes may be defined by depositing a second mask, etching the metal layer and then removing the second mask.
  • the active layer may then be etched by using the source and drain electrodes as well as the etch stop layer as a mask without depositing and removing another mask.
  • a display electrode may then be deposited in step 216 over the gate dielectric layer that was exposed when the active layer was etched.
  • a passivation layer may then be deposited over the entire structure in step 218 .
  • FIGS. 3A-3D show a TFT in various stages of processing according to another embodiment of the invention.
  • FIG. 3A shows a structure comprising a substrate 302 , a gate electrode 304 , a gate dielectric layer 306 , an active layer 308 , an etch stop 310 , and a metal layer 312 .
  • the structure shown in FIG. 3A may be formed in a manner similar to that discussed above in regards to FIGS. 1A-1F .
  • the metal layer 312 and the active layer 308 may be etched to expose a portion of the gate dielectric layer 306 as shown in FIG. 3B .
  • the etching may comprise depositing a photoresist mask over the metal layer 312 and etching utilizing the mask. The area above the etch stop 310 and the gate electrode 304 may not be etched. The source and drain electrodes 316 A, 316 B may then be defined by etching. A photoresist layer may be deposited over the exposed gate dielectric layer 306 and the metal layer 312 . The photoresist layer may then be pattered to produce a mask.
  • the source and drain electrodes 316 A, 316 B may then be defined by etching using the photoresist mask as shown in FIG. 3C .
  • the photoresist mask may then be removed.
  • the display electrode has not been shown for clarity, but it is to be understood that the display electrode may be deposited before the passivation layer 314 is deposited.
  • a passivation layer 314 may then be deposited over the source and drain electrodes 316 A, 316 B, the etch stop 310 , and the exposed gate dielectric 306 as shown in FIG. 3D .
  • the etch stop 310 may function as a passivation layer for the active channel. Thus, it may not be necessary to have a passivation layer directly on the etch stop 310 .
  • FIG. 4 is a flow chart 400 of a TFT fabrication process according to another embodiment of the invention.
  • a metal layer may be deposited over an etch stop and an active layer that has been previously deposited.
  • the etch stop and the active layer may be disposed over a gate dielectric layer, a gate electrode, and a substrate.
  • the metal layer and the active layer may be etched without etching the active channel.
  • the gate dielectric layer may be exposed.
  • a passivation layer may then be deposited over the exposed gate dielectric layer as well as the metal layer in step 406 .
  • the passivation layer may then be etched in step 408 .
  • the source and drain electrodes may be defined by etching the metal layer to expose the etch stop that is disposed over the active layer in an area corresponding to the gate electrode.
  • the substrate In between the gate dielectric layer deposition, the active layer deposition, and the etch stop deposition, the substrate may be maintained under vacuum. By maintaining the substrate in a vacuum state between the depositions, the various layers of the TFT are not exposed to the atmosphere where undesirable contaminants in the air may contaminate the TFT. Thus, the TFT maintained in a vacuum state during and between the depositions may have good quality and be produced at a low cost. In between the depositions, the TFT may be exposed to surface treatment processes as desired. Additionally, after the active layer deposition and/or after the etch stop layer deposition, the TFT may be annealed.
  • the active layer may be etched without depositing and removing an additional mask. Without the additional mask, several processing steps of prior art methods may be obsolete. By utilizing fewer masks, substrate throughput may be increased.

Abstract

The present invention generally relates to thin film transistors (TFTs) and methods of making TFTs. The active channel of the TFT may comprise one or more metals selected from the group consisting of zinc, gallium, tin, indium, and cadmium. The active channel may also comprise nitrogen and oxygen. To protect the active channel during source-drain electrode patterning, an etch stop layer may be deposited over the active layer. The etch stop layer prevents the active channel from being exposed to the plasma used to define the source and drain electrodes. The etch stop layer and the source and drain electrodes may be used as a mask when wet etching the active material layer that is used for the active channel.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a continuation of co-pending U.S. patent application Ser. No. 12/405,897 (APPM/013123), filed Mar. 17, 2009, which claims benefit of U.S. Provisional Patent Application Ser. No. 61/038,289 (Attorney Docket No. APPM/013123L), filed Mar. 20, 2008. Each of the aforementioned related patent applications is herein incorporated by reference.
  • BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • Embodiments of the present invention generally relate to a thin film transistor (TFT) fabrication method and a TFT produced by the method.
  • 2. Description of the Related Art
  • Current interest in TFT arrays is particularly high because these devices may be used in liquid crystal active matrix displays (LCDs) of the kind often employed for computer and television flat panels. The LCDs may also contain light emitting diodes (LEDs) for back lighting. Further, organic light emitting diodes (OLEDs) have been used for active matrix displays, and these OLEDs utilize TFTs for addressing the activity of the displays.
  • TFTs made with amorphous silicon as the active material have become the key components of the flat panel display industry. There are two general types of TFTs in industry. The first type is called a top gate TFT because the gate electrode is located above the source and drain electrodes. The second type is called a bottom gate TFT because the gate electrode is located below the source and drain electrodes. In the bottom gate TFT structure, the source and drain electrodes are disposed over the active material layer.
  • The source and drain electrodes in a bottom gate TFT may be fabricated by depositing a metal layer over the active material layer and then etching the metal layer to define the source and drain electrodes. During the etching, the active material layer may be exposed to the plasma. Plasma exposure of the active material layer may negatively affect the performance of the TFT. To prevent plasma exposure of the active material layer, an etch stop may be used. Thus, the etch stop bottom gate TFTs generally have better performance than non-etch stop bottom gate TFTs.
  • An etch stop bottom gate TFT has an etch stop layer deposited between the active material layer and the metal layer used for the source and drain electrodes. The etch stop layer is blanket deposited and then etched using a mask such that the remaining portion of the etch stop is disposed over the gate electrode. Thereafter, the metal layer is blanket deposited followed by etching the active material layer and the metal layer with a mask. Then, the source and drain electrodes are defined by etching through the metal layer using a mask. Thus, the etch stop bottom gate TFT utilizes at least three masks for the patterning (i.e., to pattern the etch stop, to pattern the active material layer and metal layer, and to define the source and drain electrodes). The bottom gate TFTs without etch stops, by contrast, necessitate at least one less mask which therefore has made the bottom gate TFTs without etch stops the preferred TFT despite the better performance of the etch stop bottom gate TFTs.
  • Therefore, there is a need in the art for an etch stop bottom gate TFT fabrication method that utilizes fewer masks.
  • SUMMARY OF THE INVENTION
  • The present invention generally relates to TFTs and methods of making TFTs. The active channel of the TFT may comprise one or more metals selected from the group consisting of zinc, gallium, tin, indium, and cadmium. The active channel may also comprise nitrogen and oxygen. To protect the active channel during source-drain electrode patterning, an etch stop layer may be deposited over the active layer. The etch stop layer prevents the active channel from being exposed to the plasma used to define the source and drain electrodes. The etch stop layer and the source and drain electrodes may be used as a mask when wet or dry etching the active material layer that is used for the active channel.
  • In one embodiment, a thin film transistor formation method comprises depositing and patterning a gate electrode over a substrate, depositing a gate dielectric layer over the gate electrode, and depositing a semiconductive active layer over the gate dielectric layer. The active layer may comprise oxygen, nitrogen, and one or more elements selected from the group consisting of zinc, indium, cadmium, gallium, and tin. The active layer may be doped. The method also comprises depositing an etch stop layer over the active layer, forming a first mask over the etch stop layer, patterning the etch stop layer and removing the first mask. The method also comprises depositing a metal layer over the etch stop layer, forming a second mask over the metal layer, etching the metal layer to define a source electrode and a drain electrode and removing the second mask. The method also comprises etching the active layer and depositing a passivation layer over the source electrode and the drain electrode.
  • In another embodiment, a method comprises depositing a semiconductor layer over a substrate. The semiconductor layer may comprise oxygen, nitrogen, and one or more elements selected from the group consisting of zinc, gallium, indium, cadmium, and tin. The semiconductor layer may comprise a dopant. The method also comprises depositing an etch stop layer over the semiconductor layer, forming a first mask over the etch stop layer, patterning the etch stop layer and removing the first mask. The method also comprises depositing a metal layer over the etch stop layer and the semiconductor layer, forming a second mask over the metal layer, etching the metal layer and removing the second mask. The method also comprises etching at least a portion of the semiconductor layer while using the metal layer and the etch stop layer as masks.
  • In another embodiment, a thin film transistor comprises a gate electrode disposed over a substrate, a gate dielectric layer disposed over the gate electrode, and a semiconductor layer disposed over the gate dielectric layer. The semiconductor layer may comprise oxygen, nitrogen, and one or more elements selected from the group consisting of zinc, gallium, indium, cadmium, and tin. The semiconductor layer may be doped. A source electrode, a drain electrode, and an etch stop layer may be disposed over the semiconductor layer and between the source electrode and the drain electrode.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
  • FIGS. 1A-1J show a TFT in various stages of processing according to one embodiment of the invention.
  • FIG. 2 is a flow chart 200 of a TFT fabrication process according to one embodiment of the invention.
  • FIGS. 3A-3D show a TFT in various stages of processing according to another embodiment of the invention.
  • FIG. 4 is a flow chart 400 of a TFT fabrication process according to another embodiment of the invention.
  • To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements disclosed in one embodiment may be beneficially utilized on other embodiments without specific recitation.
  • DETAILED DESCRIPTION
  • The present invention generally relates to TFTs and methods of making TFTs. The active channel of the TFT may comprise one or more metals selected from the group consisting of zinc, gallium, tin, indium, and cadmium. The active channel may or may not be doped. The active channel may also comprise nitrogen and oxygen. To protect the active channel during source-drain electrode patterning, an etch stop layer may be deposited over the active layer. The etch stop layer prevents the active channel from being exposed to the plasma used to define the source and drain electrodes. The etch stop layer and the source and drain electrodes may be used as a mask when wet etching the active material layer that is used for the active channel.
  • FIGS. 1A-1J show a TFT in various stages of processing according to one embodiment of the invention. In FIG. 1A, a substrate 100 is shown. In one embodiment, the substrate 100 may comprise glass. In another embodiment, the substrate 100 may comprise a polymer. In another embodiment, the substrate 100 may comprise plastic. In another embodiment, the substrate 100 may comprise metal.
  • Over the substrate 100, a gate electrode layer may be deposited. The gate electrode layer may be patterned to form the gate electrode 102 as shown in FIG. 1B. The gate electrode 102 may comprise an electrically conductive layer that controls the movement of charge carriers within the TFT. The gate electrode 102 may comprise a metal such as chromium, molybdenum, aluminum, tungsten tantalum, copper, or combinations thereof. The gate electrode 102 may be formed using conventional techniques including sputtering, lithography, and etching.
  • A gate dielectric layer 104 may be deposited over the gate electrode 102 as shown in FIG. 1C. The gate dielectric layer 104 may comprise silicon dioxide, silicon oxynitride, silicon nitride, or combinations thereof. The gate dielectric layer 104 may be deposited by well known deposition techniques including plasma enhanced chemical vapor deposition (PECVD).
  • Over the gate dielectric layer 104, the active layer 106 may be formed as shown in FIG. 1D. In one embodiment, the active layer 106 is annealed. In another embodiment, the active layer 106 is exposed to a plasma treatment. The annealing and/or plasma treatment may increase the mobility of the active layer 106. The active layer 106 may comprise the compound having one or more elements selected from the group consisting of zinc, tin, gallium, cadmium, and indium. In one embodiment, the element may comprise an element having a filled d orbital. In another embodiment, the element may comprise an element having a filled f orbital. The active layer 106 may also comprise oxygen and nitrogen. In one embodiment, the compound may be doped. Suitable dopants that may be used include Al, Sn, Ga, Ca, Si, Ti, Cu, Ge, In, Ni, Mn, Cr, V, Mg, SixNy, AlxOy, and SiC. In one embodiment, the dopant comprises aluminum. In one embodiment, the active layer 106 may comprise oxygen and one or more elements selected from the group consisting of zinc, tin, gallium, cadmium, and indium.
  • The active layer 106 may be deposited by reactive sputtering. The reactive sputtering method may be practiced in a physical vapor deposition (PVD) chamber for processing large area substrates, such as a 4300 PVD chamber, available from AKT America, Inc., a subsidiary of Applied Materials, Inc., Santa Clara, Calif. However, because the active layer produced according to the method may be determined by the structure and composition, it should be understood that the reactive sputtering method may have utility in other system configurations, including those systems configured to process large area round substrates and those systems produced by other manufacturers, including roll-to-roll process platforms. It is to be understood that other methods including chemical vapor deposition (CVD), atomic layer deposition (ALD), or spin-on processes may be utilized to deposit the active layer 106.
  • For PVD, a sputtering target may contain one or more of zinc, indium, tin, gallium, and cadmium. One or more dopants may also be present. The sputtering may comprise full reactive sputtering. Full reactive sputtering comprises sputtering a target that does not contain the reactive material in an atmosphere containing the reactive material. Full reactive sputtering is distinct from RF reactive sputtering where a target contains not only the metal, but also the reactant. In RF reactive sputtering, the material is sputtered and then further reacted with additional reactant provided in the reactive gas.
  • For the present invention, the sputtering target for full reactive sputtering may comprise one or more of zinc, indium, tin, gallium, and cadmium, and a dopant may or may not be present. No oxygen or nitrogen is present in the target. The sputtering target may be DC biased while an inert gas, a nitrogen containing gas, and an oxygen containing gas are introduced into the sputtering chamber. The nitrogen of the nitrogen containing gas and the oxygen of the oxygen containing gas then react with the one or more of zinc, indium, tin, gallium, and cadmium to deposit the layer on the substrate. In one embodiment, the inert gas may comprise argon. In one embodiment, the nitrogen containing gas may be selected from the group of nitrogen, nitrogen oxide, and combinations thereof. In one embodiment, the oxygen containing gas may be selected from the group of oxygen, ozone, nitrogen oxide, and combinations thereof. The active layer 106 may be crystalline or semicrystalline. The active layer 106 may not be amorphous.
  • Once the active layer 106 has been deposited, an etch stop layer may be deposited and etched using a mask to have an etch stop 108 disposed on the active layer 106 over the gate electrode 102 as shown in FIG. 1E. The etch stop 108 may be formed by blanket depositing, followed by photoresist depositing, followed by pattern developing. The etch stop 108 may be patterned by plasma etching using one or more gases selected from the group consisting of fluorine containing etchants such as CF4, C2F6, CHF3, C4F6, oxygen, nitrogen, inert gases such as argon, or combinations thereof. In one embodiment, the etch stop layer 108 may comprise silicon nitride. In another embodiment, the etch stop layer 108 may comprise silicon oxynitride. In still another embodiment, the etch stop layer 108 may comprise silicon oxide. The etch stop layer may be deposited by well known deposition techniques including PECVD and spin-on coating. In one embodiment, the etch stop 108 may be pattern deposited utilizing a mask. After the etch stop 108 is formed, the photoresist mask may be removed.
  • Following fabrication of the etch stop 108, a metal layer 110 may be deposited thereover as shown in FIG. 1F. In one embodiment, the metal layer may comprise a metal such as aluminum, tungsten, molybdenum, chromium, tantalum, and combinations thereof. The metal layer 110 may then be patterned to define the source and drain electrodes 112A, 112B as shown in FIG. 1G. The metal layer 110 may be patterned by depositing a photolithographic or photoresist mask thereon and etching utilizing the mask. The metal layer 110 may be etched utilizing a plasma etch. In one embodiment, the plasma etching may comprise exposing the metal layer 110 to a plasma containing a gas having an element selected from the group consisting of chlorine, oxygen, fluorine, or combinations thereof. During the etching, the active layer 106 that is not covered by the etch stop 108 may be exposed to the plasma, but the active layer 106 over the gate electrode 102 may not be exposed to the plasma due to the presence of the etch stop 108. The active layer 106 exposed to the plasma may etch at a slower rate than the metal layer 110 when exposed to the plasma. In one embodiment, the active layer 106 may not etch at all when exposed to the plasma. After the source and drain electrodes 112A, 112B have been defined, the photoresist mask may be removed.
  • After the plasma etching, the etch stop 108 and the source and drain electrodes 112A, 112B may be used as a mask during wet etching of the active layer 106 as shown in FIG. 1H. The etch stop 108 and the source and drain electrodes 112A, 112B etch at a slower rate than the active layer 106 when exposed to the wet etchant. In one embodiment, the etch stop 108 and the source and drain electrodes 112A, 112B may not etch at all when exposed to the wet etchant. Hence, no additional mask layer needs to be deposited and patterned to perform the etching. The source and drain electrodes 112A, 112B as well as the etch stop 108 function as a mask when etching the exposed active layer 106. Thus, no additional mask is deposited or removed and fewer masks are used than in previous methods. The wet etchant may comprise any conventional wet etchant that may etch the effective for etching the active layer 106 without etching the etch stop 108 and the source and drain electrodes 112A, 112B. The etchant may comprise an acid with a pH of less than 3 of a base with a pH higher than 10. In one embodiment, the etchant may comprise diluted HCl. In another embodiment, the etchant may comprise the same liquid as used for developing the photoresist.
  • A display electrode 114 may then be deposited over the gate dielectric layer 104 as shown in FIG. 1I. The display electrode 114 may comprise a metal such as aluminum, tungsten, molybdenum, chromium, tantalum, and combinations thereof. A passivation layer 116 may then be deposited over the structure as shown in FIG. 1J. The passivation layer 116 may be deposited to a thickness between about 1000 Angstroms to about 5000 Angstroms. In one embodiment, the passivation layer 116 may comprise silicon dioxide or silicon nitride.
  • FIG. 2 is a flow chart 200 of a TFT fabrication process according to one embodiment of the invention. In step 202, the gate electrode is deposited onto the substrate. The gate electrode may be deposited as a layer and then etched utilizing a photoresist mask as the pattern. In one embodiment, the gate electrode may be pattern deposited onto the substrate. In step 204, a gate dielectric layer may be blanket deposited over the substrate and gate electrode. An active layer may then be deposited over the gate dielectric layer in step 206. An etch stop layer may then be deposited in step 208. Following the deposition of the etch stop layer, the etch stop layer may be patterned to define an etch stop portion over the active layer above the gate electrode. The patterning may comprise depositing a photoresist layer thereover, patterning the photoresist layer to create a mask, and etching to remove undesired portions of the etch stop layer. The mask is then removed.
  • A metal layer may then be deposited in step 210. The metal layer will eventually become the source and drain electrodes. In step 212, the source and drain electrodes may be defined by depositing a second mask, etching the metal layer and then removing the second mask. In step 214, the active layer may then be etched by using the source and drain electrodes as well as the etch stop layer as a mask without depositing and removing another mask. A display electrode may then be deposited in step 216 over the gate dielectric layer that was exposed when the active layer was etched. A passivation layer may then be deposited over the entire structure in step 218.
  • FIGS. 3A-3D show a TFT in various stages of processing according to another embodiment of the invention. FIG. 3A shows a structure comprising a substrate 302, a gate electrode 304, a gate dielectric layer 306, an active layer 308, an etch stop 310, and a metal layer 312. The structure shown in FIG. 3A may be formed in a manner similar to that discussed above in regards to FIGS. 1A-1F.
  • After the metal layer 312 is deposited, the metal layer 312 and the active layer 308 may be etched to expose a portion of the gate dielectric layer 306 as shown in FIG. 3B. The etching may comprise depositing a photoresist mask over the metal layer 312 and etching utilizing the mask. The area above the etch stop 310 and the gate electrode 304 may not be etched. The source and drain electrodes 316A, 316B may then be defined by etching. A photoresist layer may be deposited over the exposed gate dielectric layer 306 and the metal layer 312. The photoresist layer may then be pattered to produce a mask. The source and drain electrodes 316A, 316B may then be defined by etching using the photoresist mask as shown in FIG. 3C. The photoresist mask may then be removed. The display electrode has not been shown for clarity, but it is to be understood that the display electrode may be deposited before the passivation layer 314 is deposited.
  • A passivation layer 314 may then be deposited over the source and drain electrodes 316A, 316B, the etch stop 310, and the exposed gate dielectric 306 as shown in FIG. 3D. The etch stop 310 may function as a passivation layer for the active channel. Thus, it may not be necessary to have a passivation layer directly on the etch stop 310.
  • FIG. 4 is a flow chart 400 of a TFT fabrication process according to another embodiment of the invention. In step 402, a metal layer may be deposited over an etch stop and an active layer that has been previously deposited. The etch stop and the active layer may be disposed over a gate dielectric layer, a gate electrode, and a substrate.
  • In step 404, the metal layer and the active layer may be etched without etching the active channel. In so doing, the gate dielectric layer may be exposed. A passivation layer may then be deposited over the exposed gate dielectric layer as well as the metal layer in step 406. The passivation layer may then be etched in step 408. In etching the passivation layer, the source and drain electrodes may be defined by etching the metal layer to expose the etch stop that is disposed over the active layer in an area corresponding to the gate electrode.
  • In between the gate dielectric layer deposition, the active layer deposition, and the etch stop deposition, the substrate may be maintained under vacuum. By maintaining the substrate in a vacuum state between the depositions, the various layers of the TFT are not exposed to the atmosphere where undesirable contaminants in the air may contaminate the TFT. Thus, the TFT maintained in a vacuum state during and between the depositions may have good quality and be produced at a low cost. In between the depositions, the TFT may be exposed to surface treatment processes as desired. Additionally, after the active layer deposition and/or after the etch stop layer deposition, the TFT may be annealed.
  • By utilizing the source and drain electrodes as well as an etch stop as a mask, the active layer may be etched without depositing and removing an additional mask. Without the additional mask, several processing steps of prior art methods may be obsolete. By utilizing fewer masks, substrate throughput may be increased.
  • While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (17)

1. A thin film transistor, comprising:
a gate electrode disposed over a substrate;
a gate dielectric layer disposed over the gate electrode;
a semiconductor layer disposed over the gate dielectric layer, the semiconductor layer comprising oxygen, nitrogen, and one or more elements selected from the group consisting of zinc, gallium, indium, cadmium, and tin;
a source electrode;
a drain electrode; and
an etch stop layer disposed over the semiconductor layer and between the source electrode and the drain electrode.
2. The thin film transistor of claim 1, wherein the etch stop layer is selected from the group consisting of silicon nitride, silicon oxide and combinations thereof.
3. The thin film transistor of claim 1, wherein the semiconductor layer comprises a dopant selected from the group consisting of Al, Sn, Ga, Ca, Si, Ti, Cu, Ge, In, Ni, Mn, Cr, V, Mg, SixNy, AlxOy, SiC, and combinations thereof.
4. The thin film transistor of claim 1, wherein the semiconductor layer comprises indium, gallium, zinc, oxygen and nitrogen.
5. The thin film transistor of claim 1, wherein the semiconductor layer comprises zinc, oxygen and nitrogen.
6. The thin film transistor of claim 1, wherein the semiconductor layer comprises zinc, tin, oxygen and nitrogen.
7. A thin film transistor, comprising:
a gate electrode disposed over a substrate;
a gate dielectric layer disposed over the gate electrode;
a semiconductor layer disposed over the gate dielectric layer, the semiconductor layer comprising an oxynitride compound comprising oxygen, nitrogen, and one or more elements selected from the group consisting of zinc, gallium, indium, cadmium, and tin;
a source electrode;
a drain electrode; and
an etch stop layer disposed over the semiconductor layer and between the source electrode and the drain electrode.
8. The thin film transistor of claim 7, wherein the etch stop layer is selected from the group consisting of silicon nitride, silicon oxide and combinations thereof.
9. The thin film transistor of claim 7, wherein the oxynitride comprises a dopant selected from the group consisting of AI, Sn, Ga, Ca, Si, Ti, Cu, Ge, In, Ni, Mn, Cr, V, Mg, SixNy, AlxOy, SiC, and combinations thereof.
10. The thin film transistor of claim 7, wherein the oxynitride compound comprises indium, gallium, zinc, oxygen and nitrogen.
11. The thin film transistor of claim 7, wherein the oxynitride compound comprises zinc, oxygen and nitrogen.
12. The thin film transistor of claim 7, wherein the oxynitride compound comprises zinc, tin, oxygen and nitrogen.
13. A thin film transistor, comprising:
a gate electrode disposed over a substrate;
a gate dielectric layer disposed over the gate electrode;
a semiconductor layer disposed over the gate dielectric layer, the semiconductor layer comprising oxygen and one or more elements selected from the group consisting of zinc, gallium, indium, cadmium, and tin;
a source electrode;
a drain electrode; and
an etch stop layer disposed over the semiconductor layer and between the source electrode and the drain electrode.
14. The thin film transistor of claim 13, wherein the etch stop layer is selected from the group consisting of silicon nitride, silicon oxide and combinations thereof.
15. The thin film transistor of claim 13, wherein the semiconductor layer comprises a dopant selected from the group consisting of Al, Sn, Ga, Ca, Si, Ti, Cu, Ge, In, Ni, Mn, Cr, V, Mg, SixNy, AlxOy, SiC, and combinations thereof.
16. The thin film transistor of claim 13, wherein the semiconductor layer comprises indium, gallium, zinc and oxygen.
17. The thin film transistor of claim 13, wherein the semiconductor layer comprises zinc and oxygen.
US13/283,966 2008-03-20 2011-10-28 Process to make metal oxide thin film transistor array with etch stopping layer Abandoned US20120043538A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US13/283,966 US20120043538A1 (en) 2008-03-20 2011-10-28 Process to make metal oxide thin film transistor array with etch stopping layer

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US3828908P 2008-03-20 2008-03-20
US12/405,897 US8143093B2 (en) 2008-03-20 2009-03-17 Process to make metal oxide thin film transistor array with etch stopping layer
US13/283,966 US20120043538A1 (en) 2008-03-20 2011-10-28 Process to make metal oxide thin film transistor array with etch stopping layer

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US12/405,897 Continuation US8143093B2 (en) 2008-03-20 2009-03-17 Process to make metal oxide thin film transistor array with etch stopping layer

Publications (1)

Publication Number Publication Date
US20120043538A1 true US20120043538A1 (en) 2012-02-23

Family

ID=41087974

Family Applications (2)

Application Number Title Priority Date Filing Date
US12/405,897 Active 2030-02-26 US8143093B2 (en) 2008-03-20 2009-03-17 Process to make metal oxide thin film transistor array with etch stopping layer
US13/283,966 Abandoned US20120043538A1 (en) 2008-03-20 2011-10-28 Process to make metal oxide thin film transistor array with etch stopping layer

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US12/405,897 Active 2030-02-26 US8143093B2 (en) 2008-03-20 2009-03-17 Process to make metal oxide thin film transistor array with etch stopping layer

Country Status (3)

Country Link
US (2) US8143093B2 (en)
TW (1) TWI415267B (en)
WO (1) WO2009117438A2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9515175B2 (en) 2011-10-24 2016-12-06 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
US9533672B2 (en) 2011-06-15 2017-01-03 Bayerische Motoren Werke Aktiengesellschaft Method for damping mechanical vibrations in a vehicle

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070261951A1 (en) * 2006-04-06 2007-11-15 Yan Ye Reactive sputtering zinc oxide transparent conductive oxides onto large area substrates
EP2183780A4 (en) * 2007-08-02 2010-07-28 Applied Materials Inc Thin film transistors using thin film semiconductor materials
US8980066B2 (en) * 2008-03-14 2015-03-17 Applied Materials, Inc. Thin film metal oxynitride semiconductors
WO2009117438A2 (en) * 2008-03-20 2009-09-24 Applied Materials, Inc. Process to make metal oxide thin film transistor array with etch stopping layer
US8258511B2 (en) * 2008-07-02 2012-09-04 Applied Materials, Inc. Thin film transistors using multiple active channel layers
US8273600B2 (en) * 2009-04-21 2012-09-25 Chan-Long Shieh Self-aligned metal oxide TFT with reduced number of masks
TWI396314B (en) * 2009-07-27 2013-05-11 Au Optronics Corp Pixel structure, organic electro-luminescence display unit, and faricating method thereof
US7988470B2 (en) * 2009-09-24 2011-08-02 Applied Materials, Inc. Methods of fabricating metal oxide or metal oxynitride TFTs using wet process for source-drain metal etch
US8840763B2 (en) * 2009-09-28 2014-09-23 Applied Materials, Inc. Methods for stable process in a reactive sputtering process using zinc or doped zinc target
WO2011087514A1 (en) * 2010-01-18 2011-07-21 Applied Materials, Inc. Etch stop microcrystalline thin film transistor
KR101293130B1 (en) * 2010-05-28 2013-08-12 엘지디스플레이 주식회사 Array substrate and method of fabricating the same
TWI541904B (en) 2011-03-11 2016-07-11 半導體能源研究所股份有限公司 Method of manufacturing semiconductor device
US9093539B2 (en) * 2011-05-13 2015-07-28 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
CN102214700A (en) * 2011-06-02 2011-10-12 上海大学 Barrier layer applied to wet etching of oxide thin film transistor array
KR101506303B1 (en) 2011-09-29 2015-03-26 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Semiconductor device and method for manufacturing the same
KR20130043063A (en) 2011-10-19 2013-04-29 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Semiconductor device and manufacturing method thereof
TWI621183B (en) * 2011-12-01 2018-04-11 半導體能源研究所股份有限公司 Semiconductor device and method for manufacturing the same
CN102651340B (en) * 2011-12-31 2014-11-19 京东方科技集团股份有限公司 Manufacturing method of TFT (Thin Film Transistor) array substrate
US8841665B2 (en) * 2012-04-06 2014-09-23 Electronics And Telecommunications Research Institute Method for manufacturing oxide thin film transistor
WO2014109259A1 (en) * 2013-01-11 2014-07-17 シャープ株式会社 Display panel
US9012261B2 (en) 2013-03-13 2015-04-21 Intermolecular, Inc. High productivity combinatorial screening for stable metal oxide TFTs
WO2014159033A1 (en) * 2013-03-13 2014-10-02 Applied Materials, Inc. Vth control method of multiple active layer metal oxide semiconductor tft
WO2014149682A1 (en) * 2013-03-19 2014-09-25 Applied Materials, Inc. Multilayer passivation or etch stop tft
CN103337522B (en) * 2013-06-17 2016-06-15 南京中电熊猫液晶显示科技有限公司 A kind of metal oxide thin-film transistor array substrate and manufacture method thereof
CN103354218B (en) * 2013-06-28 2016-12-28 京东方科技集团股份有限公司 Array base palte and preparation method thereof and display device
CN105555822B (en) * 2013-07-15 2018-05-08 飞利斯有限公司 Can exposure image material and relevant electronic device and method
KR102147849B1 (en) * 2013-08-05 2020-08-25 삼성전자주식회사 Thin film transistor and method for fabricating the same
CN103500710B (en) * 2013-10-11 2015-11-25 京东方科技集团股份有限公司 A kind of thin-film transistor manufacture method, thin-film transistor and display device
US9704888B2 (en) 2014-01-08 2017-07-11 Apple Inc. Display circuitry with reduced metal routing resistance
US9530801B2 (en) 2014-01-13 2016-12-27 Apple Inc. Display circuitry with improved transmittance and reduced coupling capacitance
TW201547029A (en) 2014-06-13 2015-12-16 Chunghwa Picture Tubes Ltd Thin film transistor
CN104201188B (en) * 2014-08-22 2017-07-25 京东方科技集团股份有限公司 OLED pixel unit and preparation method thereof, display panel and display device
CN104992947B (en) * 2015-06-03 2018-01-12 合肥鑫晟光电科技有限公司 A kind of oxide semiconductor tft array substrate and preparation method thereof
US10134878B2 (en) * 2016-01-14 2018-11-20 Applied Materials, Inc. Oxygen vacancy of IGZO passivation by fluorine treatment
CN105514127A (en) * 2016-02-25 2016-04-20 昆山龙腾光电有限公司 Oxide thin-film transistor array substrate, production method thereof and liquid crystal display panel
CN105702586B (en) 2016-04-28 2019-06-07 京东方科技集团股份有限公司 A kind of thin film transistor (TFT), array substrate, its production method and display device
US10760156B2 (en) 2017-10-13 2020-09-01 Honeywell International Inc. Copper manganese sputtering target
US11035036B2 (en) 2018-02-01 2021-06-15 Honeywell International Inc. Method of forming copper alloy sputtering targets with refined shape and microstructure

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6436740B1 (en) * 1999-07-30 2002-08-20 Hannstar Display Corp. Tri-layer process for forming TFT matrix of LCD with reduced masking steps
US20100084655A1 (en) * 2008-10-08 2010-04-08 Canon Kabushiki Kaisha Field effect transistor and process for production thereof
US7750440B2 (en) * 2006-05-01 2010-07-06 Fuji Xerox Co., Ltd. Semiconductor film and manufacturing method thereof, light receiving element using semiconductor film, electrophotographic photoreceptor, process cartridge, and image forming device
US20100301343A1 (en) * 2009-06-01 2010-12-02 Qiu Cindy X Metal oxynitride thin film transistors and circuits
US7988470B2 (en) * 2009-09-24 2011-08-02 Applied Materials, Inc. Methods of fabricating metal oxide or metal oxynitride TFTs using wet process for source-drain metal etch
US8101949B2 (en) * 2008-07-02 2012-01-24 Applied Materials, Inc. Treatment of gate dielectric for making high performance metal oxide and metal oxynitride thin film transistors
US8188467B2 (en) * 2007-05-30 2012-05-29 Canon Kabushiki Kaisha Amorphous oxide and field effect transistor
US8274078B2 (en) * 2007-04-25 2012-09-25 Canon Kabushiki Kaisha Metal oxynitride semiconductor containing zinc

Family Cites Families (113)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4331737A (en) * 1978-04-01 1982-05-25 Zaidan Hojin Handotai Kenkyu Shinkokai Oxynitride film and its manufacturing method
FR2579754B1 (en) * 1985-04-02 1987-07-31 Centre Nat Rech Scient NITRIDES AND OXYNITRIDES USEFUL AS SELECTIVE DETECTORS OF REDUCING GASES IN THE ATMOSPHERE, AND DETECTION DEVICE CONTAINING THEM
US4769291A (en) * 1987-02-02 1988-09-06 The Boc Group, Inc. Transparent coatings by reactive sputtering
US4816082A (en) * 1987-08-19 1989-03-28 Energy Conversion Devices, Inc. Thin film solar cell including a spatially modulated intrinsic layer
FR2638527B1 (en) * 1988-11-02 1991-02-01 Centre Nat Rech Scient GALLIUM NITRIDE AND OXYNITRIDES USEFUL AS SELECTIVE DETECTORS OF REDUCING GASES IN THE ATMOSPHERE, PROCESS FOR THEIR PREPARATION, AND DETECTION DEVICE CONTAINING THEM
AU627948B2 (en) * 1989-11-01 1992-09-03 Aquazon Pty Limited Corrosion inhibition process
CA2034118A1 (en) * 1990-02-09 1991-08-10 Nang Tri Tran Solid state radiation detector
JP2999280B2 (en) * 1991-02-22 2000-01-17 キヤノン株式会社 Photovoltaic element
JP2994812B2 (en) 1991-09-26 1999-12-27 キヤノン株式会社 Solar cell
US5346601A (en) * 1993-05-11 1994-09-13 Andrew Barada Sputter coating collimator with integral reactive gas distribution
TW273067B (en) 1993-10-04 1996-03-21 Tokyo Electron Co Ltd
JPH07131030A (en) 1993-11-05 1995-05-19 Sony Corp Thin film semiconductor device for display and fabrication thereof
JP3571785B2 (en) * 1993-12-28 2004-09-29 キヤノン株式会社 Method and apparatus for forming deposited film
US5620523A (en) * 1994-04-11 1997-04-15 Canon Sales Co., Inc. Apparatus for forming film
US5522934A (en) * 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
US5668663A (en) * 1994-05-05 1997-09-16 Donnelly Corporation Electrochromic mirrors and devices
US5700699A (en) 1995-03-16 1997-12-23 Lg Electronics Inc. Method for fabricating a polycrystal silicon thin film transistor
JP3306258B2 (en) 1995-03-27 2002-07-24 三洋電機株式会社 Method for manufacturing semiconductor device
JP3169337B2 (en) * 1995-05-30 2001-05-21 キヤノン株式会社 Photovoltaic element and method for manufacturing the same
US6969635B2 (en) * 2000-12-07 2005-11-29 Reflectivity, Inc. Methods for depositing, releasing and packaging micro-electromechanical devices on wafer substrates
US5716480A (en) * 1995-07-13 1998-02-10 Canon Kabushiki Kaisha Photovoltaic device and method of manufacturing the same
JP3625598B2 (en) * 1995-12-30 2005-03-02 三星電子株式会社 Manufacturing method of liquid crystal display device
US5625199A (en) * 1996-01-16 1997-04-29 Lucent Technologies Inc. Article comprising complementary circuit with inorganic n-channel and organic p-channel thin film transistors
US6153013A (en) 1996-02-16 2000-11-28 Canon Kabushiki Kaisha Deposited-film-forming apparatus
US6746959B2 (en) * 1996-07-26 2004-06-08 Lg Philips Lcd Co., Ltd. Liquid crystal display and method
US6180870B1 (en) * 1996-08-28 2001-01-30 Canon Kabushiki Kaisha Photovoltaic device
US6159763A (en) 1996-09-12 2000-12-12 Canon Kabushiki Kaisha Method and device for forming semiconductor thin film, and method and device for forming photovoltaic element
US5993594A (en) 1996-09-30 1999-11-30 Lam Research Corporation Particle controlling method and apparatus for a plasma processing chamber
US6432203B1 (en) * 1997-03-17 2002-08-13 Applied Komatsu Technology, Inc. Heated and cooled vacuum chamber shield
US6238527B1 (en) * 1997-10-08 2001-05-29 Canon Kabushiki Kaisha Thin film forming apparatus and method of forming thin film of compound by using the same
JP4208281B2 (en) * 1998-02-26 2009-01-14 キヤノン株式会社 Multilayer photovoltaic device
TW410478B (en) 1998-05-29 2000-11-01 Lucent Technologies Inc Thin-film transistor monolithically integrated with an organic light-emitting diode
US6388301B1 (en) * 1998-06-01 2002-05-14 Kaneka Corporation Silicon-based thin-film photoelectric device
US6488824B1 (en) 1998-11-06 2002-12-03 Raycom Technologies, Inc. Sputtering apparatus and process for high rate coatings
US7235810B1 (en) * 1998-12-03 2007-06-26 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating the same
US20020084455A1 (en) * 1999-03-30 2002-07-04 Jeffery T. Cheung Transparent and conductive zinc oxide film with low growth temperature
KR100590925B1 (en) 1999-07-30 2006-06-19 비오이 하이디스 테크놀로지 주식회사 method for manufacturing the TFT- LCD
US6228236B1 (en) * 1999-10-22 2001-05-08 Applied Materials, Inc. Sputter magnetron having two rotation diameters
US6953947B2 (en) * 1999-12-31 2005-10-11 Lg Chem, Ltd. Organic thin film transistor
US6620719B1 (en) * 2000-03-31 2003-09-16 International Business Machines Corporation Method of forming ohmic contacts using a self doping layer for thin-film transistors
KR100679917B1 (en) * 2000-09-09 2007-02-07 엘지.필립스 엘시디 주식회사 Thin film transistor and the method of fabricating the same
AU2002235146A1 (en) * 2000-11-30 2002-06-11 North Carolina State University Non-thermionic sputter material transport device, methods of use, and materials produced thereby
JP2002252353A (en) * 2001-02-26 2002-09-06 Hitachi Ltd Thin-film transistor and active matrix type liquid crystal display device
KR100491141B1 (en) 2001-03-02 2005-05-24 삼성에스디아이 주식회사 TFT and Method for Fabricating the Same and Active Matrix display device and Method for fabricating the Same using the TFT
WO2002073313A1 (en) * 2001-03-13 2002-09-19 University Of Utah Structured organic materials and devices using low-energy particle beams
US6740938B2 (en) * 2001-04-16 2004-05-25 Semiconductor Energy Laboratory Co., Ltd. Transistor provided with first and second gate electrodes with channel region therebetween
JP2002373867A (en) * 2001-06-14 2002-12-26 Idemitsu Kosan Co Ltd Semiconductor device, electrically conductive thin film therefor, and method of manufacturing the same
JP4560245B2 (en) * 2001-06-29 2010-10-13 キヤノン株式会社 Photovoltaic element
US20030049464A1 (en) * 2001-09-04 2003-03-13 Afg Industries, Inc. Double silver low-emissivity and solar control coatings
JP4090716B2 (en) * 2001-09-10 2008-05-28 雅司 川崎 Thin film transistor and matrix display device
US20030207093A1 (en) 2001-12-03 2003-11-06 Toshio Tsuji Transparent conductive layer forming method, transparent conductive layer formed by the method, and material comprising the layer
US6825134B2 (en) 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
WO2003085746A1 (en) * 2002-04-09 2003-10-16 Kaneka Corporation Method for fabricating tandem thin film photoelectric converter
US7189992B2 (en) * 2002-05-21 2007-03-13 State Of Oregon Acting By And Through The Oregon State Board Of Higher Education On Behalf Of Oregon State University Transistor structures having a transparent channel
US7339187B2 (en) * 2002-05-21 2008-03-04 State Of Oregon Acting By And Through The Oregon State Board Of Higher Education On Behalf Of Oregon State University Transistor structures
SG130013A1 (en) * 2002-07-25 2007-03-20 Semiconductor Energy Lab Method of fabricating light emitting device
JP2006521461A (en) * 2002-12-31 2006-09-21 日本板硝子株式会社 Coater with substrate cleaning apparatus and coating deposition method using such a coater
JP2004363560A (en) * 2003-05-09 2004-12-24 Seiko Epson Corp Substrate, device, process for fabricating device, process for producing active matrix substrate,electrooptic device and electronic apparatus
JP5068946B2 (en) * 2003-05-13 2012-11-07 旭硝子株式会社 Transparent conductive substrate for solar cell and method for producing the same
TWI222753B (en) * 2003-05-20 2004-10-21 Au Optronics Corp Method for forming a thin film transistor of an organic light emitting display
JP4344270B2 (en) 2003-05-30 2009-10-14 セイコーエプソン株式会社 Manufacturing method of liquid crystal display device
US20050017244A1 (en) * 2003-07-25 2005-01-27 Randy Hoffman Semiconductor device
TWI230461B (en) * 2003-08-20 2005-04-01 Chi Mei Optoelectronics Corp Method for manufacturing thin film transistor panel
US7816863B2 (en) * 2003-09-12 2010-10-19 Semiconductor Energy Laboratory Co., Ltd. Light emitting device and method for manufacturing the same
US7520790B2 (en) * 2003-09-19 2009-04-21 Semiconductor Energy Laboratory Co., Ltd. Display device and manufacturing method of display device
JP4823478B2 (en) * 2003-09-19 2011-11-24 株式会社半導体エネルギー研究所 Method for manufacturing light emitting device
TWI224868B (en) 2003-10-07 2004-12-01 Ind Tech Res Inst Method of forming poly-silicon thin film transistor
US7026713B2 (en) * 2003-12-17 2006-04-11 Hewlett-Packard Development Company, L.P. Transistor device having a delafossite material
US7145174B2 (en) 2004-03-12 2006-12-05 Hewlett-Packard Development Company, Lp. Semiconductor device
US7297977B2 (en) 2004-03-12 2007-11-20 Hewlett-Packard Development Company, L.P. Semiconductor device
CN102856390B (en) * 2004-03-12 2015-11-25 独立行政法人科学技术振兴机构 Comprise the LCD of thin-film transistor or the transition components of OLED display
US7122398B1 (en) * 2004-03-25 2006-10-17 Nanosolar, Inc. Manufacturing of optoelectronic devices
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US7125758B2 (en) * 2004-04-20 2006-10-24 Applied Materials, Inc. Controlling the properties and uniformity of a silicon nitride film by controlling the film forming precursors
US20050233092A1 (en) * 2004-04-20 2005-10-20 Applied Materials, Inc. Method of controlling the uniformity of PECVD-deposited thin films
KR101260981B1 (en) * 2004-06-04 2013-05-10 더 보오드 오브 트러스티스 오브 더 유니버시티 오브 일리노이즈 Methods and devices for fabricating and assembling printable semiconductor elements
US7158208B2 (en) * 2004-06-30 2007-01-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20060011139A1 (en) * 2004-07-16 2006-01-19 Applied Materials, Inc. Heated substrate support for chemical vapor deposition
KR100721555B1 (en) * 2004-08-13 2007-05-23 삼성에스디아이 주식회사 Bottom gate thin film transistor and method fabricating thereof
US7378286B2 (en) * 2004-08-20 2008-05-27 Sharp Laboratories Of America, Inc. Semiconductive metal oxide thin film ferroelectric memory transistor
US7622338B2 (en) * 2004-08-31 2009-11-24 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
JP2006100760A (en) * 2004-09-02 2006-04-13 Casio Comput Co Ltd Thin-film transistor and its manufacturing method
CN1293606C (en) 2004-09-30 2007-01-03 浙江大学 Method or growing N-Al co-blended p type ZnO transistor film by two step method
US7382421B2 (en) * 2004-10-12 2008-06-03 Hewlett-Packard Development Company, L.P. Thin film transistor with a passivation layer
CA2708337A1 (en) * 2004-11-10 2006-05-18 Canon Kabushiki Kaisha Amorphous oxide and field effect transistor
US7309895B2 (en) 2005-01-25 2007-12-18 Hewlett-Packard Development Company, L.P. Semiconductor device
US7381586B2 (en) 2005-06-16 2008-06-03 Industrial Technology Research Institute Methods for manufacturing thin film transistors that include selectively forming an active channel layer from a solution
US7691666B2 (en) * 2005-06-16 2010-04-06 Eastman Kodak Company Methods of making thin film transistors comprising zinc-oxide-based semiconductor materials and transistors made thereby
US7628896B2 (en) * 2005-07-05 2009-12-08 Guardian Industries Corp. Coated article with transparent conductive oxide film doped to adjust Fermi level, and method of making same
US7829471B2 (en) * 2005-07-29 2010-11-09 Applied Materials, Inc. Cluster tool and method for process integration in manufacturing of a photomask
US20070030569A1 (en) * 2005-08-04 2007-02-08 Guardian Industries Corp. Broad band antireflection coating and method of making same
JP4968660B2 (en) * 2005-08-24 2012-07-04 スタンレー電気株式会社 Manufacturing method of ZnO-based compound semiconductor crystal and ZnO-based compound semiconductor substrate
KR100729043B1 (en) * 2005-09-14 2007-06-14 삼성에스디아이 주식회사 Transparent Thin Film Transistor and Fabrication Method for the same
US20070068571A1 (en) * 2005-09-29 2007-03-29 Terra Solar Global Shunt Passivation Method for Amorphous Silicon Thin Film Photovoltaic Modules
EP1995787A3 (en) * 2005-09-29 2012-01-18 Semiconductor Energy Laboratory Co, Ltd. Semiconductor device having oxide semiconductor layer and manufacturing method therof
KR100785038B1 (en) 2006-04-17 2007-12-12 삼성전자주식회사 Amorphous ZnO based Thin Film Transistor
JP2007294709A (en) 2006-04-26 2007-11-08 Epson Imaging Devices Corp Electro-optical device, electronic equipment, and method for manufacturing electro-optical device
US20090023959A1 (en) * 2006-06-16 2009-01-22 D Amore Michael B Process for making dibutyl ethers from dry 1-butanol
KR101340514B1 (en) * 2007-01-24 2013-12-12 삼성디스플레이 주식회사 Thin film transistor substrate and method of fabricating the same
KR100851215B1 (en) * 2007-03-14 2008-08-07 삼성에스디아이 주식회사 Thin film transistor and organic light-emitting dislplay device having the thin film transistor
KR100982395B1 (en) * 2007-04-25 2010-09-14 주식회사 엘지화학 Thin film transistor and method for preparing the same
US7927713B2 (en) * 2007-04-27 2011-04-19 Applied Materials, Inc. Thin film semiconductor material produced through reactive sputtering of zinc target using nitrogen gases
JP5215589B2 (en) * 2007-05-11 2013-06-19 キヤノン株式会社 Insulated gate transistor and display device
US20080308411A1 (en) 2007-05-25 2008-12-18 Energy Photovoltaics, Inc. Method and process for deposition of textured zinc oxide thin films
US8372250B2 (en) * 2007-07-23 2013-02-12 National Science And Technology Development Agency Gas-timing method for depositing oxynitride films by reactive R.F. magnetron sputtering
EP2183780A4 (en) * 2007-08-02 2010-07-28 Applied Materials Inc Thin film transistors using thin film semiconductor materials
US20090212287A1 (en) * 2007-10-30 2009-08-27 Ignis Innovation Inc. Thin film transistor and method for forming the same
US8980066B2 (en) * 2008-03-14 2015-03-17 Applied Materials, Inc. Thin film metal oxynitride semiconductors
WO2009117438A2 (en) * 2008-03-20 2009-09-24 Applied Materials, Inc. Process to make metal oxide thin film transistor array with etch stopping layer
US7879698B2 (en) * 2008-03-24 2011-02-01 Applied Materials, Inc. Integrated process system and process sequence for production of thin film transistor arrays using doped or compounded metal oxide semiconductor
EP2184783B1 (en) * 2008-11-07 2012-10-03 Semiconductor Energy Laboratory Co, Ltd. Semiconductor device and method for manufacturing the same
US8436350B2 (en) * 2009-01-30 2013-05-07 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device using an oxide semiconductor with a plurality of metal clusters
TWI489628B (en) * 2009-04-02 2015-06-21 Semiconductor Energy Lab Semiconductor device and method for manufacturing the same

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6436740B1 (en) * 1999-07-30 2002-08-20 Hannstar Display Corp. Tri-layer process for forming TFT matrix of LCD with reduced masking steps
US7750440B2 (en) * 2006-05-01 2010-07-06 Fuji Xerox Co., Ltd. Semiconductor film and manufacturing method thereof, light receiving element using semiconductor film, electrophotographic photoreceptor, process cartridge, and image forming device
US8274078B2 (en) * 2007-04-25 2012-09-25 Canon Kabushiki Kaisha Metal oxynitride semiconductor containing zinc
US8188467B2 (en) * 2007-05-30 2012-05-29 Canon Kabushiki Kaisha Amorphous oxide and field effect transistor
US8101949B2 (en) * 2008-07-02 2012-01-24 Applied Materials, Inc. Treatment of gate dielectric for making high performance metal oxide and metal oxynitride thin film transistors
US20100084655A1 (en) * 2008-10-08 2010-04-08 Canon Kabushiki Kaisha Field effect transistor and process for production thereof
US20100301343A1 (en) * 2009-06-01 2010-12-02 Qiu Cindy X Metal oxynitride thin film transistors and circuits
US7988470B2 (en) * 2009-09-24 2011-08-02 Applied Materials, Inc. Methods of fabricating metal oxide or metal oxynitride TFTs using wet process for source-drain metal etch

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9533672B2 (en) 2011-06-15 2017-01-03 Bayerische Motoren Werke Aktiengesellschaft Method for damping mechanical vibrations in a vehicle
US9515175B2 (en) 2011-10-24 2016-12-06 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same

Also Published As

Publication number Publication date
TW200952181A (en) 2009-12-16
TWI415267B (en) 2013-11-11
WO2009117438A2 (en) 2009-09-24
US8143093B2 (en) 2012-03-27
WO2009117438A3 (en) 2009-11-19
US20090236597A1 (en) 2009-09-24

Similar Documents

Publication Publication Date Title
US8143093B2 (en) Process to make metal oxide thin film transistor array with etch stopping layer
US8298879B2 (en) Methods of fabricating metal oxide or metal oxynitride TFTS using wet process for source-drain metal etch
US7879698B2 (en) Integrated process system and process sequence for production of thin film transistor arrays using doped or compounded metal oxide semiconductor
CN105097951B (en) Gate dielectric layer treatment for making high performance metal oxide and metal oxynitride thin film transistors
KR101536101B1 (en) Thin film transistors using thin film semiconductor materials
US8796122B2 (en) Method of fabricating display device having a pixel region and a circuit region over the same substrate
US7674662B2 (en) Process for making thin film field effect transistors using zinc oxide
KR20070043393A (en) Method for crystalizing armophous silicon and method of manufacturing thin film transistor using the same
WO2011087514A1 (en) Etch stop microcrystalline thin film transistor

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:YE, YAN;REEL/FRAME:027395/0308

Effective date: 20090423

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION