US20150132863A1 - Plasma processing apparatus and heater temperature control method - Google Patents

Plasma processing apparatus and heater temperature control method Download PDF

Info

Publication number
US20150132863A1
US20150132863A1 US14/368,548 US201314368548A US2015132863A1 US 20150132863 A1 US20150132863 A1 US 20150132863A1 US 201314368548 A US201314368548 A US 201314368548A US 2015132863 A1 US2015132863 A1 US 2015132863A1
Authority
US
United States
Prior art keywords
temperature
heater
zones
zone
processing apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US14/368,548
Inventor
Kaoru Oohashi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to US14/368,548 priority Critical patent/US20150132863A1/en
Assigned to TOKYO ELECTRON LIMITED reassignment TOKYO ELECTRON LIMITED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: OOHASHI, KAORU
Publication of US20150132863A1 publication Critical patent/US20150132863A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02NELECTRIC MACHINES NOT OTHERWISE PROVIDED FOR
    • H02N13/00Clutches or holding devices using electrostatic attraction, e.g. using Johnson-Rahbek effect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits

Definitions

  • the present invention relates to a plasma processing apparatus and a heater temperature control method.
  • Temperature control of a workpiece placed on a mounting table is indispensable for controlling an etching rate, for example. Temperature control affects the uniformity of a plasma process performed on the workpiece and is therefore an important aspect of the plasma process.
  • Patent Document 1 discloses a temperature control technique implemented by a heater embedded electrostatic chuck mechanism. According to Patent Document 1, heaters arranged in the heater embedded electrostatic chuck mechanism are divided into two zones including a circular center zone and an edge zone that is concentrically arranged around the outer periphery of the center zone, and temperature control is implemented with respect to each of these zones.
  • Patent Document 1 Japanese Laid-Open Patent Publication No. 2008-85329
  • the heater area of one zone is still relatively large such that unevenness may be created in the temperature distribution within the same zone even when temperature control is implemented with respect to each zone.
  • uniformity in the etching rate and the etching shape may not be achieved.
  • Etching characteristics are particularly degraded at a boundary portion between the center zone and the edge zone.
  • one aspect of the present invention relates to providing a plasma processing apparatus and a heater temperature control method that are capable of dividing a heater arranged within or near an electrostatic chuck into at least four zones and implementing temperature control with respect to each of these zones.
  • a plasma processing apparatus configured to convert a gas into plasma using a high frequency power and perform a plasma process on a workpiece using an action of the plasma.
  • the plasma processing apparatus includes a processing chamber that can be depressurized, a mounting table that is arranged within the processing chamber and is configured to hold the workpiece, an electrostatic chuck that is arranged on the mounting table and is configured to electrostatically attract the workpiece by applying a voltage to a chuck electrode, a heater arranged within or near the electrostatic chuck, and a temperature control unit.
  • the heater is divided into a plurality of zones including a circular center zone, at least two middle zones arranged concentrically at an outer periphery side of the center zone, and an edge zone arranged concentrically at an outermost periphery.
  • the temperature control unit is configured to adjust a control temperature of the heater with respect to each of the plurality of zones.
  • a heater temperature control method for controlling a temperature of a heater arranged in a plasma processing apparatus, which is configured to convert a gas into plasma using a high frequency power and perform a plasma process on a workpiece using an action of the plasma.
  • the plasma processing apparatus includes a processing chamber that can be depressurized, a mounting table that is arranged within the processing chamber and is configured to hold the workpiece, an electrostatic chuck that is arranged on the mounting table and is configured to electrostatically attract the workpiece by applying a voltage to a chuck electrode, a heater arranged within or near the electrostatic chuck, and a storage unit.
  • the heater is divided into a plurality of zones including a circular center zone, at least two middle zones arranged concentrically at an outer periphery side of the center zone, and an edge zone arranged concentrically at an outermost periphery.
  • the storage unit stores in advance a correlation between a setting temperature of each of the zones and a current value to be applied to the heater to control the heater to a control temperature of each of the zones, the control temperature being obtained by correcting a deviation of a surface temperature of the electrostatic chuck with respect to the setting temperature of each of the zones and correcting a temperature interference from an adjacent zone with respect to the setting temperature of each of the zones.
  • the heater temperature control method includes the steps of acquiring a temperature detected by a temperature sensor arranged in at least one zone of the plurality of zones and setting up the acquired temperature as a setting temperature of the at least one zone, calculating a current value to be applied to the heater of each of the zones based on the acquired setting temperature of the at least one zone and the correlation stored in the storage unit, and controlling the temperature of the heater of each of the zones by applying the calculated current value to the heater of each of the zones.
  • a heater arranged within or near an electrostatic chuck may be divided into at least four zones and temperature control may be implemented with respect to each of these zones.
  • FIG. 1 illustrates an overall configuration of a plasma processing apparatus according to an embodiment of the present invention
  • FIG. 2 is an enlarged view of a heater embedded electrostatic chuck mechanism of FIG. 1 including a heater arranged near an electrostatic chuck;
  • FIG. 3 is an enlarged view of a heater embedded electrostatic chuck mechanism including a heater arranged within an electrostatic chuck according to a first modified embodiment
  • FIG. 4 is an enlarged view of a heater embedded electrostatic chuck mechanism including a heater arranged near an electrostatic chuck according to a second modified embodiment
  • FIG. 5 illustrates exemplary process steps that may be performed by the plasma processing apparatus according to an embodiment of the present invention
  • FIG. 6 illustrates process results of implementing temperature control when a heater is divided into two zones
  • FIG. 7 illustrates process results of implementing temperature control when the heater is divided into two zones and when the heater is divided into four zones
  • FIG. 8 illustrates process results of implementing temperature control when the heater is divided into two zones and when the heater is divided into four zones
  • FIG. 9 illustrates an exemplary arrangement of the areas of the zones and power switching at the zones of the heater according to an embodiment of the present invention
  • FIG. 10 illustrates another exemplary arrangement of the areas of the zones and power switching at the zones of the heater according to an embodiment of the present invention
  • FIG. 11 illustrates an arrangement of the zones of the heater and a temperature sensor according to an embodiment of the present invention
  • FIG. 12 illustrates another arrangement of the zones of the heater and temperature sensors according to an embodiment of the present invention
  • FIG. 13 illustrates a functional configuration of a control device according to an embodiment of the present invention
  • FIG. 14 illustrates a method of calculating correction values ⁇ 1 and ⁇ 1 with respect to a heater setting temperature Y 1 according to an embodiment of the present invention
  • FIG. 15 illustrates a method of calculating correction values ⁇ 2 and ⁇ 2 with respect to a heater setting temperature Y 2 according to an embodiment of the present invention
  • FIG. 16 illustrates a method of calculating correction values ⁇ 3 and ⁇ 3 with respect to a heater setting temperature Y 3 according to an embodiment of the present invention
  • FIG. 17 illustrates a method of calculating correction values ⁇ 4 and ⁇ 4 with respect to a heater setting temperature Y 4 according to an embodiment of the present invention
  • FIG. 18 illustrates corrections implemented with respect to the setting temperatures of the zones and corresponding input current values to be applied to the zones
  • FIG. 19 is a flowchart illustrating process steps of a temperature control process according to an embodiment of the present invention.
  • the plasma processing apparatus 1 illustrated in FIG. 1 is configured as a dual frequency capacitively coupled plasma etching apparatus.
  • the plasma processing apparatus 1 includes a cylindrical vacuum chamber (processing chamber) 10 (simply referred to as “chamber” hereinafter) made of aluminum having an alumite-treated (anodized) surface, for example.
  • the chamber 10 may be grounded, for example.
  • a mounting table 12 configured to hold a semiconductor wafer W (hereinafter, simply referred to as a “wafer W”) thereon as a workpiece is arranged within the chamber 10 .
  • the mounting table 12 may be made of aluminum, for example, and is supported on a cylindrical support 16 via an insulating cylindrical holder 14 .
  • the cylindrical support 16 extends vertically upward from a bottom of the chamber 10 .
  • a focus ring 18 that may be made of silicon, for example, is arranged on a top surface of the mounting table 12 to surround the outer edge of an electrostatic chuck 40 .
  • An exhaust path 20 is formed between a sidewall of the chamber 10 and the cylindrical support 16 .
  • a ring-shaped baffle plate 22 is arranged in the exhaust path 20 .
  • An exhaust port 24 is formed at a bottom portion of the exhaust path 20 and is connected to an exhaust device 28 via an exhaust pipe 26 .
  • the exhaust device 28 includes a vacuum pump (not shown) and is configured to depressurize a processing space within the chamber 10 to a predetermined vacuum level.
  • a gate valve 30 configured to open/close an entry/exit port for the wafer W is provided at the sidewall of the chamber 10 .
  • a first high frequency power supply 31 for drawing ions and a second high frequency power supply 32 for plasma generation are electrically connected to the mounting table 12 via a matching unit 33 and a matching unit 34 , respectively.
  • the first high frequency power supply 31 may be configured to apply to the mounting table 12 a first high frequency power of a relatively low frequency (e.g. 0.8 MHz) that is suitable for drawing ions from within the plasma onto the wafer W placed on the mounting table 12 .
  • the second high frequency power supply 32 may be configured to apply to the mounting table 12 a second high frequency power of a higher frequency (e.g. 60 MHz) that is suitable for generating a plasma within the chamber 10 .
  • the mounting table 12 also acts as a lower electrode.
  • a shower head 38 which is described below, is provided at a ceiling portion of the chamber 10 .
  • the shower head 38 acts as an upper electrode at a ground potential. In this way, the second high frequency power from the second high frequency power supply 32 is capacitively applied between the mounting table 12 and the shower head 38 .
  • the electrostatic chuck 40 configured to hold the wafer W by an electrostatic attractive force is provided on the top surface of the mounting table 12 .
  • the electrostatic chuck 40 includes an electrode 40 a that is made of a conductive film and is arranged between a pair of insulating layers 40 b (see FIGS. 2-4 ) or insulating sheets.
  • a DC voltage supply 42 is electrically connected to the electrode 40 a via a switch 43 .
  • the electrostatic chuck 40 electrostatically attracts and holds the wafer W by a Coulomb force that is generated when a voltage is applied thereto from the DC voltage supply 42 .
  • a heat transfer gas supply source 52 is configured to supply a heat transfer gas such as He gas between the backside surface of the wafer W and the top surface of the electrostatic chuck 40 through a gas supply line 54 .
  • the shower head 38 disposed at the ceiling portion of the chamber 10 includes an electrode plate 56 having multiple gas holes 56 a and an electrode supporting body 58 configured to detachably hold the electrode plate 56 .
  • a gas supply source 62 supplies gas to the shower head 38 via a gas supply pipe 64 , which is connected to a gas inlet 60 a . In this way, the gas may be introduced into the chamber 10 from the multiple gas holes 56 a.
  • a magnet 66 is arranged to extend annularly or concentrically around the chamber 10 so that the plasma generated within a plasma generation space of the chamber 10 may be controlled by the magnetic force of the magnet 66 .
  • a coolant path 70 is formed within the mounting table 12 .
  • a coolant cooled to a predetermined temperature is supplied to the coolant path 70 from a chiller unit 71 via pipes 72 and 73 .
  • a heater 75 that is divided into four zones is attached to the backside surface of the electrostatic chuck 40 . Note that the configuration of the heater 75 is described in detail below.
  • a desired AC voltage is applied to the heater 75 from an AC power supply 44 . In this way, the temperature of the wafer W may be adjusted to a desired temperature through cooling by the chiller unit 71 and heating by the heater 75 . Note that such temperature control may be performed based on a command from a control device 80 .
  • the control device 80 is configured to control the individual components of the plasma processing apparatus 1 such as the exhaust device 28 , the AC power supply 44 , the DC voltage supply 42 , the switch 43 for the electrostatic chuck, the first high frequency power supply 31 , the second high frequency power supply 32 , the matching units 33 and 34 , the heat transfer gas supply source 52 , the gas supply source 62 , and the chiller unit 71 .
  • the control device 80 also acquires a sensor temperature detected by a temperature sensor 77 attached to the backside surface of the heater 75 . Note that the control device 80 may be connected to a host computer (not shown).
  • the control device 80 includes a CPU (Central Processing Unit), a ROM (Read Only Memory), and a RAM (Random Access Memory), which are not shown.
  • the CPU executes a plasma process according to various recipes stored in a storage unit 83 illustrated in FIG. 13 , for example.
  • the storage unit 83 storing the recipes may be configured as a RAM or a ROM using a semiconductor memory, a magnetic disk, or an optical disk, for example.
  • the recipes may be stored in a storage medium and loaded in the storage unit 83 via a driver, for example. Alternatively, the recipes may be downloaded from a network (not shown) and stored in the storage unit 83 , for example.
  • a DSP digital signal processor
  • the functions of the control device 80 may be implemented by software, hardware, or a combination thereof.
  • the gate valve 30 When performing an etching process using the plasma processing apparatus 1 having the above-described configuration, first, the gate valve 30 is opened, and a wafer W that is held by a transfer arm is loaded into the chamber 10 . Then, the wafer W is lifted from the transfer arm by pusher pins (not shown), and the wafer W is placed on the electrostatic chuck 40 when the pusher pins are lowered. After the wafer W is loaded, the gate valve 30 is closed. Then, an etching gas is introduced into the chamber 10 from the gas supply source 62 at a predetermined flow rate and flow rate ratio, and the internal pressure of the chamber 10 is reduced to a predetermined pressure by the exhaust device 28 .
  • high frequency powers at predetermined power levels are supplied to the mounting table 12 from the first high frequency power supply 31 and the second high frequency power supply 32 .
  • a voltage from the DC voltage supply 42 is applied to the electrode 40 a of the electrostatic chuck 40 so that the wafer W may be fixed to the electrostatic chuck 40 .
  • a heat transfer gas from the heat transfer gas supply source 52 is supplied between the top surface of the electrostatic chuck 40 and the backside surface of the wafer W. Etching gas sprayed into the chamber 10 from the shower head 38 is excited into a plasma by the first high frequency power from the first high frequency power supply 32 .
  • the plasma is generated within the plasma generation space between the upper electrode (shower head 38 ) and the lower electrode (mounting table 12 ), and a main surface of the wafer W is etched by ions and radicals included in the generated plasma. Also, the ions in the plasma may be drawn toward the wafer W by the first high frequency power from the first high frequency power supply 31 .
  • the wafer W is lifted and held by the pusher pins, the gate valve 30 is opened, and the transfer arm is introduced into the chamber 10 . Then, the pusher pins are lowered so that the wafer W may be held by the transfer arm. Then, the transfer arm exits the chamber 10 , and a next wafer W is loaded into the chamber 10 by the transfer arm.
  • FIG. 2 is an enlarged view of the mounting table 12 and the electrostatic chuck 40 illustrated in FIG. 1 .
  • the heater 75 is attached to the backside surface of the electrostatic chuck 40 .
  • the heater 75 may be arranged within or near the electrostatic chuck 40 .
  • the heater 75 is embedded within the insulating layer 40 b of the electrostatic chuck 40 .
  • the heater 75 is divided into a circular center zone A, two middle zones (inner middle zone B and outer middle zone C) arranged concentrically around the outer periphery side of the center zone A, and an edge zone D arranged concentrically around the outermost periphery (see FIGS. 11 and 12 ).
  • the middle zones are divided into two zones in the present embodiment, the middle zones may also be divided into three or more zones, for example.
  • the middle zones of the heater 75 are preferably divided into at least three zones in order to achieve higher temperature controllability at the middle zones.
  • the electrostatic chuck 40 and the mounting table 12 may be attached to one another by an adhesive, for example.
  • the heater 75 attached to the electrostatic chuck 40 may be embedded within an adhesive layer 74 and fixed between the electrostatic chuck 40 and the mounting table 12 .
  • the arrangement of the heater 75 may be freely altered until right before the electrostatic chuck 40 and the mounting table 12 are bound together by the adhesive layer 74 .
  • the heater pattern may still be altered by detaching the electrostatic chuck 40 and the mounting table 12 , altering the heater pattern as desired, reapplying an adhesive on the heater 75 , and reattaching the electrostatic chuck 40 and the mounting table 12 together.
  • the heater 75 is embedded within the electrostatic chuck 40
  • the heater 75 is fixed within the insulating layer 40 b when the insulating layer 40 b is sintered.
  • the heater pattern may not be altered after the heater 75 is embedded within the insulating layer 40 b .
  • a heater configuration enabling easy rearrangement of the heater pattern such as that illustrated in FIG. 2 is preferably used rather than the heater configuration having the heater 75 embedded within the electrostatic chuck 40 as illustrated in FIG. 3 .
  • the heater 75 is embedded in the adhesive layer 74 .
  • the heater 75 may not be arranged near the edge portions of the electrostatic chuck 40 because thin ceramic portions of the insulating layer 40 b may break when the insulating layer 40 b is sintered.
  • the heater 75 may be arranged to extend near the edge portions of the electrostatic chuck 40 in FIG. 2 .
  • the temperature of the electrostatic chuck 40 may be uniformly controlled up to its outermost edge portions in the heater configuration of FIG. 2 where the heater 75 is attached to the backside surface of the electrostatic chuck 40 .
  • the coolant path 70 arranged opposite the heater 75 may be arranged into a pattern corresponding to the zones of the heater 75 as illustrated in FIG. 4 , for example. In this way, temperature controllability and responsiveness may be improved by the cooling by the coolant flowing in the coolant path 70 arranged according to the zones of the heater 75 and heating by the heater 75 .
  • FIG. 5 illustrates exemplary process steps of the plasma process that may be implemented by the plasma processing apparatus 1 of the present embodiment. Note that in the following description of the process steps, setting temperatures of a heater divided into two zones (center/edge) corresponding to a comparison example are indicated as exemplary heater temperature control conditions corresponding to one of the process conditions of the plasma process.
  • a silicon oxide (SiO 2 ) film 108 having a silicon nitride (SiN) film 106 , an amorphous silicon ( ⁇ -Si) film 104 , an anti-reflection (BARC: bottom anti-reflective coating) film 102 , and a photoresist film 100 stacked thereon in this order is illustrated.
  • the silicon oxide film 108 corresponds to an interlayer insulating film formed by CVD (chemical vapor deposition) using TEOS (tetraethoxysilane).
  • the BARC (anti-reflection) film 102 may be formed on the amorphous silicon ( ⁇ -Si) film 104 by a coating process, for example.
  • the BARC film 102 is made of a polymer resin containing a pigment that absorbs light having a specific wavelength such as ArF excimer laser light that is irradiated toward the photoresist film 100 , for example.
  • the BARC film 102 prevents the ArF excimer laser light that has passed through the photoresist film 100 from being reflected back to the photoresist film 100 by the amorphous silicon film 104 .
  • the photoresist film 100 may be formed on the BARC film 102 using a spin coater (not shown), for example.
  • the photoresist film 100 has a pattern (resist pattern) formed thereon including openings arranged at positions where predetermined holes are to be formed.
  • the BARC film 102 is etched using the photoresist film 100 as a mask. In this way, the openings of the resist pattern are transferred to the BARC film 102 .
  • the amorphous silicon film 104 is etched using the photoresist film 100 and the BARC film 102 as masks. In this way, the pattern of the BARC film 102 may be transferred to the amorphous silicon film 104 .
  • O 2 ashing is performed and the photoresist film 100 and the BARC film 102 are removed.
  • the silicon nitride film 106 is etched using the amorphous silicon film 104 as a mask (main etching). In this way, the pattern of the amorphous silicon film 104 may be transferred to the silicon nitride film 106 .
  • the silicon oxide film 108 is etched using the amorphous silicon film 104 and the silicon nitride film 106 as masks (over etching). Note that a portion of the silicon nitride film 106 remains on the silicon oxide film 108 when this process step is performed.
  • the silicon nitride film 106 is completely removed (breakthrough etching).
  • O 2 ashing is performed after the breakthrough etching step. In this way, deposited matter may be removed.
  • the resist pattern may be successively transferred to a lower layer film, and holes having a predetermined opening width may ultimately be formed in the silicon oxide film 108 .
  • FIG. 6 illustrates deviations in the diameters (hereinafter referred to as “CD”, which stands for critical dimension) of holes formed on the wafer W by the above process steps.
  • FIG. 6 illustrates the deviations in the CD measurements of the holes in a radial direction from the wafer center side to the wafer periphery side. The CD measurements were made at four different measurement points arranged 90 degrees apart from each other along a circumferential direction, and such CD measurements were made with respect to multiple wafer positions along the radial direction from the wafer center side to the wafer periphery side.
  • FIG. 6 represents the result of superposing the above measurement points along a single axis.
  • the horizontal axis of FIG. 6 represents a radial position of the wafer with respect to the wafer center, and the vertical axis of FIG. 6 represents the CD of the holes formed at various positions.
  • the graph on the left side of FIG. 6 represents CD measurements of the holes formed on the amorphous silicon film 104 after the etching step for etching the amorphous silicon film 104 illustrated by S 3 of FIG. 5 has been performed.
  • the graph on the right side of FIG. 6 represents CD measurements of the holes formed on the silicon oxide film 108 after all the process steps up to S 7 of FIG. 5 have been performed.
  • the heater 75 is divided into a center zone and an edge zone at a position approximately 130 (mm) from the wafer center.
  • the deviations in the CD of the holes become even wider after all the process steps of FIG. 5 are performed.
  • the CD of the holes become larger near the wafer center (widening near wafer center) and the CD of the holes become smaller near the wafer edge (narrowing near wafer edge) owing to an inadequacy in the implementation of temperature control.
  • the anomaly (irregularity) in the CD around the wafer center may be attributed to plasma, particularly radicals, existing at a higher density above the wafer center region.
  • the anomaly (irregularity) in the CD around the wafer edge may be attributed to a tendency for heat to be trapped within the wafer edge region and prevented from escaping outside.
  • the heater 75 is divided into a plurality of zones such that temperature control may be separately implemented on a center zone A and an edge zone D.
  • the CD becomes gradually greater toward the outer periphery side even within a middle region between the center zone A and the edge zone D.
  • the middle region is divided into two middle zones (i.e., inner middle zone B and outer middle zone C).
  • the heater 75 is divided into four zones. Note, however, that the present invention is not limited to the above embodiment, and the middle region of the heater 75 may be divided into three or more zones such that the heater 75 may be divided into a total of five or more zones.
  • the top graph of FIG. 7 represents measurement results of the wafer temperature in relation to the heater setting temperature to illustrate in-plane uniformity of the wafer temperature in exemplary cases where temperature control is implemented on the heater 75 that is divided into two zones. That is, the top graph of FIG. 7 represents average values of the wafer temperature in cases where the center zone of the two zones is controlled to a setting temperature of 60° C., and the edge zone of the two zones is controlled to a setting temperature of 40° C., 50° C., 60° C., and 70° C. while plasma processes are performed according to the process steps illustrated in FIG. 5 . An increase in the wafer temperature with respect to the setting temperature may be attributed to heat input from plasma.
  • in-plane uniformity of the wafer temperature cannot be achieved in any of the above cases.
  • the temperature of the middle zone cannot be controlled in the above cases, substantial deviations occur at the outer periphery side of the center zone and the edge zone.
  • the wafer temperature at the wafer edge side increases as the heater setting temperature increases owing to a tendency for heat to be trapped within the wafer edge region and prevented from escaping outside.
  • the lower graph of FIG. 7 indicates a curved line S1 representing an estimated relationship between the heater setting temperature and the in-plane uniformity of the wafer temperature in a case where temperature control is implemented on the heater 75 that is divided into four zones.
  • the diamond-shaped dots plotted in the lower graph of FIG. 7 represent CDs of holes formed in a case where the heater 75 is divided into two zones and the center zone and the edge zone are controlled to setting temperatures of 60° C. and 40° C., respectively.
  • the square-shaped dots plotted in the lower graph of FIG. 7 represent CDs of holes formed in a case where the heater 75 is divided into two zones and the center zone and the edge zone are controlled to setting temperatures of 60° C. and 50° C., respectively.
  • the lower graph of FIG. 7 indicates a curved line S2 representing an estimated relationship between the heater setting temperature and the in-plane uniformity of the wafer temperature in a case where the center zone and the edge zone of the heater 75 that is divided into two zones are controlled to setting temperatures of 60° C. and 60° C., respectively.
  • the heater 75 is divided into four zones, and the center zone A, the inner middle zone B, the outer middle zone C, and the edge zone D are controlled to setting temperatures of 70° C., 60° C., 70° C., and 50° C., respectively, for example, improved in-plane uniformity of the wafer temperature may be achieved as illustrated by the curved line S1. That is, in the above case, the setting temperatures for the center zone A and the outer middle zone C are set at a higher temperature of 70° C. compared to the setting temperature 60° C. for the inner middle zone B. In this way, a decrease in CD deviations and improved in-plane uniformity of the wafer temperature may be expected.
  • the right side graph of FIG. 8 represents process results obtained from performing the process steps according to the recipe.
  • the left side graph of FIG. 8 illustrates the process results in the case where the heater 75 is divided into two zones as a comparison example. As can be appreciated by comparing the left side and right side graphs of FIG.
  • the “widening near wafer center” and the “narrowing near wafer edge” of the CD that occur when the heater 75 is divided into two zones cannot be observed thereby indicating that in-plane uniformity of the wafer temperature can be achieved.
  • the setting temperatures of the center zone/edge zone during the etching process step for etching the BARC film 102 in the case of implementing the 2-zone temperature control were prescribed to be 60/50° C.
  • the setting temperatures of the center zone/edge zone during the etching process step for etching the silicon nitride film 106 in the case of implementing the 2-zone temperature control were prescribed to be 35/35° C.
  • the setting temperatures of the center zone/inner middle zone/outer middle zone/edge zone during the etching process step for etching the BARC film 102 in the case of implementing the 4-zone temperature control were prescribed to be 60/45/45/43° C.
  • the setting temperatures of the center zone/inner middle zone/outer middle zone/edge zone during the etching process step for etching the silicon nitride film 106 in the case of implementing the 4-zone temperature control were prescribed to be 40/45/50/50° C.
  • FIGS. 9 and 10 illustrate exemplary embodiments of the heater 75 that is divided into four zones.
  • the center zone A has the largest area, and the four zones have areas that become gradually smaller from the center zone A toward the edge zone D. That is, the area of the heater zone at the outermost edge is the smallest.
  • temperature control may be more intricately performed as the temperature control position comes closer toward the outermost periphery, and in this way, temperature uniformity may be improved.
  • the center zone A has the largest area, and the areas of the zones become smaller from the center zone A toward the outer middle zone C.
  • the area of the outer middle zone C is smaller than the edge zone D. That is, the outer middle zone C, which is second closest to the outermost periphery, has the smallest area.
  • temperature control may be more intricately performed with respect to the outermost middle zone positioned toward the inner side with respect to the outermost edge zone, and in this way, temperature uniformity may be improved.
  • the AC power supply 44 may be switched on/off at the middle zones (inner middle zone B and/or outer middle zone C).
  • middle zones inner middle zone B and/or outer middle zone C
  • FIG. 10 by switching on/off the power of the outer middle zone C having the smallest zone area, temperature interference from the outer middle zone C to its adjacent zones D and B may be prevented.
  • temperature control may be implemented based on the correlation between the temperatures of the adjacent zones D and B, and temperature controllability of the wafer W may be improved in some cases.
  • energy consumption may be reduced.
  • the AC power supply 44 may not be switched on/off at the center zone A and the edge zone D. This is because plasma exists at a high density around the wafer center and heat tends to be trapped within the outermost region of the wafer to be prevented from escaping outside as described above. That is, the center zone A and the edge zone D have anomalies in their temperature distributions such that temperature control at these regions is believed to be indispensable.
  • the heater 75 arranged within or near the electrostatic chuck 40 is divided into at least four zones.
  • temperature control may be separately implemented with respect to the center zone A and the outermost edge zone D in which anomalies occur due to plasma conditions and/or the apparatus configuration, for example.
  • temperature control of the heater may be more intricately conducted.
  • in-plane uniformity of the wafer temperature may be achieved. Note that in the case where the size (diameter) of the wafer is greater than or equal to 450 mm, the area of the middle region becomes relatively large and accurate temperature control of the middle region becomes difficult.
  • the middle region may be subdivided into smaller zones according to the size of the wafer upon implementing temperature control.
  • the heater 75 is divided into four zones.
  • the center zone A and the edge zone D each have one zone arranged adjacent thereto.
  • the middle zones B and C in the middle region each have two zones arranged adjacent thereto.
  • the zones receive temperature interference from their adjacent zones.
  • the middle zones B and C in the middle region receive temperature interference from both sides.
  • more accurate temperature control may be possible by correcting the temperature interference from the adjacent zones with respect to the setting temperatures of the zones.
  • the surface temperature of the electrostatic chuck 40 may not always be equal to the setting temperatures of the zones. That is, a deviation may occur between the surface temperature of the electrostatic chuck 40 and the temperature of the heater 75 . Thus, more accurate temperature control may be possible by correcting such a deviation.
  • a heater temperature control method that involves correcting the temperature interference from adjacent zones, correcting the deviation between the temperature of the heater 75 and the surface temperature of the electrostatic chuck 40 , and using a corrected temperature obtained by performing the above corrections to control the temperature of the heater 75 at each of the zones.
  • first correction values for correcting deviations of the surface temperature of the electrostatic chuck 40 with respect to the setting temperatures of the center zone A, the inner middle zone B, the outer middle zone C, and the edge zone D are represented as ⁇ 1 , ⁇ 2 , ⁇ 3 , and ⁇ 4 , respectively.
  • second correction values for correcting the temperature interferences from zones adjacent to the center zone A, the inner middle zone B, the outer middle zone C, and the edge zone D are represented as ⁇ 1 , ⁇ 2 , ⁇ 3 , and ⁇ 4 , respectively.
  • the temperature sensor 77 is used in setting up the above correction values. As illustrated in FIG.
  • the temperature sensor 77 is arranged on the backside surface of the heater 75 within the inner middle zone B.
  • the position of the temperature sensor 77 is not limited to the above, and the temperature sensor 77 may be arranged in other zones as well.
  • the number of temperature sensors 77 arranged on the heater 75 is not limited to one.
  • a plurality of temperature sensors may be arranged.
  • at least three temperature sensors are arranged on a circumference of a circle.
  • four temperature sensors 77 a , 77 b , 77 c , and 77 d are arranged on a circumference of a circle. In this way, a temperature distribution in the circumferential direction may be accurately detected.
  • the above heater temperature control method may be executed by the control device 80 .
  • a functional configuration of the control device 80 is described with reference to FIG. 13 , and operations of the control device 80 are described thereafter with reference to FIG. 19 .
  • FIG. 13 illustrates the functional configuration of the control device 80 .
  • the control device 80 includes an acquisition unit 81 , a storage unit 83 , a temperature setting unit 84 , a temperature control unit 85 , a determination unit 86 , and a plasma process execution unit 87 .
  • the acquisition unit 81 continually inputs the temperature of the backside surface of the heater 75 detected by the temperature sensor 77 .
  • the acquisition unit 81 may input the temperatures detected by the plurality of temperature sensors 77 .
  • the temperature setting unit 84 calculates the first values ⁇ 1 , ⁇ 2 , ⁇ 3 , and ⁇ 4 for correcting the deviations of the surface temperature of the electrostatic chuck 40 with respect to the setting temperatures of the zones, and the second values ⁇ 1 , ⁇ 2 , ⁇ 3 , and ⁇ 4 for correcting the temperature interferences from adjacent zones with respect to the setting temperatures of the zones, and stores the calculated correction values in the storage unit 83 . Note that methods for calculating the correction values are described in detail below.
  • the storage unit 83 stores a correlation between the setting temperatures of the zones and current values to be applied to the heater 75 such that the zones may be controlled to control temperatures that are corrected based on the first values ⁇ 1 , ⁇ 2 , ⁇ 3 , and ⁇ 4 , and the second values ⁇ 1 , ⁇ 2 , ⁇ 3 , and ⁇ 4 .
  • the storage unit 83 may store process recipes prescribing the steps and conditions of a process. For example, a process recipe stored in the storage unit 83 may prescribe the steps and the process conditions for executing each step of the process illustrated in FIG. 5 .
  • the temperature control unit 85 adjusts the control temperature of the heater 75 with respect to each of the zones.
  • the temperature control unit 85 may correct the deviation of the surface temperature of the electrostatic chuck 40 with respect to the setting temperature of each of the zones upon adjusting the control temperature of the heater 75 with respect to each of the zones.
  • the temperature control unit 85 may correct the temperature interference from an adjacent zone with respect to the setting temperature of each of the zones upon adjusting the control temperature of the heater 75 with respect to each of the zones.
  • the temperature control unit 85 may make one of the above adjustments or both of the above adjustments, for example.
  • the temperature control unit 85 may adjust the control temperature of the heater 75 with respect to each of the zones based on at least one of the first values ⁇ 1 , ⁇ 2 , ⁇ 3 , and ⁇ 4 , and the second values ⁇ 1 , ⁇ 2 , ⁇ 3 , and ⁇ 4 stored in the storage unit 83 .
  • the temperature control unit 85 may set up the temperature detected by the temperature sensor 77 arranged in a given zone as a setting temperature of the corresponding zone, and calculate the current value to be applied to each of the zones of the heater 75 based on the correlation between the setting temperatures of the zones and the current values to be applied to the zones stored in the storage unit 83 .
  • the determination unit 86 determines that it is time to replace the electrostatic chuck 40 when at least one of the calculated current values for the heater of each of the zones is less than a threshold value. That is, as the heater 75 is repeatedly used, the heater 75 may be detached from the ceramic portion of the electrostatic chuck 40 due to thermal expansion, for example. In such case, the detached portion may be retained at a high temperature, and as a result, the current value may decrease.
  • the threshold value may be stored in the storage unit 83 , for example.
  • the plasma process execution unit 87 executes a plasma etching process according to a relevant process recipe stored in the storage unit 83 .
  • correction functions for obtaining heater setting temperatures Y 1 , Y 2 , Y 3 , and Y 4 are described. Specifically, methods for calculating the first values ⁇ 1 , ⁇ 2 , ⁇ 3 , and ⁇ 4 , and the second values ⁇ 1 , ⁇ 2 , ⁇ 3 , and ⁇ 4 ; and obtaining corrected heater control temperatures using the first values ⁇ 1 , ⁇ 2 , ⁇ 3 , and ⁇ 4 , and the second values ⁇ 1 , ⁇ 2 , ⁇ 3 , and ⁇ 4 are described with reference to FIGS. 14-18 .
  • FIG. 14-18 FIG.
  • FIG. 14 illustrates a method of calculating the correction values ⁇ 1 and ⁇ 1 with respect to the heater setting temperature Y 1 according to the present embodiment.
  • FIG. 15 illustrates a method of calculating the correction values ⁇ 2 and ⁇ 2 with respect to the heater setting temperature Y 2 according to the present embodiment
  • FIG. 16 illustrates a method of calculating the correction values ⁇ 3 and ⁇ 3 with respect to the setting temperature Y 3 according to the present embodiment
  • FIG. 17 illustrates a method of calculating the correction values ⁇ 4 and ⁇ 4 with respect to the heater setting temperature Y 4 according to the present embodiment.
  • FIG. 18 illustrates corrections implemented with respect to the setting temperatures of the zones and input current values to be applied to the zones.
  • the temperature of the heater 75 may be more accurately controlled.
  • variables X 1 , X 2 , X 3 , and X 4 represent target temperatures of the center zone A, the inner middle zone B, the outer middle zone C, and the edge zone D; that is, temperatures to which the surface temperatures of the electrostatic chuck 40 at the above zones should actually be controlled.
  • Variables Y 1 , Y 2 , Y 3 , and Y 4 represent setting temperatures of the heater 75 at the center zone A, the inner middle zone B, the outer middle zone C, and the edge zone D.
  • Variables Z 1 , Z 2 , and Z 3 represent adjacent temperatures as temperature interferences from adjacent zones. Specifically, referring to FIG. 14 , the adjacent temperature interfering with the center zone A is represented by the variable Z 1 .
  • the adjacent temperatures interfering with the inner middle zone B are represented by the variables Z 1 and Z 2 ; referring to FIG. 16 , the adjacent temperatures interfering with the outer middle zone C are represented by the variables Z 2 and Z 3 ; and referring to FIG. 17 , the adjacent temperature interfering with the edge zone D is represented by the variable Z 3 .
  • the variables X 1 , X 2 , X 3 , and X 4 representing the target temperatures of the zones (surface temperature of the electrostatic chuck 40 ) and the variables Z 1 , Z 2 , and Z 3 representing the adjacent temperatures are measured using infrared (IR) spectroscopy.
  • the variables Y 1 , Y 2 , Y 3 , and Y 4 representing setting temperatures of the heater 75 are measured using a fluorescence thermometer.
  • the relationship between the heater setting temperature Y 1 and the target temperature X 1 taking into account the influence of the adjacent temperature Z 1 may be expressed by the following formula (1):
  • first correction values ⁇ 3 and ⁇ 4 and the second correction values ⁇ 3 and ⁇ 4 for controlling the temperatures at the outer middle zone C and the edge zone D may be calculated based on the following formulas (3) and (4):
  • the temperature setting unit 84 may calculate in advance all the correction values indicated in FIG. 18 for all conceivable combinations of temperature setting values of the adjacent zones.
  • the calculated first correction values ⁇ 1 , ⁇ 2 , ⁇ 3 , and ⁇ 4 , and the second values ⁇ 1 , ⁇ 2 , ⁇ 3 , and ⁇ 4 are stored in the storage unit 83 .
  • the storage unit 83 stores a correlation between the setting temperatures Y 1 , Y 2 , Y 3 , and Y 4 of the zones and current values I 1 , I 2 , I 3 , and I 4 to be applied to the zones of the heater 75 such that the heater temperatures at the zones may be equal to the control temperatures calculated for the zones based on the first correction values ⁇ 1 , ⁇ 2 , ⁇ 3 , and ⁇ 4 , and the second correction values ⁇ 1 , ⁇ 2 , ⁇ 3 , and ⁇ 4 .
  • correction accuracy may be further improved by additionally taking into account influences from other zones that are not directly adjacent to the zone of interest.
  • the relationship may be approximated taking into account influences not only from the center zone A and the outer middle zone C but also the edge zone D using ⁇ 2 (Z 1 , Z 2 , Z 3 ) (see formula (6) indicated below). In this way, correction accuracy may be further improved.
  • correction values may be calculated in advance taking into account influences not only from adjacent zones but other remote zones using formulas (5)-(8) indicated below.
  • Z represents the adjacent temperature of an adjacent zone.
  • the first correction values ⁇ 1 - ⁇ 4 and the second correction values ⁇ 1 - ⁇ 4 for the zones are calculated in advance and stored in the storage unit 83 .
  • the correlation between the corrected heater setting temperatures Y 1 -Y 4 and the input current values I 1 -I 4 is stored in the storage unit 83 .
  • the acquisition unit 81 acquires the sensor temperature T 2 detected by the temperature sensor 77 that is arranged at the inner middle zone B (step S 100 ). Then, the temperature setting unit 84 uses the sensor temperature T 2 as a base temperature, assigns the sensor temperature T 2 to the heater setting temperature Y 2 of formula (2), assigns a target value to the target temperature X 2 of formula (2), and calculates the adjacent temperatures Z of adjacent zones (step S 102 ).
  • the temperature setting unit 84 assigns target values to the target temperatures X 1 , X 3 , and X 4 , and assigns the adjacent temperatures Z of the adjacent zones to calculate the heater setting temperatures Y 1 , Y 3 , and Y 4 (step S 104 ).
  • the temperature control unit 85 calculates the heater input current values I 1 , I 2 . I 3 , and I 4 corresponding to the heater setting temperatures Y 1 , Y 2 , Y 3r and Y 4 , and applies the heater input current values I 1 , I 2 , I 3 , and I 4 to the corresponding zones of the heater 75 to thereby control the heater temperatures at the corresponding zones (step S 106 ).
  • the determination unit 86 determines whether any of the heater input current values I 1 , I 2 , I 3 , and I 4 is less than a predetermined threshold value. Upon determining that at least one of the heater input current values I 1 , I 2 , I 3 , and I 4 is less than the predetermined threshold value, the determination unit 86 determines that it is time to replace the electrostatic chuck 40 (step S 108 ) after which the present process is ended. When the determination unit 86 determines that none of the heat input current values I 1 , I 2 , I 3 , and I 4 is less than the predetermined threshold value, the present process is immediately ended.
  • the heater 75 arranged within or near the electrostatic chuck 40 is divided into at least four zones.
  • temperature control may be separately implemented with respect to the center zone A and the outermost edge zone D where anomalies are likely to occur due to plasma conditions or the apparatus configuration.
  • more intricate temperature control of the heater 75 may be enabled by dividing the middle region into at least two zones. As a result, in-plane uniformity of the wafer temperature may be achieved.
  • the zones receive temperature interference from adjacent zones.
  • the middle zones are particularly susceptible to large temperature interferences. Accordingly, in a temperature control method that may be implemented by the plasma processing apparatus 1 of the present embodiment, correction may be implemented on temperature interferences from adjacent zones with respect to the setting temperatures of the zones.
  • the setting temperatures of the zones may incorporate corrections on deviations in the surface temperature of the electrostatic chuck 40 arranged above the heater 75 . In this way, highly accurate temperature control may be enabled.
  • a plasma etching process is described above as an example of a plasma process that may be executed by a plasma processing apparatus, the present invention is not limited to plasma etching, but may also be applied to plasma processing apparatuses that perform plasma chemical vapor deposition (CVD) for forming a thin film on a wafer through CVD, plasma oxidation, plasma nitridization, sputtering, or ashing, for example.
  • CVD plasma chemical vapor deposition
  • a plasma processing apparatus is not limited to a capacitively coupled plasma processing apparatus that generates capacitively coupled plasma (CCP) by discharging a high frequency generated between parallel plate electrodes within a chamber.
  • the present invention may also be applied to an inductively coupled plasma processing apparatus that has an antenna arranged on or near a chamber and is configured to generate inductively coupled plasma (ICP) under a high frequency induction field, or a microwave plasma processing apparatus that generates a plasma wave using microwave power.
  • ICP inductively coupled plasma
  • the workpiece subject to a plasma process in the present invention is not limited to a semiconductor wafer but may be a large substrate for a flat panel display (FPD), an electroluminescence (EL) element, or a substrate for a solar battery, for example.
  • FPD flat panel display
  • EL electroluminescence
  • the heater may be arranged such that the center zone and the at least two middle zones have areas that become smaller toward the outer periphery side, and an outermost middle zone of the at least two middle zones has an area that is smaller than an area of the edge zone arranged at the outer periphery side of the outermost middle zone.
  • the heater may be arranged such that the center zone, the at least two middle zones, and the edge zone have areas that become smaller toward the outer periphery side.
  • the temperature control unit may turn off the heater of the outermost middle zone and adjust the control temperature of the heater of the zones other than the outermost middle zone.
  • the temperature control unit may correct a deviation of a surface temperature of the electrostatic chuck with respect to a setting temperature of each of the zones upon adjusting the control temperature of the heater with respect to each of the zones.
  • the temperature control unit may correct a temperature interference from an adjacent zone with respect to a setting temperature of each of the zones upon adjusting the control temperature of the heater with respect to each of the zones.
  • a plasma processing apparatus may further include a temperature setting unit configured to set up a first correction value for correcting a deviation of a surface temperature of the electrostatic chuck with respect to the setting temperature of each of the zones and a second correction value for correcting the temperature interference from the adjacent zone with respect to the setting temperature of each of the zones.
  • the temperature control unit may adjust the control temperature of the heater with respect to each of the zones based on the first correction value and the second correction value.
  • the temperature setting unit may store in advance in a storage unit a correlation between the setting temperature of each of the zones and a current value to be applied to the heater of each of the zones to control the heater to the control temperature that is calculated with respect to each of the zones based on the first correction value and the second correction value.
  • the temperature control unit may acquire a temperature detected by a temperature sensor arranged in at least one zone of the plurality of zones, set up the acquired temperature as a setting temperature of the at least one zone, and calculate the current value to be applied to the heater of each of the zones based on the setting temperature of the at least one zone and the correlation stored in the storage unit.
  • a plasma processing apparatus may further include a determination unit configured to determine that a time for replacement of the electrostatic chuck has been reached when at least one current value of the calculated current value for the heater of each of the zones is less than a predetermined threshold value.
  • At least three temperature sensors may be arranged along a circumference of a circle within the at least one zone.
  • a plasma processing apparatus may further include a coolant path arranged opposite the heater, which is arranged within or near the mounting table; and a chiller device configured to circulate a coolant within the coolant path.
  • the mounting table may hold a workpiece having a diameter greater than or equal to 450 mm, and the middle zones of the heater may be concentrically divided into at least three zones.

Abstract

A plasma processing apparatus is provided that converts a gas into plasma using a high frequency power and performs a plasma process on a workpiece using an action of the plasma. The plasma processing apparatus includes a processing chamber that can be depressurized, a mounting table that is arranged within the processing chamber and holds the workpiece, an electrostatic chuck that is arranged on the mounting table and electrostatically attracts the workpiece by applying a voltage to a chuck electrode, a heater arranged within or near the electrostatic chuck, and a temperature control unit. The heater is divided into a circular center zone, at least two middle zones arranged concentrically at an outer periphery side of the center zone, and an edge zone arranged concentrically at an outermost periphery. The temperature control unit adjusts a control temperature of the heater with respect to each of the zones.

Description

    TECHNICAL FIELD
  • The present invention relates to a plasma processing apparatus and a heater temperature control method.
  • BACKGROUND ART
  • Temperature control of a workpiece placed on a mounting table is indispensable for controlling an etching rate, for example. Temperature control affects the uniformity of a plasma process performed on the workpiece and is therefore an important aspect of the plasma process.
  • An electrostatic chuck (ESC) that electrostatically attracts the workpiece by applying a voltage to a chuck electrode is arranged on the mounting table. In recent years, heater embedded electrostatic chuck mechanisms have been proposed that have heaters embedded within the electrostatic chuck such that the surface temperature of the electrostatic chuck may be rapidly changed through heat generation by the heaters. For example, Patent Document 1 discloses a temperature control technique implemented by a heater embedded electrostatic chuck mechanism. According to Patent Document 1, heaters arranged in the heater embedded electrostatic chuck mechanism are divided into two zones including a circular center zone and an edge zone that is concentrically arranged around the outer periphery of the center zone, and temperature control is implemented with respect to each of these zones.
  • PRIOR ART DOCUMENTS Patent Documents Patent Document 1: Japanese Laid-Open Patent Publication No. 2008-85329 SUMMARY OF THE INVENTION Problem to be Solved by the Invention
  • However, in the above temperature control method that divides heaters into two zones, the heater area of one zone is still relatively large such that unevenness may be created in the temperature distribution within the same zone even when temperature control is implemented with respect to each zone. As a result, uniformity in the etching rate and the etching shape may not be achieved. Etching characteristics are particularly degraded at a boundary portion between the center zone and the edge zone.
  • In light of the above, one aspect of the present invention relates to providing a plasma processing apparatus and a heater temperature control method that are capable of dividing a heater arranged within or near an electrostatic chuck into at least four zones and implementing temperature control with respect to each of these zones.
  • Means for Solving the Problem
  • According to one embodiment of the present invention, a plasma processing apparatus is provided that is configured to convert a gas into plasma using a high frequency power and perform a plasma process on a workpiece using an action of the plasma. The plasma processing apparatus includes a processing chamber that can be depressurized, a mounting table that is arranged within the processing chamber and is configured to hold the workpiece, an electrostatic chuck that is arranged on the mounting table and is configured to electrostatically attract the workpiece by applying a voltage to a chuck electrode, a heater arranged within or near the electrostatic chuck, and a temperature control unit. The heater is divided into a plurality of zones including a circular center zone, at least two middle zones arranged concentrically at an outer periphery side of the center zone, and an edge zone arranged concentrically at an outermost periphery. The temperature control unit is configured to adjust a control temperature of the heater with respect to each of the plurality of zones.
  • According to another embodiment of the present invention, a heater temperature control method is provided for controlling a temperature of a heater arranged in a plasma processing apparatus, which is configured to convert a gas into plasma using a high frequency power and perform a plasma process on a workpiece using an action of the plasma. The plasma processing apparatus includes a processing chamber that can be depressurized, a mounting table that is arranged within the processing chamber and is configured to hold the workpiece, an electrostatic chuck that is arranged on the mounting table and is configured to electrostatically attract the workpiece by applying a voltage to a chuck electrode, a heater arranged within or near the electrostatic chuck, and a storage unit. The heater is divided into a plurality of zones including a circular center zone, at least two middle zones arranged concentrically at an outer periphery side of the center zone, and an edge zone arranged concentrically at an outermost periphery. The storage unit stores in advance a correlation between a setting temperature of each of the zones and a current value to be applied to the heater to control the heater to a control temperature of each of the zones, the control temperature being obtained by correcting a deviation of a surface temperature of the electrostatic chuck with respect to the setting temperature of each of the zones and correcting a temperature interference from an adjacent zone with respect to the setting temperature of each of the zones. The heater temperature control method includes the steps of acquiring a temperature detected by a temperature sensor arranged in at least one zone of the plurality of zones and setting up the acquired temperature as a setting temperature of the at least one zone, calculating a current value to be applied to the heater of each of the zones based on the acquired setting temperature of the at least one zone and the correlation stored in the storage unit, and controlling the temperature of the heater of each of the zones by applying the calculated current value to the heater of each of the zones.
  • Advantageous Effect of the Invention
  • According to an aspect of the present invention, a heater arranged within or near an electrostatic chuck may be divided into at least four zones and temperature control may be implemented with respect to each of these zones.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 illustrates an overall configuration of a plasma processing apparatus according to an embodiment of the present invention;
  • FIG. 2 is an enlarged view of a heater embedded electrostatic chuck mechanism of FIG. 1 including a heater arranged near an electrostatic chuck;
  • FIG. 3 is an enlarged view of a heater embedded electrostatic chuck mechanism including a heater arranged within an electrostatic chuck according to a first modified embodiment;
  • FIG. 4 is an enlarged view of a heater embedded electrostatic chuck mechanism including a heater arranged near an electrostatic chuck according to a second modified embodiment;
  • FIG. 5 illustrates exemplary process steps that may be performed by the plasma processing apparatus according to an embodiment of the present invention;
  • FIG. 6 illustrates process results of implementing temperature control when a heater is divided into two zones;
  • FIG. 7 illustrates process results of implementing temperature control when the heater is divided into two zones and when the heater is divided into four zones;
  • FIG. 8 illustrates process results of implementing temperature control when the heater is divided into two zones and when the heater is divided into four zones;
  • FIG. 9 illustrates an exemplary arrangement of the areas of the zones and power switching at the zones of the heater according to an embodiment of the present invention;
  • FIG. 10 illustrates another exemplary arrangement of the areas of the zones and power switching at the zones of the heater according to an embodiment of the present invention;
  • FIG. 11 illustrates an arrangement of the zones of the heater and a temperature sensor according to an embodiment of the present invention;
  • FIG. 12 illustrates another arrangement of the zones of the heater and temperature sensors according to an embodiment of the present invention;
  • FIG. 13 illustrates a functional configuration of a control device according to an embodiment of the present invention;
  • FIG. 14 illustrates a method of calculating correction values α1 and β1 with respect to a heater setting temperature Y1 according to an embodiment of the present invention;
  • FIG. 15 illustrates a method of calculating correction values α2 and β2 with respect to a heater setting temperature Y2 according to an embodiment of the present invention;
  • FIG. 16 illustrates a method of calculating correction values α3 and β3 with respect to a heater setting temperature Y3 according to an embodiment of the present invention; FIG. 17 illustrates a method of calculating correction values α4 and β4 with respect to a heater setting temperature Y4 according to an embodiment of the present invention;
  • FIG. 18 illustrates corrections implemented with respect to the setting temperatures of the zones and corresponding input current values to be applied to the zones; and
  • FIG. 19 is a flowchart illustrating process steps of a temperature control process according to an embodiment of the present invention.
  • EMBODIMENTS FOR IMPLEMENTING THE INVENTION
  • In the following, embodiments of the present invention are described with reference to the accompanying drawings. Note that elements having substantially the same functions or features may be given the same reference numerals and overlapping descriptions thereof may be omitted.
  • [Overall Configuration of Plasma Processing Apparatus]
  • First, an overall configuration of a plasma processing apparatus according to an embodiment of the present invention is described with reference to FIG. 1. The plasma processing apparatus 1 illustrated in FIG. 1 is configured as a dual frequency capacitively coupled plasma etching apparatus. The plasma processing apparatus 1 includes a cylindrical vacuum chamber (processing chamber) 10 (simply referred to as “chamber” hereinafter) made of aluminum having an alumite-treated (anodized) surface, for example. The chamber 10 may be grounded, for example.
  • A mounting table 12 configured to hold a semiconductor wafer W (hereinafter, simply referred to as a “wafer W”) thereon as a workpiece is arranged within the chamber 10. The mounting table 12 may be made of aluminum, for example, and is supported on a cylindrical support 16 via an insulating cylindrical holder 14. The cylindrical support 16 extends vertically upward from a bottom of the chamber 10. To improve in-plane etching uniformity, a focus ring 18 that may be made of silicon, for example, is arranged on a top surface of the mounting table 12 to surround the outer edge of an electrostatic chuck 40.
  • An exhaust path 20 is formed between a sidewall of the chamber 10 and the cylindrical support 16. A ring-shaped baffle plate 22 is arranged in the exhaust path 20. An exhaust port 24 is formed at a bottom portion of the exhaust path 20 and is connected to an exhaust device 28 via an exhaust pipe 26. The exhaust device 28 includes a vacuum pump (not shown) and is configured to depressurize a processing space within the chamber 10 to a predetermined vacuum level. A gate valve 30 configured to open/close an entry/exit port for the wafer W is provided at the sidewall of the chamber 10.
  • A first high frequency power supply 31 for drawing ions and a second high frequency power supply 32 for plasma generation are electrically connected to the mounting table 12 via a matching unit 33 and a matching unit 34, respectively. The first high frequency power supply 31 may be configured to apply to the mounting table 12 a first high frequency power of a relatively low frequency (e.g. 0.8 MHz) that is suitable for drawing ions from within the plasma onto the wafer W placed on the mounting table 12. The second high frequency power supply 32 may be configured to apply to the mounting table 12 a second high frequency power of a higher frequency (e.g. 60 MHz) that is suitable for generating a plasma within the chamber 10. In this way, the mounting table 12 also acts as a lower electrode. Further, a shower head 38, which is described below, is provided at a ceiling portion of the chamber 10. The shower head 38 acts as an upper electrode at a ground potential. In this way, the second high frequency power from the second high frequency power supply 32 is capacitively applied between the mounting table 12 and the shower head 38.
  • The electrostatic chuck 40 configured to hold the wafer W by an electrostatic attractive force is provided on the top surface of the mounting table 12. The electrostatic chuck 40 includes an electrode 40 a that is made of a conductive film and is arranged between a pair of insulating layers 40 b (see FIGS. 2-4) or insulating sheets. A DC voltage supply 42 is electrically connected to the electrode 40 a via a switch 43. The electrostatic chuck 40 electrostatically attracts and holds the wafer W by a Coulomb force that is generated when a voltage is applied thereto from the DC voltage supply 42.
  • A heat transfer gas supply source 52 is configured to supply a heat transfer gas such as He gas between the backside surface of the wafer W and the top surface of the electrostatic chuck 40 through a gas supply line 54.
  • The shower head 38 disposed at the ceiling portion of the chamber 10 includes an electrode plate 56 having multiple gas holes 56 a and an electrode supporting body 58 configured to detachably hold the electrode plate 56. A gas supply source 62 supplies gas to the shower head 38 via a gas supply pipe 64, which is connected to a gas inlet 60 a. In this way, the gas may be introduced into the chamber 10 from the multiple gas holes 56 a.
  • A magnet 66 is arranged to extend annularly or concentrically around the chamber 10 so that the plasma generated within a plasma generation space of the chamber 10 may be controlled by the magnetic force of the magnet 66.
  • A coolant path 70 is formed within the mounting table 12. A coolant cooled to a predetermined temperature is supplied to the coolant path 70 from a chiller unit 71 via pipes 72 and 73. Also, a heater 75 that is divided into four zones is attached to the backside surface of the electrostatic chuck 40. Note that the configuration of the heater 75 is described in detail below. A desired AC voltage is applied to the heater 75 from an AC power supply 44. In this way, the temperature of the wafer W may be adjusted to a desired temperature through cooling by the chiller unit 71 and heating by the heater 75. Note that such temperature control may be performed based on a command from a control device 80.
  • The control device 80 is configured to control the individual components of the plasma processing apparatus 1 such as the exhaust device 28, the AC power supply 44, the DC voltage supply 42, the switch 43 for the electrostatic chuck, the first high frequency power supply 31, the second high frequency power supply 32, the matching units 33 and 34, the heat transfer gas supply source 52, the gas supply source 62, and the chiller unit 71. The control device 80 also acquires a sensor temperature detected by a temperature sensor 77 attached to the backside surface of the heater 75. Note that the control device 80 may be connected to a host computer (not shown).
  • The control device 80 includes a CPU (Central Processing Unit), a ROM (Read Only Memory), and a RAM (Random Access Memory), which are not shown. The CPU executes a plasma process according to various recipes stored in a storage unit 83 illustrated in FIG. 13, for example. The storage unit 83 storing the recipes may be configured as a RAM or a ROM using a semiconductor memory, a magnetic disk, or an optical disk, for example. The recipes may be stored in a storage medium and loaded in the storage unit 83 via a driver, for example. Alternatively, the recipes may be downloaded from a network (not shown) and stored in the storage unit 83, for example. Also, note that a DSP (digital signal processor) may be used instead of the CPU to perform the above functions. The functions of the control device 80 may be implemented by software, hardware, or a combination thereof.
  • When performing an etching process using the plasma processing apparatus 1 having the above-described configuration, first, the gate valve 30 is opened, and a wafer W that is held by a transfer arm is loaded into the chamber 10. Then, the wafer W is lifted from the transfer arm by pusher pins (not shown), and the wafer W is placed on the electrostatic chuck 40 when the pusher pins are lowered. After the wafer W is loaded, the gate valve 30 is closed. Then, an etching gas is introduced into the chamber 10 from the gas supply source 62 at a predetermined flow rate and flow rate ratio, and the internal pressure of the chamber 10 is reduced to a predetermined pressure by the exhaust device 28. Further, high frequency powers at predetermined power levels are supplied to the mounting table 12 from the first high frequency power supply 31 and the second high frequency power supply 32. Also, a voltage from the DC voltage supply 42 is applied to the electrode 40 a of the electrostatic chuck 40 so that the wafer W may be fixed to the electrostatic chuck 40. A heat transfer gas from the heat transfer gas supply source 52 is supplied between the top surface of the electrostatic chuck 40 and the backside surface of the wafer W. Etching gas sprayed into the chamber 10 from the shower head 38 is excited into a plasma by the first high frequency power from the first high frequency power supply 32. In this way, the plasma is generated within the plasma generation space between the upper electrode (shower head 38) and the lower electrode (mounting table 12), and a main surface of the wafer W is etched by ions and radicals included in the generated plasma. Also, the ions in the plasma may be drawn toward the wafer W by the first high frequency power from the first high frequency power supply 31.
  • After plasma etching is completed, the wafer W is lifted and held by the pusher pins, the gate valve 30 is opened, and the transfer arm is introduced into the chamber 10. Then, the pusher pins are lowered so that the wafer W may be held by the transfer arm. Then, the transfer arm exits the chamber 10, and a next wafer W is loaded into the chamber 10 by the transfer arm. By repeating the above-described procedures, wafers W may be successively processed.
  • (Heater Configuration)
  • In the following, the configuration of the heater 75 is described in detail with reference to FIG. 2. FIG. 2 is an enlarged view of the mounting table 12 and the electrostatic chuck 40 illustrated in FIG. 1. In FIG. 2, the heater 75 is attached to the backside surface of the electrostatic chuck 40. However, in other embodiments, the heater 75 may be arranged within or near the electrostatic chuck 40. For example, in FIG. 3, the heater 75 is embedded within the insulating layer 40 b of the electrostatic chuck 40.
  • The heater 75 is divided into a circular center zone A, two middle zones (inner middle zone B and outer middle zone C) arranged concentrically around the outer periphery side of the center zone A, and an edge zone D arranged concentrically around the outermost periphery (see FIGS. 11 and 12). Note that although the middle zones are divided into two zones in the present embodiment, the middle zones may also be divided into three or more zones, for example. Particularly, in a case where the diameter of the wafer W is greater than or equal to 450 mm, the middle zones of the heater 75 are preferably divided into at least three zones in order to achieve higher temperature controllability at the middle zones.
  • The electrostatic chuck 40 and the mounting table 12 may be attached to one another by an adhesive, for example. In this way, the heater 75 attached to the electrostatic chuck 40 may be embedded within an adhesive layer 74 and fixed between the electrostatic chuck 40 and the mounting table 12. In the case where the heater 75 is attached to the backside surface of the electrostatic chuck 40 as illustrated in FIG. 2, the arrangement of the heater 75 (heater pattern) may be freely altered until right before the electrostatic chuck 40 and the mounting table 12 are bound together by the adhesive layer 74. Also, even after the electrostatic chuck 40 and the mounting table 12 are bound together by the adhesive layer 74, the heater pattern may still be altered by detaching the electrostatic chuck 40 and the mounting table 12, altering the heater pattern as desired, reapplying an adhesive on the heater 75, and reattaching the electrostatic chuck 40 and the mounting table 12 together.
  • On the other hand, in the case where the heater 75 is embedded within the electrostatic chuck 40, the heater 75 is fixed within the insulating layer 40 b when the insulating layer 40 b is sintered. In this case, the heater pattern may not be altered after the heater 75 is embedded within the insulating layer 40 b. Thus, in a case where the heater 75 is divided into four or more zones such that the heater pattern becomes rather complicated as in the present embodiment, a heater configuration enabling easy rearrangement of the heater pattern such as that illustrated in FIG. 2 is preferably used rather than the heater configuration having the heater 75 embedded within the electrostatic chuck 40 as illustrated in FIG. 3.
  • Also, in the case where the heater 75 is attached to the backside surface of the electrostatic chuck 40 as illustrated in FIG. 2, the heater 75 is embedded in the adhesive layer 74. Note that when the heater 75 is embedded in the insulating layer 40 b as illustrated in FIG. 3, the heater 75 may not be arranged near the edge portions of the electrostatic chuck 40 because thin ceramic portions of the insulating layer 40 b may break when the insulating layer 40 b is sintered. However, such constraints are not imposed on the heater 75 that is embedded in the adhesive layer 74 as illustrated in FIG. 2. Thus, the heater 75 may be arranged to extend near the edge portions of the electrostatic chuck 40 in FIG. 2. As a result, the temperature of the electrostatic chuck 40 may be uniformly controlled up to its outermost edge portions in the heater configuration of FIG. 2 where the heater 75 is attached to the backside surface of the electrostatic chuck 40.
  • Note that in some embodiments, the coolant path 70 arranged opposite the heater 75 may be arranged into a pattern corresponding to the zones of the heater 75 as illustrated in FIG. 4, for example. In this way, temperature controllability and responsiveness may be improved by the cooling by the coolant flowing in the coolant path 70 arranged according to the zones of the heater 75 and heating by the heater 75.
  • (Plasma Process)
  • The configurations of the plasma apparatus 1 and the heater 75 according to the present embodiment have been described above. In the following, an exemplary plasma process that may be implemented by the plasma processing apparatus 1 according to the present embodiment are described with reference to FIG. 5.
  • FIG. 5 illustrates exemplary process steps of the plasma process that may be implemented by the plasma processing apparatus 1 of the present embodiment. Note that in the following description of the process steps, setting temperatures of a heater divided into two zones (center/edge) corresponding to a comparison example are indicated as exemplary heater temperature control conditions corresponding to one of the process conditions of the plasma process.
  • In S1 of FIG. 5, a silicon oxide (SiO2) film 108 having a silicon nitride (SiN) film 106, an amorphous silicon (α-Si) film 104, an anti-reflection (BARC: bottom anti-reflective coating) film 102, and a photoresist film 100 stacked thereon in this order is illustrated. The silicon oxide film 108 corresponds to an interlayer insulating film formed by CVD (chemical vapor deposition) using TEOS (tetraethoxysilane).
  • The BARC (anti-reflection) film 102 may be formed on the amorphous silicon (α-Si) film 104 by a coating process, for example. The BARC film 102 is made of a polymer resin containing a pigment that absorbs light having a specific wavelength such as ArF excimer laser light that is irradiated toward the photoresist film 100, for example. The BARC film 102 prevents the ArF excimer laser light that has passed through the photoresist film 100 from being reflected back to the photoresist film 100 by the amorphous silicon film 104. The photoresist film 100 may be formed on the BARC film 102 using a spin coater (not shown), for example. The photoresist film 100 has a pattern (resist pattern) formed thereon including openings arranged at positions where predetermined holes are to be formed.
  • Referring to S2 of FIG. 5, first, the BARC film 102 is etched using the photoresist film 100 as a mask. In this way, the openings of the resist pattern are transferred to the BARC film 102. As process conditions for this process step, a pressure of 5 (mTorr) is prescribed, the second high frequency power/first high frequency power are prescribed to be 200/50 (W), a gas containing CF4/O2 is prescribed, and setting temperatures of the heater are prescribed to be center/edge=60/50° C.
  • Next, referring to S3 of FIG. 5, the amorphous silicon film 104 is etched using the photoresist film 100 and the BARC film 102 as masks. In this way, the pattern of the BARC film 102 may be transferred to the amorphous silicon film 104. As process conditions for this process step, a pressure of 25 (mTorr) is prescribed, the second high frequency power/first high frequency power are prescribed to be 200/100 (W), a gas containing HBr is prescribed, and setting temperatures of the heater are prescribed to be center/edge=50/40° C.
  • Next, referring to S4 of FIG. 5, O2 ashing is performed and the photoresist film 100 and the BARC film 102 are removed. As process conditions for this process step, a pressure of 50 (mTorr) is prescribed, the second high frequency power/first high frequency power are prescribed to be 750/0 (W), a gas containing O2 is prescribed, and setting temperatures of the heater are prescribed to be center/edge=50/40° C.
  • Next, referring to S5 of FIG. 5, the silicon nitride film 106 is etched using the amorphous silicon film 104 as a mask (main etching). In this way, the pattern of the amorphous silicon film 104 may be transferred to the silicon nitride film 106. As process conditions for this process step, a pressure of 20 (mTorr) is prescribed, the second high frequency power/first high frequency power are prescribed to be 400/300 (W), a gas containing CH2F2/CH3F/O2 is prescribed, and setting temperatures of the heater are prescribed to be center/edge=35/35° C.
  • Next, referring to S6 of FIG. 5, the silicon oxide film 108 is etched using the amorphous silicon film 104 and the silicon nitride film 106 as masks (over etching). Note that a portion of the silicon nitride film 106 remains on the silicon oxide film 108 when this process step is performed. As process conditions for this process step, a pressure of 20 (mTorr) is prescribed, the second high frequency power/first high frequency power are prescribed to be 400/300 (W), a gas containing CH2F2/CH3F/O2 is prescribed, and setting temperatures of the heater are prescribed to be center/edge=35/35° C.
  • Lastly, referring to S7 of FIG. 5, the silicon nitride film 106 is completely removed (breakthrough etching). As process conditions for this process step, a pressure of 10 (mTorr) is prescribed, the second high frequency power/first high frequency power are prescribed to be 200/150 (W), a gas containing Cl2 is prescribed, and setting temperatures of the heater are prescribed to be center/edge=35/35° C. Also, O2 ashing is performed after the breakthrough etching step. In this way, deposited matter may be removed. As process conditions for this process step, a pressure of 50 (mTorr) is prescribed, the second high frequency power/first high frequency power are prescribed to be 750/0 (W), a gas containing O2 is prescribed, and setting temperatures of the heater are prescribed to be center/edge=35/35° C.
  • By performing the above process steps, the resist pattern may be successively transferred to a lower layer film, and holes having a predetermined opening width may ultimately be formed in the silicon oxide film 108.
  • (CD Measurement Results: Two Zones)
  • FIG. 6 illustrates deviations in the diameters (hereinafter referred to as “CD”, which stands for critical dimension) of holes formed on the wafer W by the above process steps. FIG. 6 illustrates the deviations in the CD measurements of the holes in a radial direction from the wafer center side to the wafer periphery side. The CD measurements were made at four different measurement points arranged 90 degrees apart from each other along a circumferential direction, and such CD measurements were made with respect to multiple wafer positions along the radial direction from the wafer center side to the wafer periphery side. FIG. 6 represents the result of superposing the above measurement points along a single axis.
  • The horizontal axis of FIG. 6 represents a radial position of the wafer with respect to the wafer center, and the vertical axis of FIG. 6 represents the CD of the holes formed at various positions. The graph on the left side of FIG. 6 represents CD measurements of the holes formed on the amorphous silicon film 104 after the etching step for etching the amorphous silicon film 104 illustrated by S3 of FIG. 5 has been performed. The graph on the right side of FIG. 6 represents CD measurements of the holes formed on the silicon oxide film 108 after all the process steps up to S7 of FIG. 5 have been performed. Note that in FIG. 6, the heater 75 is divided into a center zone and an edge zone at a position approximately 130 (mm) from the wafer center.
  • As can be appreciated from the left side graph of FIG. 6, even at the stage of etching the amorphous silicon film 104, variations in the CD of the holes in the radial direction already occur at a maximum variation range of approximately 5 (nm). Such CD variations may be attributed to deviations in the etching rate resulting from a failure to achieve temperature control uniformity across the radial direction from the wafer center to the wafer periphery.
  • As can be appreciated from the right side graph of FIG. 6, the deviations in the CD of the holes become even wider after all the process steps of FIG. 5 are performed. Particularly, it can be appreciated that the CD of the holes become larger near the wafer center (widening near wafer center) and the CD of the holes become smaller near the wafer edge (narrowing near wafer edge) owing to an inadequacy in the implementation of temperature control. The anomaly (irregularity) in the CD around the wafer center may be attributed to plasma, particularly radicals, existing at a higher density above the wafer center region. The anomaly (irregularity) in the CD around the wafer edge may be attributed to a tendency for heat to be trapped within the wafer edge region and prevented from escaping outside.
  • Based on the above results, in the present embodiment, a region around the wafer center and a region around the wafer edge where uniform temperature control is particularly difficult are handled as anomalies, and the heater 75 is divided into a plurality of zones such that temperature control may be separately implemented on a center zone A and an edge zone D. Further, it can be appreciated from the process results illustrated in FIG. 6 that the CD becomes gradually greater toward the outer periphery side even within a middle region between the center zone A and the edge zone D. Thus, in-plane uniformity of the wafer temperature may not be achieved if this middle region is handled as one single zone. Accordingly, in the present embodiment, the middle region is divided into two middle zones (i.e., inner middle zone B and outer middle zone C). That is, in the present embodiment, the heater 75 is divided into four zones. Note, however, that the present invention is not limited to the above embodiment, and the middle region of the heater 75 may be divided into three or more zones such that the heater 75 may be divided into a total of five or more zones.
  • (Setting Temperatures of Zones)
  • In the following, setting temperatures of the zones are described with reference to FIG. 7. The top graph of FIG. 7 represents measurement results of the wafer temperature in relation to the heater setting temperature to illustrate in-plane uniformity of the wafer temperature in exemplary cases where temperature control is implemented on the heater 75 that is divided into two zones. That is, the top graph of FIG. 7 represents average values of the wafer temperature in cases where the center zone of the two zones is controlled to a setting temperature of 60° C., and the edge zone of the two zones is controlled to a setting temperature of 40° C., 50° C., 60° C., and 70° C. while plasma processes are performed according to the process steps illustrated in FIG. 5. An increase in the wafer temperature with respect to the setting temperature may be attributed to heat input from plasma. As can be appreciated, in-plane uniformity of the wafer temperature cannot be achieved in any of the above cases. Notably, because the temperature of the middle zone cannot be controlled in the above cases, substantial deviations occur at the outer periphery side of the center zone and the edge zone. Also, in the above cases, the wafer temperature at the wafer edge side increases as the heater setting temperature increases owing to a tendency for heat to be trapped within the wafer edge region and prevented from escaping outside.
  • In view of the above results, the lower graph of FIG. 7 indicates a curved line S1 representing an estimated relationship between the heater setting temperature and the in-plane uniformity of the wafer temperature in a case where temperature control is implemented on the heater 75 that is divided into four zones. Note that the diamond-shaped dots plotted in the lower graph of FIG. 7 represent CDs of holes formed in a case where the heater 75 is divided into two zones and the center zone and the edge zone are controlled to setting temperatures of 60° C. and 40° C., respectively. The square-shaped dots plotted in the lower graph of FIG. 7 represent CDs of holes formed in a case where the heater 75 is divided into two zones and the center zone and the edge zone are controlled to setting temperatures of 60° C. and 50° C., respectively. In these cases, the CDs at the wafer edge tend to become smaller as the heater setting temperature for the edge zone increases. Further, the CDs at the wafer center side tend to become smaller as the heater setting temperature for the center zone increases. In view of the above, the lower graph of FIG. 7 indicates a curved line S2 representing an estimated relationship between the heater setting temperature and the in-plane uniformity of the wafer temperature in a case where the center zone and the edge zone of the heater 75 that is divided into two zones are controlled to setting temperatures of 60° C. and 60° C., respectively.
  • In a case where the heater 75 is divided into four zones, and the center zone A, the inner middle zone B, the outer middle zone C, and the edge zone D are controlled to setting temperatures of 70° C., 60° C., 70° C., and 50° C., respectively, for example, improved in-plane uniformity of the wafer temperature may be achieved as illustrated by the curved line S1. That is, in the above case, the setting temperatures for the center zone A and the outer middle zone C are set at a higher temperature of 70° C. compared to the setting temperature 60° C. for the inner middle zone B. In this way, a decrease in CD deviations and improved in-plane uniformity of the wafer temperature may be expected.
  • (CD Measurement Results: 4 Zones)
  • Based on the correlation between the setting temperatures and the CDs as described above, calculations were made to obtain optimal setting temperatures for the four zones of the heater 75 upon performing the process steps illustrated in FIG. 5, the optimal setting temperatures were prescribed in a recipe, and the process steps of FIG. 5 were performed according to the recipe. The right side graph of FIG. 8 represents process results obtained from performing the process steps according to the recipe. The left side graph of FIG. 8 illustrates the process results in the case where the heater 75 is divided into two zones as a comparison example. As can be appreciated by comparing the left side and right side graphs of FIG. 8, in the case where temperature control is implemented with respect to the heater 75 that is divided into four zones, the “widening near wafer center” and the “narrowing near wafer edge” of the CD that occur when the heater 75 is divided into two zones cannot be observed thereby indicating that in-plane uniformity of the wafer temperature can be achieved. Note that the setting temperatures of the center zone/edge zone during the etching process step for etching the BARC film 102 in the case of implementing the 2-zone temperature control were prescribed to be 60/50° C., and the setting temperatures of the center zone/edge zone during the etching process step for etching the silicon nitride film 106 in the case of implementing the 2-zone temperature control were prescribed to be 35/35° C. Also, the setting temperatures of the center zone/inner middle zone/outer middle zone/edge zone during the etching process step for etching the BARC film 102 in the case of implementing the 4-zone temperature control were prescribed to be 60/45/45/43° C., and the setting temperatures of the center zone/inner middle zone/outer middle zone/edge zone during the etching process step for etching the silicon nitride film 106 in the case of implementing the 4-zone temperature control were prescribed to be 40/45/50/50° C.
  • (Zone Area)
  • In the following, the areas of the zones are described with reference to FIGS. 9 and 10. FIGS. 9 and 10 illustrate exemplary embodiments of the heater 75 that is divided into four zones. In FIG. 9, the center zone A has the largest area, and the four zones have areas that become gradually smaller from the center zone A toward the edge zone D. That is, the area of the heater zone at the outermost edge is the smallest. In this embodiment, temperature control may be more intricately performed as the temperature control position comes closer toward the outermost periphery, and in this way, temperature uniformity may be improved.
  • In FIG. 10, the center zone A has the largest area, and the areas of the zones become smaller from the center zone A toward the outer middle zone C. However, the area of the outer middle zone C is smaller than the edge zone D. That is, the outer middle zone C, which is second closest to the outermost periphery, has the smallest area. In this embodiment, temperature control may be more intricately performed with respect to the outermost middle zone positioned toward the inner side with respect to the outermost edge zone, and in this way, temperature uniformity may be improved.
  • (Power Switching)
  • In the heater 75 having the configurations as illustrated in FIGS. 9 and 10, the AC power supply 44 may be switched on/off at the middle zones (inner middle zone B and/or outer middle zone C). For example, in FIG. 10, by switching on/off the power of the outer middle zone C having the smallest zone area, temperature interference from the outer middle zone C to its adjacent zones D and B may be prevented. In this way, temperature control may be implemented based on the correlation between the temperatures of the adjacent zones D and B, and temperature controllability of the wafer W may be improved in some cases. Also, by turning off the power of the heater of one or more zones, energy consumption may be reduced.
  • On the other hand, the AC power supply 44 may not be switched on/off at the center zone A and the edge zone D. This is because plasma exists at a high density around the wafer center and heat tends to be trapped within the outermost region of the wafer to be prevented from escaping outside as described above. That is, the center zone A and the edge zone D have anomalies in their temperature distributions such that temperature control at these regions is believed to be indispensable.
  • As described above, in the plasma processing apparatus 1 including the heater 75 according to an embodiment of the present invention, the heater 75 arranged within or near the electrostatic chuck 40 is divided into at least four zones. In this way, temperature control may be separately implemented with respect to the center zone A and the outermost edge zone D in which anomalies occur due to plasma conditions and/or the apparatus configuration, for example. Also, by dividing the middle region into at least two zones, temperature control of the heater may be more intricately conducted. As a result, in-plane uniformity of the wafer temperature may be achieved. Note that in the case where the size (diameter) of the wafer is greater than or equal to 450 mm, the area of the middle region becomes relatively large and accurate temperature control of the middle region becomes difficult. Thus, in a preferred embodiment, the middle region may be subdivided into smaller zones according to the size of the wafer upon implementing temperature control.
  • [Heater Temperature Control Method]
  • In the present embodiment, the heater 75 is divided into four zones. The center zone A and the edge zone D each have one zone arranged adjacent thereto. The middle zones B and C in the middle region each have two zones arranged adjacent thereto. The zones receive temperature interference from their adjacent zones. Notably, the middle zones B and C in the middle region receive temperature interference from both sides. In view of the above, more accurate temperature control may be possible by correcting the temperature interference from the adjacent zones with respect to the setting temperatures of the zones.
  • Also, note that because the surface of the electrostatic chuck 40 is positioned above the heater 75, the surface temperature of the electrostatic chuck 40 may not always be equal to the setting temperatures of the zones. That is, a deviation may occur between the surface temperature of the electrostatic chuck 40 and the temperature of the heater 75. Thus, more accurate temperature control may be possible by correcting such a deviation.
  • In the following, a heater temperature control method is described that involves correcting the temperature interference from adjacent zones, correcting the deviation between the temperature of the heater 75 and the surface temperature of the electrostatic chuck 40, and using a corrected temperature obtained by performing the above corrections to control the temperature of the heater 75 at each of the zones.
  • Note that in the following descriptions, as illustrated in FIG. 18, first correction values for correcting deviations of the surface temperature of the electrostatic chuck 40 with respect to the setting temperatures of the center zone A, the inner middle zone B, the outer middle zone C, and the edge zone D are represented as α1, α2, α3, and α4, respectively. Also, second correction values for correcting the temperature interferences from zones adjacent to the center zone A, the inner middle zone B, the outer middle zone C, and the edge zone D are represented as β1, β2, β3, and β4, respectively. Further, the temperature sensor 77 is used in setting up the above correction values. As illustrated in FIG. 11, in the present embodiment, the temperature sensor 77 is arranged on the backside surface of the heater 75 within the inner middle zone B. However, the position of the temperature sensor 77 is not limited to the above, and the temperature sensor 77 may be arranged in other zones as well. Also, the number of temperature sensors 77 arranged on the heater 75 is not limited to one. In some embodiments, a plurality of temperature sensors may be arranged. In a preferred embodiment, at least three temperature sensors are arranged on a circumference of a circle. For example, in FIG. 12, four temperature sensors 77 a, 77 b, 77 c, and 77 d are arranged on a circumference of a circle. In this way, a temperature distribution in the circumferential direction may be accurately detected.
  • [Functional Configuration of Control Device 80]
  • The above heater temperature control method may be executed by the control device 80. In the following, a functional configuration of the control device 80 is described with reference to FIG. 13, and operations of the control device 80 are described thereafter with reference to FIG. 19.
  • FIG. 13 illustrates the functional configuration of the control device 80. The control device 80 includes an acquisition unit 81, a storage unit 83, a temperature setting unit 84, a temperature control unit 85, a determination unit 86, and a plasma process execution unit 87.
  • The acquisition unit 81 continually inputs the temperature of the backside surface of the heater 75 detected by the temperature sensor 77. In the case where a plurality of temperature sensors 77 are arranged, the acquisition unit 81 may input the temperatures detected by the plurality of temperature sensors 77.
  • The temperature setting unit 84 calculates the first values α1, α2, α3, and α4 for correcting the deviations of the surface temperature of the electrostatic chuck 40 with respect to the setting temperatures of the zones, and the second values β1, β2, β3, and β4 for correcting the temperature interferences from adjacent zones with respect to the setting temperatures of the zones, and stores the calculated correction values in the storage unit 83. Note that methods for calculating the correction values are described in detail below.
  • The storage unit 83 stores a correlation between the setting temperatures of the zones and current values to be applied to the heater 75 such that the zones may be controlled to control temperatures that are corrected based on the first values α1, α2, α3, and α4, and the second values β1, β2, β3, and β4. Also, the storage unit 83 may store process recipes prescribing the steps and conditions of a process. For example, a process recipe stored in the storage unit 83 may prescribe the steps and the process conditions for executing each step of the process illustrated in FIG. 5.
  • The temperature control unit 85 adjusts the control temperature of the heater 75 with respect to each of the zones. The temperature control unit 85 may correct the deviation of the surface temperature of the electrostatic chuck 40 with respect to the setting temperature of each of the zones upon adjusting the control temperature of the heater 75 with respect to each of the zones. Also, the temperature control unit 85 may correct the temperature interference from an adjacent zone with respect to the setting temperature of each of the zones upon adjusting the control temperature of the heater 75 with respect to each of the zones. The temperature control unit 85 may make one of the above adjustments or both of the above adjustments, for example. In making the above adjustments, the temperature control unit 85 may adjust the control temperature of the heater 75 with respect to each of the zones based on at least one of the first values α1, α2, α3, and α4, and the second values β1, β2, β3, and β4 stored in the storage unit 83. In this case, the temperature control unit 85 may set up the temperature detected by the temperature sensor 77 arranged in a given zone as a setting temperature of the corresponding zone, and calculate the current value to be applied to each of the zones of the heater 75 based on the correlation between the setting temperatures of the zones and the current values to be applied to the zones stored in the storage unit 83.
  • The determination unit 86 determines that it is time to replace the electrostatic chuck 40 when at least one of the calculated current values for the heater of each of the zones is less than a threshold value. That is, as the heater 75 is repeatedly used, the heater 75 may be detached from the ceramic portion of the electrostatic chuck 40 due to thermal expansion, for example. In such case, the detached portion may be retained at a high temperature, and as a result, the current value may decrease. Note that the threshold value may be stored in the storage unit 83, for example.
  • The plasma process execution unit 87 executes a plasma etching process according to a relevant process recipe stored in the storage unit 83.
  • [Correction Value Calculation]
  • In the following, correction functions for obtaining heater setting temperatures Y1, Y2, Y3, and Y4 are described. Specifically, methods for calculating the first values α1, α2, α3, and α4, and the second values β1, β2, β3, and β4; and obtaining corrected heater control temperatures using the first values α1, α2, α3, and α4, and the second values β1, β2, β3, and β4 are described with reference to FIGS. 14-18. FIG. 14 illustrates a method of calculating the correction values α1 and β1 with respect to the heater setting temperature Y1 according to the present embodiment. FIG. 15 illustrates a method of calculating the correction values α2 and β2 with respect to the heater setting temperature Y2 according to the present embodiment, FIG. 16 illustrates a method of calculating the correction values α3 and β3 with respect to the setting temperature Y3 according to the present embodiment, and FIG. 17 illustrates a method of calculating the correction values α4 and β4 with respect to the heater setting temperature Y4 according to the present embodiment. FIG. 18 illustrates corrections implemented with respect to the setting temperatures of the zones and input current values to be applied to the zones.
  • As described below, by correcting the temperature interferences from adjacent zones and correcting the deviations of the surface temperature of the electrostatic chuck 40 with respect to the setting temperatures of the heater 75 to obtain corrected heater control temperatures and applying to the heater 75 input current values corresponding to the corrected heater control temperatures of the heater 75, the temperature of the heater 75 may be more accurately controlled.
  • In the following descriptions, variables X1, X2, X3, and X4 represent target temperatures of the center zone A, the inner middle zone B, the outer middle zone C, and the edge zone D; that is, temperatures to which the surface temperatures of the electrostatic chuck 40 at the above zones should actually be controlled. Variables Y1, Y2, Y3, and Y4 represent setting temperatures of the heater 75 at the center zone A, the inner middle zone B, the outer middle zone C, and the edge zone D. Variables Z1, Z2, and Z3 represent adjacent temperatures as temperature interferences from adjacent zones. Specifically, referring to FIG. 14, the adjacent temperature interfering with the center zone A is represented by the variable Z1. Referring to FIG. 15, the adjacent temperatures interfering with the inner middle zone B are represented by the variables Z1 and Z2; referring to FIG. 16, the adjacent temperatures interfering with the outer middle zone C are represented by the variables Z2 and Z3; and referring to FIG. 17, the adjacent temperature interfering with the edge zone D is represented by the variable Z3. Note that the variables X1, X2, X3, and X4 representing the target temperatures of the zones (surface temperature of the electrostatic chuck 40) and the variables Z1, Z2, and Z3 representing the adjacent temperatures are measured using infrared (IR) spectroscopy. The variables Y1, Y2, Y3, and Y4 representing setting temperatures of the heater 75 are measured using a fluorescence thermometer.
  • For example, with respect to the heater 75 at the center zone A, the relationship between the heater setting temperature Y1 and the target temperature X1 taking into account the influence of the adjacent temperature Z1 may be expressed by the following formula (1):

  • Y 11 X 11(Z 1)  (1)
  • The graph in FIG. 14 represents the linear function expressed by the above formula (1). If the surface temperature of the electrostatic chuck 40 were actually measured, the slope α1 will remain the same as long as there is no influence from the adjacent temperature Z1. In the present example, it is assumed that β1(Z1) is constant. In a case where the temperature sensor 77 detects a sensor temperature T1 at the backside surface of the center zone A, the heater setting temperature Y1 may be set equal to the sensor temperature T1 corresponding to an actual measurement value. Thus, the first correction value α1 and the second correction value β1 may be calculated by obtaining actual measurements of the heater setting temperature Y1 (=sensor temperature T1) and the surface temperature X1 of the electrostatic chuck 40 at two or more different points.
  • Similarly, with respect to the heater at the inner middle zone B, the relationship between the heater setting temperature Y2 and the target temperature X2 taking into account the influence of the adjacent temperatures Z1 and Z2 may be expressed by the following formula (2):

  • Y 22 X 22(Z 1 ,Z 2)  (2)
  • The graph in FIG. 15 represents the linear function expressed by the above formula (2). It is assumed in the present example that the adjacent temperatures Z1 and Z2 are fixed values of a certain conceivable combination for implementing temperature control and β1(Z1, Z2) is constant. In a case where the temperature sensor 77 detects a sensor temperature T2 at the backside surface of the inner middle zone B, the heater setting temperature Y2 may be set equal to the sensor temperature T2 corresponding to an actual measurement value. Thus, the first correction value α2 and the second correction value β2 may be calculated by obtaining actual measurements of the heater setting temperature Y2 (=sensor temperature T2) and the surface temperature X2 of the electrostatic chuck 40 at two or more different points.
  • Similarly, the first correction values α3 and α4, and the second correction values β3 and β4 for controlling the temperatures at the outer middle zone C and the edge zone D may be calculated based on the following formulas (3) and (4):

  • Y 33 X 33(Z 2 ,Z 3)  (3)

  • Y 44 X 44(Z 3)  (4)
  • Note that the linear function expressed by formula (3) is represented by the graph of FIG. 16, and the linear function expressed by formula (4) is represented by the graph of FIG. 17. Also, it is assumed in the above examples that the heater setting temperature Y3=sensor temperature T3, and the heater setting temperature Y4=sensor temperature T4.
  • In this way, the temperature setting unit 84 may calculate in advance all the correction values indicated in FIG. 18 for all conceivable combinations of temperature setting values of the adjacent zones. The calculated first correction values α1, α2, α3, and α4, and the second values β1, β2, β3, and β4 are stored in the storage unit 83. Also, the storage unit 83 stores a correlation between the setting temperatures Y1, Y2, Y3, and Y4 of the zones and current values I1, I2, I3, and I4 to be applied to the zones of the heater 75 such that the heater temperatures at the zones may be equal to the control temperatures calculated for the zones based on the first correction values α1, α2, α3, and α4, and the second correction values β1, β2, β3, and β4.
  • According to the above correction value calculation methods, relative relationships with respect to temperature variations between adjacent zones are determined beforehand, and the temperature of one zone is actually measured and the measured temperature is used as a base temperature to obtain input current values to be applied to the zones of the heater 75. In this way, correction-implemented temperature control may be performed on the zones of the heater 75.
  • Note that in the above descriptions, for example, with respect to the heater 75 at the inner middle zone B, the relationship is approximated using β2(Z1, Z2) as the influence from adjacent zones. However, correction accuracy may be further improved by additionally taking into account influences from other zones that are not directly adjacent to the zone of interest. For example, with respect to the heater 75 at the inner middle zone B, the relationship may be approximated taking into account influences not only from the center zone A and the outer middle zone C but also the edge zone D using β2(Z1, Z2, Z3) (see formula (6) indicated below). In this way, correction accuracy may be further improved. Similarly, correction values may be calculated in advance taking into account influences not only from adjacent zones but other remote zones using formulas (5)-(8) indicated below.

  • Y 11 X 11(Z 1 ,Z 2 ,Z 3)  (5)

  • Y 22 X 22(Z 1 ,Z 2 ,Z 3)  (6)

  • Y 33 X 33(Z 1 ,Z 2 ,Z 3)  (7)

  • Y 44 X 44(Z 1 ,Z 2 ,Z 3)  (8)
  • Further, in a case where the power of the outer middle zone C is turned off, temperature interference from the outer middle zone C may be disregarded. Accordingly, the relationship between the setting temperatures of the zones of the heater 75 and the target temperatures may be expressed by the following formulas (9)-(11):

  • Y 11 X 11(Z 1 ,Z 3)  (9)

  • Y 22 X 22(Z 1 ,Z 3)  (10)

  • Y 44 X 44(Z 1 ,Z 3)  (11)
  • [Control Device Operations]
  • Lastly, operations of the control device 80; namely, temperature control operations executed by the control device 80 are described below with reference to the flowchart of FIG. 19. Note that in the present example, Z represents the adjacent temperature of an adjacent zone. As described above, the first correction values α14 and the second correction values β14 for the zones are calculated in advance and stored in the storage unit 83. Also, the correlation between the corrected heater setting temperatures Y1-Y4 and the input current values I1-I4 is stored in the storage unit 83.
  • When the present process is started, first, the acquisition unit 81 acquires the sensor temperature T2 detected by the temperature sensor 77 that is arranged at the inner middle zone B (step S100). Then, the temperature setting unit 84 uses the sensor temperature T2 as a base temperature, assigns the sensor temperature T2 to the heater setting temperature Y2 of formula (2), assigns a target value to the target temperature X2 of formula (2), and calculates the adjacent temperatures Z of adjacent zones (step S102).

  • Y 22 X 22(Z 1 ,Z 2)  (2)
  • Then, using formulas (1), (3), and (4), the temperature setting unit 84 assigns target values to the target temperatures X1, X3, and X4, and assigns the adjacent temperatures Z of the adjacent zones to calculate the heater setting temperatures Y1, Y3, and Y4 (step S104).

  • Y 11 X 11(Z 1)  (1)

  • Y 33 X 33(Z 2 ,Z 3)  (3)

  • Y 44 X 44(Z 3)  (4)
  • Then, based on the correlation between the setting temperatures of the zones and the current values I stored in the storage unit 83, the temperature control unit 85 calculates the heater input current values I1, I2. I3, and I4 corresponding to the heater setting temperatures Y1, Y2, Y3r and Y4, and applies the heater input current values I1, I2, I3, and I4 to the corresponding zones of the heater 75 to thereby control the heater temperatures at the corresponding zones (step S106).
  • Then, the determination unit 86 determines whether any of the heater input current values I1, I2, I3, and I4 is less than a predetermined threshold value. Upon determining that at least one of the heater input current values I1, I2, I3, and I4 is less than the predetermined threshold value, the determination unit 86 determines that it is time to replace the electrostatic chuck 40 (step S108) after which the present process is ended. When the determination unit 86 determines that none of the heat input current values I1, I2, I3, and I4 is less than the predetermined threshold value, the present process is immediately ended.
  • [Effects]
  • As described above, in the plasma processing apparatus 1 including the heater 75 according to an embodiment of the present invention, the heater 75 arranged within or near the electrostatic chuck 40 is divided into at least four zones. In this way, temperature control may be separately implemented with respect to the center zone A and the outermost edge zone D where anomalies are likely to occur due to plasma conditions or the apparatus configuration. Also, more intricate temperature control of the heater 75 may be enabled by dividing the middle region into at least two zones. As a result, in-plane uniformity of the wafer temperature may be achieved.
  • Also, the zones receive temperature interference from adjacent zones. The middle zones are particularly susceptible to large temperature interferences. Accordingly, in a temperature control method that may be implemented by the plasma processing apparatus 1 of the present embodiment, correction may be implemented on temperature interferences from adjacent zones with respect to the setting temperatures of the zones. Also, the setting temperatures of the zones may incorporate corrections on deviations in the surface temperature of the electrostatic chuck 40 arranged above the heater 75. In this way, highly accurate temperature control may be enabled.
  • Although illustrative embodiments of the present invention have been described above with reference to the accompanying drawings, the present invention is not limited to these embodiments. That is, numerous variations and modifications will readily occur to those skilled in the art, and the present invention includes all such variations and modifications that may be made without departing from the scope of the present invention.
  • For example, although a plasma etching process is described above as an example of a plasma process that may be executed by a plasma processing apparatus, the present invention is not limited to plasma etching, but may also be applied to plasma processing apparatuses that perform plasma chemical vapor deposition (CVD) for forming a thin film on a wafer through CVD, plasma oxidation, plasma nitridization, sputtering, or ashing, for example.
  • Also, a plasma processing apparatus according to the present invention is not limited to a capacitively coupled plasma processing apparatus that generates capacitively coupled plasma (CCP) by discharging a high frequency generated between parallel plate electrodes within a chamber. For example, the present invention may also be applied to an inductively coupled plasma processing apparatus that has an antenna arranged on or near a chamber and is configured to generate inductively coupled plasma (ICP) under a high frequency induction field, or a microwave plasma processing apparatus that generates a plasma wave using microwave power.
  • Also, the workpiece subject to a plasma process in the present invention is not limited to a semiconductor wafer but may be a large substrate for a flat panel display (FPD), an electroluminescence (EL) element, or a substrate for a solar battery, for example.
  • Also, according to an embodiment of the present invention, the heater may be arranged such that the center zone and the at least two middle zones have areas that become smaller toward the outer periphery side, and an outermost middle zone of the at least two middle zones has an area that is smaller than an area of the edge zone arranged at the outer periphery side of the outermost middle zone.
  • Also, in another embodiment of the present invention, the heater may be arranged such that the center zone, the at least two middle zones, and the edge zone have areas that become smaller toward the outer periphery side.
  • Also, the temperature control unit may turn off the heater of the outermost middle zone and adjust the control temperature of the heater of the zones other than the outermost middle zone.
  • Also, the temperature control unit may correct a deviation of a surface temperature of the electrostatic chuck with respect to a setting temperature of each of the zones upon adjusting the control temperature of the heater with respect to each of the zones.
  • Also, the temperature control unit may correct a temperature interference from an adjacent zone with respect to a setting temperature of each of the zones upon adjusting the control temperature of the heater with respect to each of the zones.
  • Also, a plasma processing apparatus according to an embodiment of the present invention may further include a temperature setting unit configured to set up a first correction value for correcting a deviation of a surface temperature of the electrostatic chuck with respect to the setting temperature of each of the zones and a second correction value for correcting the temperature interference from the adjacent zone with respect to the setting temperature of each of the zones. The temperature control unit may adjust the control temperature of the heater with respect to each of the zones based on the first correction value and the second correction value.
  • Also, the temperature setting unit may store in advance in a storage unit a correlation between the setting temperature of each of the zones and a current value to be applied to the heater of each of the zones to control the heater to the control temperature that is calculated with respect to each of the zones based on the first correction value and the second correction value. The temperature control unit may acquire a temperature detected by a temperature sensor arranged in at least one zone of the plurality of zones, set up the acquired temperature as a setting temperature of the at least one zone, and calculate the current value to be applied to the heater of each of the zones based on the setting temperature of the at least one zone and the correlation stored in the storage unit.
  • Also, a plasma processing apparatus according to an embodiment of the present invention may further include a determination unit configured to determine that a time for replacement of the electrostatic chuck has been reached when at least one current value of the calculated current value for the heater of each of the zones is less than a predetermined threshold value.
  • Also, at least three temperature sensors may be arranged along a circumference of a circle within the at least one zone.
  • Also, a plasma processing apparatus according to an embodiment of the present invention may further include a coolant path arranged opposite the heater, which is arranged within or near the mounting table; and a chiller device configured to circulate a coolant within the coolant path.
  • Also, the mounting table may hold a workpiece having a diameter greater than or equal to 450 mm, and the middle zones of the heater may be concentrically divided into at least three zones.
  • The present application is based on and claims the benefit of priority of Japanese Patent Application No. 2012-005590 filed on Jan. 13, 2012, and U.S. Provisional Application No. 61/587,706 filed on Jan. 18, 2012, the entire contents of which are herein incorporated by reference.
  • DESCRIPTION OF THE REFERENCE NUMERALS
    • 1 plasma processing apparatus
    • 10 chamber
    • 12 mounting table (lower electrode)
    • 31 first high frequency power supply
    • 32 second high frequency power supply
    • 38 shower head (upper electrode)
    • 40 electrostatic chuck
    • 44 AC power supply
    • 62 gas supply source
    • 70 coolant path
    • 71 chiller unit
    • 75 heater
    • 77 temperature sensor
    • 80 control device
    • 81 acquisition unit
    • 83 storage unit
    • 84 temperature setting unit
    • 85 temperature control unit
    • 86 determination unit
    • 87 plasma process execution unit
    • 100 photoresist film
    • 102 BARC film
    • 104 α-Si film
    • 106 SiN film
    • 108 SiO2 film
    • A center zone
    • B inner middle zone
    • C outer middle zone
    • D edge zone

Claims (13)

1. A plasma processing apparatus configured to convert a gas into plasma using a high frequency power and perform a plasma process on a workpiece using an action of the plasma, the plasma processing apparatus comprising:
a processing chamber that can be depressurized;
a mounting table that is arranged within the processing chamber and is configured to hold the workpiece;
an electrostatic chuck that is arranged on the mounting table and is configured to electrostatically attract the workpiece by applying a voltage to a chuck electrode;
a heater arranged within or near the electrostatic chuck, the heater being divided into a plurality of zones including a circular center zone, at least two middle zones arranged concentrically at an outer periphery side of the center zone, and an edge zone arranged concentrically at an outermost periphery; and
a temperature control unit configured to adjust a control temperature of the heater with respect to each of the plurality of zones.
2. The plasma processing apparatus as claimed in claim 1, wherein the heater is arranged such that the center zone and the at least two middle zones have areas that become smaller toward the outer periphery side, and an outermost middle zone of the at least two middle zones has an area that is smaller than an area of the edge zone arranged at the outer periphery side of the outermost middle zone.
3. The plasma processing apparatus as claimed in claim 1, wherein the heater is arranged such that the center zone, the at least two middle zones, and the edge zone have areas that become smaller toward the outer periphery side.
4. The plasma processing apparatus as claimed in claim 2, wherein the temperature control unit turns off the heater of the outermost middle zone and adjusts the control temperature of the heater of the zones other than the outermost middle zone.
5. The plasma processing apparatus as claimed in claim 1, wherein the temperature control unit corrects a deviation of a surface temperature of the electrostatic chuck with respect to a setting temperature of each of the zones upon adjusting the control temperature of the heater with respect to each of the zones.
6. The plasma processing apparatus as claimed in claim 1, wherein the temperature control unit corrects a temperature interference from an adjacent zone with respect to a setting temperature of each of the zones upon adjusting the control temperature of the heater with respect to each of the zones.
7. The plasma processing apparatus as claimed in claim 6, further comprising:
a temperature setting unit configured to set up a first correction value for correcting a deviation of a surface temperature of the electrostatic chuck with respect to the setting temperature of each of the zones and a second correction value for correcting the temperature interference from the adjacent zone with respect to the setting temperature of each of the zones;
wherein the temperature control unit adjusts the control temperature of the heater with respect to each of the zones based on the first correction value and the second correction value.
8. The plasma processing apparatus as claimed in claim 7, wherein
the temperature setting unit stores in advance in a storage unit a correlation between the setting temperature of each of the zones and a current value to be applied to the heater of each of the zones to control the heater to the control temperature that is calculated with respect to each of the zones based on the first correction value and the second correction value; and
the temperature control unit acquires a temperature detected by a temperature sensor arranged in at least one zone of the plurality of zones, sets up the acquired temperature as a setting temperature of the at least one zone, and calculates the current value to be applied to the heater of each of the zones based on the setting temperature of the at least one zone and the correlation stored in the storage unit.
9. The plasma processing apparatus as claimed in claim 8, further comprising:
a determination unit configured to determine that a time for replacement of the electrostatic chuck has been reached when at least one current value of the calculated current value for the heater of each of the zones is less than a predetermined threshold value.
10. The plasma processing apparatus as claimed in claim 8, wherein at least three temperature sensors are arranged along a circumference of a circle within the at least one zone.
11. The plasma processing apparatus as claimed in claim 1, further comprising:
a coolant path arranged opposite the heater, which is arranged within or near the mounting table; and
a chiller device configured to circulate a coolant within the coolant path.
12. The plasma processing apparatus as claimed in claim 1, wherein
the mounting table holds the workpiece that has a diameter greater than or equal to 450 mm; and
the middle zones of the heater are concentrically divided into at least three zones.
13. A heater temperature control method for controlling a temperature of a heater arranged in a plasma processing apparatus, which is configured to convert a gas into plasma using a high frequency power and perform a plasma process on a workpiece using an action of the plasma, wherein
the plasma processing apparatus includes
a processing chamber that can be depressurized;
a mounting table that is arranged within the processing chamber and is configured to hold the workpiece;
an electrostatic chuck that is arranged on the mounting table and is configured to electrostatically attract the workpiece by applying a voltage to a chuck electrode;
a heater arranged within or near the electrostatic chuck, the heater being divided into a plurality of zones including a circular center zone, at least two middle zones arranged concentrically at an outer periphery side of the center zone, and an edge zone arranged concentrically at an outermost periphery; and
a storage unit that stores in advance a correlation between a setting temperature of each of the zones and a current value to be applied to the heater to control the heater to a control temperature of each of the zones, the control temperature being obtained by correcting a deviation of a surface temperature of the electrostatic chuck with respect to the setting temperature of each of the zones and correcting a temperature interference from an adjacent zone with respect to the setting temperature of each of the zones;
the heater temperature control method comprising the steps of:
acquiring a temperature detected by a temperature sensor arranged in at least one zone of the plurality of zones and setting up the acquired temperature as a setting temperature of the at least one zone;
calculating a current value to be applied to the heater of each of the zones based on the acquired setting temperature of the at least one zone and the correlation stored in the storage unit; and
controlling the temperature of the heater of each of the zones by applying the calculated current value to the heater of each of the zones.
US14/368,548 2012-01-13 2013-01-09 Plasma processing apparatus and heater temperature control method Abandoned US20150132863A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US14/368,548 US20150132863A1 (en) 2012-01-13 2013-01-09 Plasma processing apparatus and heater temperature control method

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP2012005590A JP5973731B2 (en) 2012-01-13 2012-01-13 Plasma processing apparatus and heater temperature control method
JP2012-005590 2012-01-13
US201261587706P 2012-01-18 2012-01-18
US14/368,548 US20150132863A1 (en) 2012-01-13 2013-01-09 Plasma processing apparatus and heater temperature control method
PCT/JP2013/050195 WO2013105575A1 (en) 2012-01-13 2013-01-09 Plasma processing apparatus and heater temperature control method

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2013/050195 A-371-Of-International WO2013105575A1 (en) 2012-01-13 2013-01-09 Plasma processing apparatus and heater temperature control method

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US15/428,313 Division US10026631B2 (en) 2012-01-13 2017-02-09 Plasma processing apparatus and heater temperature control method

Publications (1)

Publication Number Publication Date
US20150132863A1 true US20150132863A1 (en) 2015-05-14

Family

ID=48781522

Family Applications (4)

Application Number Title Priority Date Filing Date
US14/368,548 Abandoned US20150132863A1 (en) 2012-01-13 2013-01-09 Plasma processing apparatus and heater temperature control method
US15/428,313 Active US10026631B2 (en) 2012-01-13 2017-02-09 Plasma processing apparatus and heater temperature control method
US16/013,189 Active US10629464B2 (en) 2012-01-13 2018-06-20 Plasma processing apparatus and heater temperature control method
US16/818,132 Abandoned US20200219740A1 (en) 2012-01-13 2020-03-13 Plasma processing apparatus and heater temperature control method

Family Applications After (3)

Application Number Title Priority Date Filing Date
US15/428,313 Active US10026631B2 (en) 2012-01-13 2017-02-09 Plasma processing apparatus and heater temperature control method
US16/013,189 Active US10629464B2 (en) 2012-01-13 2018-06-20 Plasma processing apparatus and heater temperature control method
US16/818,132 Abandoned US20200219740A1 (en) 2012-01-13 2020-03-13 Plasma processing apparatus and heater temperature control method

Country Status (5)

Country Link
US (4) US20150132863A1 (en)
JP (1) JP5973731B2 (en)
KR (1) KR102021570B1 (en)
TW (1) TWI582819B (en)
WO (1) WO2013105575A1 (en)

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140335693A1 (en) * 2013-05-09 2014-11-13 Tokyo Electron Limited Substrate processing method, program, control apparatus, film forming apparatus, and substrate processing system
US20160172161A1 (en) * 2014-12-16 2016-06-16 Hitachi High-Technologies Corporation Plasma processing apparatus
US20160204008A1 (en) * 2013-08-23 2016-07-14 Aixtron Se Substrate treatment device
US20160370795A1 (en) * 2015-06-22 2016-12-22 Lam Research Corporation Systems and methods for calibrating scalar field contribution values for a limited number of sensors including a temperature value of an electrostatic chuck and estimating temperature distribution profiles based on calibrated values
US20170363407A1 (en) * 2016-06-20 2017-12-21 Tokyo Electron Limited Measuring instrument for measuring electrostatic capacity and method of calibrating transfer position data in processing system by using measuring instrument
WO2018136608A1 (en) * 2017-01-20 2018-07-26 Lam Research Corporation A virtual metrology method for esc temperature estimation using thermal control elements
CN108335999A (en) * 2017-01-19 2018-07-27 东京毅力科创株式会社 Substrate board treatment, temprature control method and storage medium
CN108624871A (en) * 2018-05-11 2018-10-09 中晟光电设备(上海)股份有限公司 A kind of temperature control system, film deposition equipment and temprature control method
US10096506B2 (en) 2015-06-22 2018-10-09 Lam Research Corporation Reducing temperature transition in a substrate support
KR20180131421A (en) * 2017-05-30 2018-12-10 도쿄엘렉트론가부시키가이샤 Plasma processing method
US10163607B2 (en) * 2015-06-11 2018-12-25 Tokyo Electron Limited Temperature control method and plasma processing apparatus
US10249519B2 (en) 2016-02-24 2019-04-02 SCREEN Holdings Co., Ltd. Light-irradiation heat treatment apparatus
US10381248B2 (en) 2015-06-22 2019-08-13 Lam Research Corporation Auto-correction of electrostatic chuck temperature non-uniformity
EP3561861A1 (en) * 2018-04-27 2019-10-30 Horiba Stec, Co., Ltd. Substrate processing apparatus and program for substrate processing apparatus
US20190346838A1 (en) * 2016-02-17 2019-11-14 Lam Research Corporation Common Terminal Heater for Ceramic Pedestals Used in Semiconductor for Fabrication
US10763142B2 (en) 2015-06-22 2020-09-01 Lam Research Corporation System and method for determining field non-uniformities of a wafer processing chamber using a wafer processing parameter
CN112117178A (en) * 2019-06-21 2020-12-22 东京毅力科创株式会社 Plasma processing apparatus and plasma processing method
CN112602177A (en) * 2018-09-07 2021-04-02 东京毅力科创株式会社 Temperature regulating method
CN113690161A (en) * 2016-06-24 2021-11-23 东京毅力科创株式会社 Substrate processing system
US11265971B2 (en) * 2016-01-22 2022-03-01 Applied Materials, Inc. Sensor system for multi-zone electrostatic chuck
TWI772356B (en) * 2017-01-19 2022-08-01 日商東京威力科創股份有限公司 Plasma processing device, temperature control method, and temperature control program
WO2022261289A1 (en) * 2021-06-09 2022-12-15 Watlow Electric Manufacturing Company Cold conduit insulation device
US11646183B2 (en) * 2020-03-20 2023-05-09 Applied Materials, Inc. Substrate support assembly with arc resistant coolant conduit

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5973731B2 (en) * 2012-01-13 2016-08-23 東京エレクトロン株式会社 Plasma processing apparatus and heater temperature control method
US9435692B2 (en) * 2014-02-05 2016-09-06 Lam Research Corporation Calculating power input to an array of thermal control elements to achieve a two-dimensional temperature output
JP6240532B2 (en) * 2014-02-27 2017-11-29 東京エレクトロン株式会社 Electrostatic chuck temperature control method
KR101575505B1 (en) 2014-07-21 2015-12-07 주식회사 스피드터치 Apparatus for controlling process temperature
KR102343226B1 (en) 2014-09-04 2021-12-23 삼성전자주식회사 Spot heater and Device for cleaning wafer using the same
JP6530220B2 (en) * 2015-03-30 2019-06-12 日本特殊陶業株式会社 Ceramic heater and control method thereof, and electrostatic chuck and control method thereof
JP6537329B2 (en) * 2015-04-07 2019-07-03 東京エレクトロン株式会社 Temperature control device, temperature control method and program
US10237916B2 (en) 2015-09-30 2019-03-19 Tokyo Electron Limited Systems and methods for ESC temperature control
JP6618336B2 (en) * 2015-11-19 2019-12-11 株式会社Screenホールディングス Substrate temperature distribution adjustment method
JP6961025B2 (en) * 2016-06-24 2021-11-05 東京エレクトロン株式会社 Board processing system
JP7158131B2 (en) * 2017-05-30 2022-10-21 東京エレクトロン株式会社 Stage and plasma processing equipment
JP7077006B2 (en) * 2017-12-20 2022-05-30 日本特殊陶業株式会社 Holding device
KR20210022068A (en) * 2018-07-17 2021-03-02 에이에스엠엘 네델란즈 비.브이. Particle beam inspection device
CN111009454A (en) * 2018-10-05 2020-04-14 东京毅力科创株式会社 Plasma processing apparatus, monitoring method, and recording medium
JP7249791B2 (en) * 2019-01-25 2023-03-31 東京エレクトロン株式会社 Heater temperature control method, heater and mounting table
JP7339905B2 (en) * 2020-03-13 2023-09-06 キオクシア株式会社 Bonding device and bonding method
KR102510306B1 (en) * 2020-04-21 2023-03-17 주식회사 히타치하이테크 Plasma processing device and plasma processing method
US11688615B2 (en) * 2020-08-19 2023-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for heating semiconductor wafers
JP2022046103A (en) * 2020-09-10 2022-03-23 東京エレクトロン株式会社 Apparatus and method heating substrate
JP2022111771A (en) * 2021-01-20 2022-08-01 東京エレクトロン株式会社 Plasma processing system and plasma processing method
CN113110644B (en) * 2021-04-26 2022-09-16 北京北方华创微电子装备有限公司 Temperature control method and temperature control system for electrostatic chuck
US11485124B1 (en) * 2021-07-29 2022-11-01 Nikko-Materials Co., Ltd. Laminating apparatus and laminating method using same

Citations (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5766363A (en) * 1995-04-28 1998-06-16 Anelva Corporation Heater for CVD apparatus
US5880923A (en) * 1997-06-09 1999-03-09 Applied Materials Inc. Method and apparatus for improved retention of a semiconductor wafer within a semiconductor wafer processing system
US20020050246A1 (en) * 2000-06-09 2002-05-02 Applied Materials, Inc. Full area temperature controlled electrostatic chuck and method of fabricating same
US6392205B1 (en) * 1998-11-30 2002-05-21 Komatsu Limited Disc heater and temperature control apparatus
US20020196596A1 (en) * 2001-06-20 2002-12-26 Parkhe Vijay D. Controlled resistivity boron nitride electrostatic chuck apparatus for retaining a semiconductor wafer and method of fabricating the same
US6740853B1 (en) * 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
US20040177927A1 (en) * 2001-07-10 2004-09-16 Akihiro Kikuchi Plasma procesor and plasma processing method
US20050022932A1 (en) * 2001-06-29 2005-02-03 Akira Kagoshima Disturbance-free, recipe-controlled plasma processing system and method
US20050090914A1 (en) * 2001-03-05 2005-04-28 Junichi Tanaka Process monitoring device for sample processing apparatus and control method of sample processing apparatus
US6921724B2 (en) * 2002-04-02 2005-07-26 Lam Research Corporation Variable temperature processes for tunable electrostatic chuck
US20060076109A1 (en) * 2004-10-07 2006-04-13 John Holland Method and apparatus for controlling temperature of a substrate
US7071551B2 (en) * 2000-05-26 2006-07-04 Ibiden Co., Ltd. Device used to produce or examine semiconductors
US7110917B2 (en) * 2003-11-14 2006-09-19 Ricoh Company, Ltd. Abnormality determining method, and abnormality determining apparatus and image forming apparatus using same
US20060207725A1 (en) * 2005-03-18 2006-09-21 Tokyo Electronic Limited Substrate mounting table, substrate processing apparatus and substrate processing method
US20060289447A1 (en) * 2005-06-20 2006-12-28 Mohamed Zakaria A Heating chuck assembly
US20080017104A1 (en) * 2006-07-20 2008-01-24 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US20080066676A1 (en) * 2006-09-19 2008-03-20 General Electric Company Heating apparatus with enhanced thermal uniformity and method for making thereof
US20080170969A1 (en) * 2007-01-17 2008-07-17 Ken Yoshioka Plasma processing apparatus
US7417206B2 (en) * 2004-10-28 2008-08-26 Kyocera Corporation Heater, wafer heating apparatus and method for manufacturing heater
US20080280451A1 (en) * 2007-05-12 2008-11-13 Yutaka Ohmoto Plasma processing method and plasma processing apparatus
US20090095733A1 (en) * 2006-06-16 2009-04-16 Tokyo Electron Limited Mounting table structure and heat treatment apparatus
US20090118872A1 (en) * 2007-11-02 2009-05-07 Tokyo Electron Limited Temperature control device for target substrate, temperature control method and plasma processing apparatus including same
US20090277895A1 (en) * 2007-01-17 2009-11-12 Tokyo Electron Limited Mounting table structure, and processing apparatus
US20090277883A1 (en) * 2008-05-09 2009-11-12 Hitachi High- Technologies Corporation Plasma processing apparatus and plasma processing method
US20090310645A1 (en) * 2008-06-16 2009-12-17 Hitachi-High Technologies Corporation Sample temperature control method
US20100163188A1 (en) * 2007-08-28 2010-07-01 Tokyo Electron Limited Mounting table structure and processing apparatus
US20100218895A1 (en) * 2006-03-22 2010-09-02 Tokyo Electron Limited Plasma processing apparatus
US20100279512A1 (en) * 2007-11-14 2010-11-04 Tokyo Electron Limited Plasma processing apparatus and method for plasma-processing semiconductor substrate
US20100323313A1 (en) * 2008-03-21 2010-12-23 Tokyo Elecron Limited Stage structure and heat treatment apparatus
US20110066294A1 (en) * 2008-11-10 2011-03-17 Kelk Ltd. Apparatus and method for controlling temperature of semiconductor wafers
US20110092072A1 (en) * 2009-10-21 2011-04-21 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
US20110174778A1 (en) * 2008-07-11 2011-07-21 Tokyo Electron Limited Plasma processing apparatus and method
US8071916B2 (en) * 2004-06-28 2011-12-06 Kyocera Corporation Wafer heating apparatus and semiconductor manufacturing apparatus
US8075733B2 (en) * 2008-08-25 2011-12-13 Hitachi High-Technologies Corporation Plasma processing apparatus
US20110303641A1 (en) * 2010-06-11 2011-12-15 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
US8092602B2 (en) * 2002-11-29 2012-01-10 Tokyo Electron Limited Thermally zoned substrate holder assembly
US20120012556A1 (en) * 2008-02-27 2012-01-19 Tokyo Electron Limited Plasma etching apparatus and plasma etching method
US20120031889A1 (en) * 2009-03-24 2012-02-09 Tokyo Electron Limited Mounting table structure and processing apparatus
US20120091108A1 (en) * 2010-10-14 2012-04-19 Applied Materials, Inc. Methods and apparatus for controlling substrate temperature in a process chamber
US20120132397A1 (en) * 2010-06-08 2012-05-31 Applied Materials, Inc. Temperature control in plasma processing apparatus using pulsed heat transfer fluid flow
US20120227955A1 (en) * 2011-03-08 2012-09-13 Tokyo Electron Limited Substrate temperature control method and plasma processing apparatus
US20120248067A1 (en) * 2011-03-31 2012-10-04 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, and storage medium
US20130016346A1 (en) * 2011-07-12 2013-01-17 Kla-Tencor Corporation Wafer Inspection
US20130062839A1 (en) * 2011-09-09 2013-03-14 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US8404572B2 (en) * 2009-02-13 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd Multi-zone temperature control for semiconductor wafer
US8405005B2 (en) * 2009-02-04 2013-03-26 Mattson Technology, Inc. Electrostatic chuck system and process for radially tuning the temperature profile across the surface of a substrate
US20130105084A1 (en) * 2011-10-27 2013-05-02 Chetan MAHADESWARASWAMY Component temperature control using a combination of proportional control valves and pulsed valves
US20130117986A1 (en) * 2011-11-10 2013-05-16 Lam Research Corporation Installation fixture for elastomer bands and methods of using the same
US20130161305A1 (en) * 2011-08-30 2013-06-27 Watlow Electric Manufacturing Company High definition heater and method of operation
US20140097176A1 (en) * 2012-10-08 2014-04-10 Minco Products, Inc. Heater assembly
US20140129045A1 (en) * 2011-06-28 2014-05-08 Omron Corporation Control device, heating device control system, control method, program, and recording medium
US8971009B2 (en) * 2011-09-30 2015-03-03 Applied Materials, Inc. Electrostatic chuck with temperature control

Family Cites Families (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5668524A (en) * 1994-02-09 1997-09-16 Kyocera Corporation Ceramic resistor and electrostatic chuck having an aluminum nitride crystal phase
US5796074A (en) * 1995-11-28 1998-08-18 Applied Materials, Inc. Wafer heater assembly
US6423949B1 (en) * 1999-05-19 2002-07-23 Applied Materials, Inc. Multi-zone resistive heater
WO2001031978A1 (en) * 1999-10-22 2001-05-03 Ibiden Co., Ltd. Ceramic heater
US6884972B2 (en) * 1999-12-09 2005-04-26 Ibiden Co., Ltd. Ceramic plate for a semiconductor producing/inspecting apparatus
US20040149718A1 (en) * 2000-04-07 2004-08-05 Yasutaka Ito Ceramic heater
JP2002076102A (en) * 2000-08-31 2002-03-15 Ibiden Co Ltd Ceramic substrate
EP1341216A1 (en) * 2000-12-05 2003-09-03 Ibiden Co., Ltd. Ceramic substrate for semiconductor manufacturing and inspecting devices, and method of manufacturing the ceramic substrate
US6741446B2 (en) * 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
JP3825277B2 (en) * 2001-05-25 2006-09-27 東京エレクトロン株式会社 Heat treatment device
JP4502168B2 (en) * 2001-07-06 2010-07-14 ルネサスエレクトロニクス株式会社 Chemical mechanical polishing apparatus and chemical mechanical polishing method
TW567177B (en) * 2001-07-19 2003-12-21 Ibiden Co Ltd Ceramic connection body, method of connecting the ceramic bodies, and ceramic structural body
JP3639546B2 (en) * 2001-07-25 2005-04-20 株式会社日立国際電気 Substrate processing apparatus and semiconductor device manufacturing method
US6736720B2 (en) * 2001-12-26 2004-05-18 Lam Research Corporation Apparatus and methods for controlling wafer temperature in chemical mechanical polishing
US7734439B2 (en) * 2002-06-24 2010-06-08 Mattson Technology, Inc. System and process for calibrating pyrometers in thermal processing chambers
US7778533B2 (en) * 2002-09-12 2010-08-17 Applied Materials, Inc. Semiconductor thermal process control
CN100377773C (en) * 2003-07-14 2008-04-02 三菱丽阳株式会社 Fixed bed multitube reactor
JP4758716B2 (en) * 2005-09-16 2011-08-31 株式会社タムラ製作所 Control method of heating device
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
US7838800B2 (en) 2006-09-25 2010-11-23 Tokyo Electron Limited Temperature controlled substrate holder having erosion resistant insulating layer for a substrate processing system
US7445446B2 (en) * 2006-09-29 2008-11-04 Tokyo Electron Limited Method for in-line monitoring and controlling in heat-treating of resist coated wafers
JPWO2008053929A1 (en) * 2006-11-02 2010-02-25 東京エレクトロン株式会社 Micro structure inspection apparatus, micro structure inspection method, and substrate holding apparatus
US20080228308A1 (en) * 2007-03-13 2008-09-18 Tokyo Electron Limited Critical dimension uniformity optimization
JP2008288340A (en) * 2007-05-16 2008-11-27 Panasonic Corp Plasma treatment apparatus, plasma treatment method, and cleaning time prediction program
TWI508129B (en) * 2007-10-31 2015-11-11 Lam Res Corp Temperature control module using gas pressure to control thermal conductance between liquid coolant and component body
US7848840B2 (en) * 2008-01-04 2010-12-07 Applied Materials, Inc. Method of controlling process parameters for semiconductor manufacturing apparatus
CN102160167B (en) * 2008-08-12 2013-12-04 应用材料公司 Electrostatic chuck assembly
JP5651317B2 (en) * 2009-03-31 2015-01-07 東京エレクトロン株式会社 Semiconductor manufacturing apparatus and temperature control method
US20100279435A1 (en) * 2009-04-30 2010-11-04 Applied Materials, Inc. Temperature control of chemical mechanical polishing
JP2010278166A (en) * 2009-05-27 2010-12-09 Tokyo Electron Ltd Annular component for plasma treatment, and plasma treatment device
US20110061810A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
JP2011187758A (en) * 2010-03-10 2011-09-22 Tokyo Electron Ltd Temperature control system, temperature control method, plasma treatment device, and computer storage medium
US8435901B2 (en) * 2010-06-11 2013-05-07 Tokyo Electron Limited Method of selectively etching an insulation stack for a metal interconnect
KR101141261B1 (en) * 2010-08-12 2012-05-04 한국에너지기술연구원 Apparatus and method for measuring dynamic thermal conductivity of micro-structured fluid
JP5552401B2 (en) * 2010-09-08 2014-07-16 株式会社荏原製作所 Polishing apparatus and method
US8552346B2 (en) * 2011-05-20 2013-10-08 Applied Materials, Inc. Methods and apparatus for controlling temperature of a multi-zone heater in an process chamber
WO2013047531A1 (en) * 2011-09-27 2013-04-04 東京エレクトロン株式会社 Plasma etching method and method for manufacturing semiconductor device
EP2764408B1 (en) * 2011-10-06 2019-08-21 ASML Netherlands B.V. Chuck, lithography apparatus and method of using a chuck
JP5912439B2 (en) * 2011-11-15 2016-04-27 東京エレクトロン株式会社 Temperature control system, semiconductor manufacturing apparatus, and temperature control method
JP5973731B2 (en) * 2012-01-13 2016-08-23 東京エレクトロン株式会社 Plasma processing apparatus and heater temperature control method
KR20130098707A (en) * 2012-02-28 2013-09-05 삼성전자주식회사 Electrostatic chuck device and control method thereof
US9706605B2 (en) * 2012-03-30 2017-07-11 Applied Materials, Inc. Substrate support with feedthrough structure
US9984866B2 (en) * 2012-06-12 2018-05-29 Component Re-Engineering Company, Inc. Multiple zone heater
US10049948B2 (en) * 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
JP6312451B2 (en) * 2014-01-29 2018-04-18 東京エレクトロン株式会社 Power supply cover structure and semiconductor manufacturing apparatus
US11158526B2 (en) * 2014-02-07 2021-10-26 Applied Materials, Inc. Temperature controlled substrate support assembly
US9831111B2 (en) * 2014-02-12 2017-11-28 Applied Materials, Inc. Apparatus and method for measurement of the thermal performance of an electrostatic wafer chuck
JP6219227B2 (en) * 2014-05-12 2017-10-25 東京エレクトロン株式会社 Heater feeding mechanism and stage temperature control method
JP6219229B2 (en) * 2014-05-19 2017-10-25 東京エレクトロン株式会社 Heater feeding mechanism
US10079165B2 (en) * 2014-05-20 2018-09-18 Applied Materials, Inc. Electrostatic chuck with independent zone cooling and reduced crosstalk
JP6530220B2 (en) * 2015-03-30 2019-06-12 日本特殊陶業株式会社 Ceramic heater and control method thereof, and electrostatic chuck and control method thereof
KR102321919B1 (en) * 2015-05-22 2021-11-03 어플라이드 머티어리얼스, 인코포레이티드 Azimuthally tunable multi-zone electrostatic chuck
US9812342B2 (en) * 2015-12-08 2017-11-07 Watlow Electric Manufacturing Company Reduced wire count heater array block
US10690414B2 (en) * 2015-12-11 2020-06-23 Lam Research Corporation Multi-plane heater for semiconductor substrate support
JP6688172B2 (en) * 2016-06-24 2020-04-28 東京エレクトロン株式会社 Substrate processing system and method
JP2019067846A (en) * 2017-09-29 2019-04-25 東京エレクトロン株式会社 Temperature control method

Patent Citations (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5766363A (en) * 1995-04-28 1998-06-16 Anelva Corporation Heater for CVD apparatus
US5880923A (en) * 1997-06-09 1999-03-09 Applied Materials Inc. Method and apparatus for improved retention of a semiconductor wafer within a semiconductor wafer processing system
US6392205B1 (en) * 1998-11-30 2002-05-21 Komatsu Limited Disc heater and temperature control apparatus
US6740853B1 (en) * 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
US7071551B2 (en) * 2000-05-26 2006-07-04 Ibiden Co., Ltd. Device used to produce or examine semiconductors
US20020050246A1 (en) * 2000-06-09 2002-05-02 Applied Materials, Inc. Full area temperature controlled electrostatic chuck and method of fabricating same
US20050090914A1 (en) * 2001-03-05 2005-04-28 Junichi Tanaka Process monitoring device for sample processing apparatus and control method of sample processing apparatus
US20020196596A1 (en) * 2001-06-20 2002-12-26 Parkhe Vijay D. Controlled resistivity boron nitride electrostatic chuck apparatus for retaining a semiconductor wafer and method of fabricating the same
US20050022932A1 (en) * 2001-06-29 2005-02-03 Akira Kagoshima Disturbance-free, recipe-controlled plasma processing system and method
US20040177927A1 (en) * 2001-07-10 2004-09-16 Akihiro Kikuchi Plasma procesor and plasma processing method
US8904957B2 (en) * 2001-07-10 2014-12-09 Tokyo Electron Limited Plasma processor and plasma processing method
US6921724B2 (en) * 2002-04-02 2005-07-26 Lam Research Corporation Variable temperature processes for tunable electrostatic chuck
US8092602B2 (en) * 2002-11-29 2012-01-10 Tokyo Electron Limited Thermally zoned substrate holder assembly
US7110917B2 (en) * 2003-11-14 2006-09-19 Ricoh Company, Ltd. Abnormality determining method, and abnormality determining apparatus and image forming apparatus using same
US8071916B2 (en) * 2004-06-28 2011-12-06 Kyocera Corporation Wafer heating apparatus and semiconductor manufacturing apparatus
US20060076109A1 (en) * 2004-10-07 2006-04-13 John Holland Method and apparatus for controlling temperature of a substrate
US7417206B2 (en) * 2004-10-28 2008-08-26 Kyocera Corporation Heater, wafer heating apparatus and method for manufacturing heater
US20060207725A1 (en) * 2005-03-18 2006-09-21 Tokyo Electronic Limited Substrate mounting table, substrate processing apparatus and substrate processing method
US20060289447A1 (en) * 2005-06-20 2006-12-28 Mohamed Zakaria A Heating chuck assembly
US20100218895A1 (en) * 2006-03-22 2010-09-02 Tokyo Electron Limited Plasma processing apparatus
US20090095733A1 (en) * 2006-06-16 2009-04-16 Tokyo Electron Limited Mounting table structure and heat treatment apparatus
US20080017104A1 (en) * 2006-07-20 2008-01-24 Applied Materials, Inc. Substrate processing with rapid temperature gradient control
US20080066676A1 (en) * 2006-09-19 2008-03-20 General Electric Company Heating apparatus with enhanced thermal uniformity and method for making thereof
US20080170969A1 (en) * 2007-01-17 2008-07-17 Ken Yoshioka Plasma processing apparatus
US20090277895A1 (en) * 2007-01-17 2009-11-12 Tokyo Electron Limited Mounting table structure, and processing apparatus
US20080280451A1 (en) * 2007-05-12 2008-11-13 Yutaka Ohmoto Plasma processing method and plasma processing apparatus
US20100163188A1 (en) * 2007-08-28 2010-07-01 Tokyo Electron Limited Mounting table structure and processing apparatus
US20090118872A1 (en) * 2007-11-02 2009-05-07 Tokyo Electron Limited Temperature control device for target substrate, temperature control method and plasma processing apparatus including same
US20100279512A1 (en) * 2007-11-14 2010-11-04 Tokyo Electron Limited Plasma processing apparatus and method for plasma-processing semiconductor substrate
US20120012556A1 (en) * 2008-02-27 2012-01-19 Tokyo Electron Limited Plasma etching apparatus and plasma etching method
US20100323313A1 (en) * 2008-03-21 2010-12-23 Tokyo Elecron Limited Stage structure and heat treatment apparatus
US20090277883A1 (en) * 2008-05-09 2009-11-12 Hitachi High- Technologies Corporation Plasma processing apparatus and plasma processing method
US20090310645A1 (en) * 2008-06-16 2009-12-17 Hitachi-High Technologies Corporation Sample temperature control method
US20110174778A1 (en) * 2008-07-11 2011-07-21 Tokyo Electron Limited Plasma processing apparatus and method
US8075733B2 (en) * 2008-08-25 2011-12-13 Hitachi High-Technologies Corporation Plasma processing apparatus
US20110066294A1 (en) * 2008-11-10 2011-03-17 Kelk Ltd. Apparatus and method for controlling temperature of semiconductor wafers
US8405005B2 (en) * 2009-02-04 2013-03-26 Mattson Technology, Inc. Electrostatic chuck system and process for radially tuning the temperature profile across the surface of a substrate
US8404572B2 (en) * 2009-02-13 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd Multi-zone temperature control for semiconductor wafer
US20120031889A1 (en) * 2009-03-24 2012-02-09 Tokyo Electron Limited Mounting table structure and processing apparatus
US20110092072A1 (en) * 2009-10-21 2011-04-21 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
US20120132397A1 (en) * 2010-06-08 2012-05-31 Applied Materials, Inc. Temperature control in plasma processing apparatus using pulsed heat transfer fluid flow
US20110303641A1 (en) * 2010-06-11 2011-12-15 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
US20120091108A1 (en) * 2010-10-14 2012-04-19 Applied Materials, Inc. Methods and apparatus for controlling substrate temperature in a process chamber
US20120227955A1 (en) * 2011-03-08 2012-09-13 Tokyo Electron Limited Substrate temperature control method and plasma processing apparatus
US20120248067A1 (en) * 2011-03-31 2012-10-04 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, and storage medium
US20140129045A1 (en) * 2011-06-28 2014-05-08 Omron Corporation Control device, heating device control system, control method, program, and recording medium
US20130016346A1 (en) * 2011-07-12 2013-01-17 Kla-Tencor Corporation Wafer Inspection
US20130161305A1 (en) * 2011-08-30 2013-06-27 Watlow Electric Manufacturing Company High definition heater and method of operation
US20130062839A1 (en) * 2011-09-09 2013-03-14 Lam Research Ag Apparatus for treating surfaces of wafer-shaped articles
US8971009B2 (en) * 2011-09-30 2015-03-03 Applied Materials, Inc. Electrostatic chuck with temperature control
US20130105084A1 (en) * 2011-10-27 2013-05-02 Chetan MAHADESWARASWAMY Component temperature control using a combination of proportional control valves and pulsed valves
US20130117986A1 (en) * 2011-11-10 2013-05-16 Lam Research Corporation Installation fixture for elastomer bands and methods of using the same
US20140097176A1 (en) * 2012-10-08 2014-04-10 Minco Products, Inc. Heater assembly

Cited By (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10096499B2 (en) * 2013-05-09 2018-10-09 Tokyo Electron Limited Substrate processing method, program, control apparatus, film forming apparatus, and substrate processing system
US20140335693A1 (en) * 2013-05-09 2014-11-13 Tokyo Electron Limited Substrate processing method, program, control apparatus, film forming apparatus, and substrate processing system
US20160204008A1 (en) * 2013-08-23 2016-07-14 Aixtron Se Substrate treatment device
US10438823B2 (en) * 2013-08-23 2019-10-08 Aixtron Se Substrate treatment device
US20160172161A1 (en) * 2014-12-16 2016-06-16 Hitachi High-Technologies Corporation Plasma processing apparatus
US11424108B2 (en) * 2014-12-16 2022-08-23 Hitachi High-Tech Corporation Plasma processing apparatus
TWI707379B (en) * 2015-06-11 2020-10-11 日商東京威力科創股份有限公司 Temperature control method and plasma processing device
US10163607B2 (en) * 2015-06-11 2018-12-25 Tokyo Electron Limited Temperature control method and plasma processing apparatus
KR20160150588A (en) * 2015-06-22 2016-12-30 램 리써치 코포레이션 Systems and methods for calibrating scalar field contribution values for a limited number of sensors including a temperature value of an electrostatic chuck and estimating temperature distribution profiles based on calibrated values
US11029668B2 (en) 2015-06-22 2021-06-08 Lam Research Corporation Systems and methods for calibrating scalar field contribution values for a limited number of sensors including a temperature value of an electrostatic chuck and estimating temperature distribution profiles based on calibrated values
US10096506B2 (en) 2015-06-22 2018-10-09 Lam Research Corporation Reducing temperature transition in a substrate support
TWI709192B (en) * 2015-06-22 2020-11-01 美商蘭姆研究公司 Systems and methods for calibrating scalar field contribution values for a limited number of sensors including a temperature value of an electrostatic chuck and estimating temperature distribution profiles based on calibrated values
KR102573509B1 (en) * 2015-06-22 2023-08-31 램 리써치 코포레이션 Systems and methods for calibrating scalar field contribution values for a limited number of sensors including a temperature value of an electrostatic chuck and estimating temperature distribution profiles based on calibrated values
US10763142B2 (en) 2015-06-22 2020-09-01 Lam Research Corporation System and method for determining field non-uniformities of a wafer processing chamber using a wafer processing parameter
US10381248B2 (en) 2015-06-22 2019-08-13 Lam Research Corporation Auto-correction of electrostatic chuck temperature non-uniformity
US10386821B2 (en) * 2015-06-22 2019-08-20 Lam Research Corporation Systems and methods for calibrating scalar field contribution values for a limited number of sensors including a temperature value of an electrostatic chuck and estimating temperature distribution profiles based on calibrated values
US20160370795A1 (en) * 2015-06-22 2016-12-22 Lam Research Corporation Systems and methods for calibrating scalar field contribution values for a limited number of sensors including a temperature value of an electrostatic chuck and estimating temperature distribution profiles based on calibrated values
US11265971B2 (en) * 2016-01-22 2022-03-01 Applied Materials, Inc. Sensor system for multi-zone electrostatic chuck
US20190346838A1 (en) * 2016-02-17 2019-11-14 Lam Research Corporation Common Terminal Heater for Ceramic Pedestals Used in Semiconductor for Fabrication
US10249519B2 (en) 2016-02-24 2019-04-02 SCREEN Holdings Co., Ltd. Light-irradiation heat treatment apparatus
US10634479B2 (en) * 2016-06-20 2020-04-28 Tokyo Electron Limited Measuring instrument for measuring electrostatic capacity and method of calibrating transfer position data in processing system by using measuring instrument
US20170363407A1 (en) * 2016-06-20 2017-12-21 Tokyo Electron Limited Measuring instrument for measuring electrostatic capacity and method of calibrating transfer position data in processing system by using measuring instrument
CN113690161A (en) * 2016-06-24 2021-11-23 东京毅力科创株式会社 Substrate processing system
US11069545B2 (en) * 2017-01-19 2021-07-20 Tokyo Electron Limited Substrate processing apparatus, temperature control method, and temperature control program
TWI772356B (en) * 2017-01-19 2022-08-01 日商東京威力科創股份有限公司 Plasma processing device, temperature control method, and temperature control program
CN108335999A (en) * 2017-01-19 2018-07-27 东京毅力科创株式会社 Substrate board treatment, temprature control method and storage medium
CN110199383A (en) * 2017-01-20 2019-09-03 朗姆研究公司 A kind of virtual metrology method carrying out ESC temperature estimation using thermal control element
US10509425B2 (en) 2017-01-20 2019-12-17 Lam Research Corporation Virtual metrology method for ESC temperature estimation using thermal control elements
TWI782943B (en) * 2017-01-20 2022-11-11 美商蘭姆研究公司 A virtual metrology method for esc temperature estimation using thermal control elements
WO2018136608A1 (en) * 2017-01-20 2018-07-26 Lam Research Corporation A virtual metrology method for esc temperature estimation using thermal control elements
CN111653466A (en) * 2017-05-30 2020-09-11 东京毅力科创株式会社 Plasma processing method
KR20180131421A (en) * 2017-05-30 2018-12-10 도쿄엘렉트론가부시키가이샤 Plasma processing method
KR102505679B1 (en) 2017-05-30 2023-03-02 도쿄엘렉트론가부시키가이샤 Plasma processing method
US10361089B2 (en) * 2017-05-30 2019-07-23 Tokyo Electron Limited Plasma processing method
EP3561861A1 (en) * 2018-04-27 2019-10-30 Horiba Stec, Co., Ltd. Substrate processing apparatus and program for substrate processing apparatus
CN108624871A (en) * 2018-05-11 2018-10-09 中晟光电设备(上海)股份有限公司 A kind of temperature control system, film deposition equipment and temprature control method
CN112602177A (en) * 2018-09-07 2021-04-02 东京毅力科创株式会社 Temperature regulating method
CN112117178A (en) * 2019-06-21 2020-12-22 东京毅力科创株式会社 Plasma processing apparatus and plasma processing method
US11646183B2 (en) * 2020-03-20 2023-05-09 Applied Materials, Inc. Substrate support assembly with arc resistant coolant conduit
WO2022261289A1 (en) * 2021-06-09 2022-12-15 Watlow Electric Manufacturing Company Cold conduit insulation device

Also Published As

Publication number Publication date
TW201344740A (en) 2013-11-01
KR20140114817A (en) 2014-09-29
US20170213751A1 (en) 2017-07-27
WO2013105575A1 (en) 2013-07-18
US20180301362A1 (en) 2018-10-18
US10026631B2 (en) 2018-07-17
US10629464B2 (en) 2020-04-21
US20200219740A1 (en) 2020-07-09
KR102021570B1 (en) 2019-09-16
TWI582819B (en) 2017-05-11
JP5973731B2 (en) 2016-08-23
JP2013145806A (en) 2013-07-25

Similar Documents

Publication Publication Date Title
US20200219740A1 (en) Plasma processing apparatus and heater temperature control method
US20210272780A1 (en) Plasma processing apparatus
US10199246B2 (en) Temperature control mechanism, temperature control method and substrate processing apparatus
US20130319615A1 (en) Apparatus and method for treating substrates
JP2019114612A (en) Plasma etching machine and plasma etching method
JP6027492B2 (en) Etching method and etching apparatus
US10163607B2 (en) Temperature control method and plasma processing apparatus
JP2019071369A (en) Plasma processing apparatus, elevation control method for focus ring, and elevation control program for focus ring
US20170092509A1 (en) Plasma processing method
JP2023520217A (en) Rapid and accurate temperature control for thermal etching
KR20170000360A (en) Etching processing method and plasma processing apparatus
TWI808206B (en) Plasma etching method and plasma etching device
TW201535569A (en) Gas supplying method and semiconductor manufacturing apparatus
US20190371634A1 (en) Substrate processing method and substrate processing apparatus
US10748779B2 (en) Substrate processing method
US11094551B2 (en) Plasma processing method and plasma processing apparatus
KR20200087693A (en) Processing method and plasma processing apparatus
CN111435635B (en) Processing method and plasma processing apparatus
TW202101578A (en) Processing method and plasma processing apparatus

Legal Events

Date Code Title Description
AS Assignment

Owner name: TOKYO ELECTRON LIMITED, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:OOHASHI, KAORU;REEL/FRAME:033175/0487

Effective date: 20140623

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION