US20170109286A1 - High performance interconnect coherence protocol - Google Patents

High performance interconnect coherence protocol Download PDF

Info

Publication number
US20170109286A1
US20170109286A1 US15/393,577 US201615393577A US2017109286A1 US 20170109286 A1 US20170109286 A1 US 20170109286A1 US 201615393577 A US201615393577 A US 201615393577A US 2017109286 A1 US2017109286 A1 US 2017109286A1
Authority
US
United States
Prior art keywords
snoop
request
agent
state
data
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US15/393,577
Inventor
Robert G. Blankenship
Bahaa Fahim
Robert H. Beers
Yen-Cheng Liu
Vedaraman Geetha
Herbert H. Hum
Jeff Willey
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Priority to US15/393,577 priority Critical patent/US20170109286A1/en
Publication of US20170109286A1 publication Critical patent/US20170109286A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/14Handling requests for interconnection or transfer
    • G06F13/20Handling requests for interconnection or transfer for access to input/output bus
    • G06F13/22Handling requests for interconnection or transfer for access to input/output bus using successive scanning, e.g. polling
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/38Information transfer, e.g. on bus
    • G06F13/42Bus transfer protocol, e.g. handshake; Synchronisation
    • G06F13/4204Bus transfer protocol, e.g. handshake; Synchronisation on a parallel bus
    • G06F13/4221Bus transfer protocol, e.g. handshake; Synchronisation on a parallel bus being an input/output bus, e.g. ISA bus, EISA bus, PCI bus, SCSI bus
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/3287Power saving characterised by the action undertaken by switching off individual functional units in the computer system
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/07Responding to the occurrence of a fault, e.g. fault tolerance
    • G06F11/08Error detection or correction by redundancy in data representation, e.g. by using checking codes
    • G06F11/10Adding special bits or symbols to the coded information, e.g. parity check, casting out 9's or 11's
    • G06F11/1004Adding special bits or symbols to the coded information, e.g. parity check, casting out 9's or 11's to protect a block of data words, e.g. CRC or checksum
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/0802Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches
    • G06F12/0806Multiuser, multiprocessor or multiprocessing cache systems
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/0802Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches
    • G06F12/0806Multiuser, multiprocessor or multiprocessing cache systems
    • G06F12/0808Multiuser, multiprocessor or multiprocessing cache systems with cache invalidating means
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/0802Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches
    • G06F12/0806Multiuser, multiprocessor or multiprocessing cache systems
    • G06F12/0813Multiuser, multiprocessor or multiprocessing cache systems with a network or matrix configuration
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/0802Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches
    • G06F12/0806Multiuser, multiprocessor or multiprocessing cache systems
    • G06F12/0815Cache consistency protocols
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/0802Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches
    • G06F12/0806Multiuser, multiprocessor or multiprocessing cache systems
    • G06F12/0815Cache consistency protocols
    • G06F12/0831Cache consistency protocols using a bus scheme, e.g. with bus monitoring or watching means
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/0802Addressing of a memory level in which the access to the desired data or data block requires associative addressing means, e.g. caches
    • G06F12/0806Multiuser, multiprocessor or multiprocessing cache systems
    • G06F12/0815Cache consistency protocols
    • G06F12/0831Cache consistency protocols using a bus scheme, e.g. with bus monitoring or watching means
    • G06F12/0833Cache consistency protocols using a bus scheme, e.g. with bus monitoring or watching means in combination with broadcast means (e.g. for invalidation or updating)
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/14Handling requests for interconnection or transfer
    • G06F13/16Handling requests for interconnection or transfer for access to memory bus
    • G06F13/1605Handling requests for interconnection or transfer for access to memory bus based on arbitration
    • G06F13/1652Handling requests for interconnection or transfer for access to memory bus based on arbitration in a multiprocessor architecture
    • G06F13/1657Access to multiple memories
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/14Handling requests for interconnection or transfer
    • G06F13/16Handling requests for interconnection or transfer for access to memory bus
    • G06F13/1668Details of memory controller
    • G06F13/1689Synchronisation and timing concerns
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/38Information transfer, e.g. on bus
    • G06F13/40Bus structure
    • G06F13/4004Coupling between buses
    • G06F13/4022Coupling between buses using switching circuits, e.g. switching matrix, connection or expansion network
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/38Information transfer, e.g. on bus
    • G06F13/40Bus structure
    • G06F13/4063Device-to-bus coupling
    • G06F13/4068Electrical coupling
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/38Information transfer, e.g. on bus
    • G06F13/42Bus transfer protocol, e.g. handshake; Synchronisation
    • G06F13/4282Bus transfer protocol, e.g. handshake; Synchronisation on a serial bus, e.g. I2C bus, SPI bus
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/38Information transfer, e.g. on bus
    • G06F13/42Bus transfer protocol, e.g. handshake; Synchronisation
    • G06F13/4282Bus transfer protocol, e.g. handshake; Synchronisation on a serial bus, e.g. I2C bus, SPI bus
    • G06F13/4286Bus transfer protocol, e.g. handshake; Synchronisation on a serial bus, e.g. I2C bus, SPI bus using a handshaking protocol, e.g. RS232C link
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/38Information transfer, e.g. on bus
    • G06F13/42Bus transfer protocol, e.g. handshake; Synchronisation
    • G06F13/4282Bus transfer protocol, e.g. handshake; Synchronisation on a serial bus, e.g. I2C bus, SPI bus
    • G06F13/4291Bus transfer protocol, e.g. handshake; Synchronisation on a serial bus, e.g. I2C bus, SPI bus using a clocked protocol
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F8/00Arrangements for software engineering
    • G06F8/70Software maintenance or management
    • G06F8/71Version control; Configuration management
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F8/00Arrangements for software engineering
    • G06F8/70Software maintenance or management
    • G06F8/77Software metrics
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30145Instruction analysis, e.g. decoding, instruction word fields
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/44Arrangements for executing specific programs
    • G06F9/445Program loading or initiating
    • G06F9/44505Configuring for program initiating, e.g. using registry, configuration files
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/46Multiprogramming arrangements
    • G06F9/466Transaction processing
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L12/00Data switching networks
    • H04L12/54Store-and-forward switching systems 
    • H04L12/56Packet switching systems
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L49/00Packet switching elements
    • H04L49/15Interconnection of switching modules
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L9/00Cryptographic mechanisms or cryptographic arrangements for secret or secure communications; Network security protocols
    • H04L9/06Cryptographic mechanisms or cryptographic arrangements for secret or secure communications; Network security protocols the encryption apparatus using shift registers or memories for block-wise or stream coding, e.g. DES systems or RC4; Hash functions; Pseudorandom sequence generators
    • H04L9/065Encryption by serially and continuously modifying data stream elements, e.g. stream cipher systems, RC4, SEAL or A5/3
    • H04L9/0656Pseudorandom key sequence combined element-for-element with data sequence, e.g. one-time-pad [OTP] or Vernam's cipher
    • H04L9/0662Pseudorandom key sequence combined element-for-element with data sequence, e.g. one-time-pad [OTP] or Vernam's cipher with particular pseudorandom sequence generator
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/38Information transfer, e.g. on bus
    • G06F13/42Bus transfer protocol, e.g. handshake; Synchronisation
    • G06F13/4265Bus transfer protocol, e.g. handshake; Synchronisation on a point to point bus
    • G06F13/4273Bus transfer protocol, e.g. handshake; Synchronisation on a point to point bus using a clocked protocol
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2212/00Indexing scheme relating to accessing, addressing or allocation within memory systems or architectures
    • G06F2212/10Providing a specific technical effect
    • G06F2212/1016Performance improvement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2212/00Indexing scheme relating to accessing, addressing or allocation within memory systems or architectures
    • G06F2212/25Using a specific main memory architecture
    • G06F2212/254Distributed memory
    • G06F2212/2542Non-uniform memory access [NUMA] architecture
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2212/00Indexing scheme relating to accessing, addressing or allocation within memory systems or architectures
    • G06F2212/62Details of cache specific to multiprocessor cache arrangements
    • G06F2212/621Coherency control relating to peripheral accessing, e.g. from DMA or I/O device
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2212/00Indexing scheme relating to accessing, addressing or allocation within memory systems or architectures
    • G06F2212/62Details of cache specific to multiprocessor cache arrangements
    • G06F2212/622State-only directory, i.e. not recording identity of sharing or owning nodes
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F8/00Arrangements for software engineering
    • G06F8/70Software maintenance or management
    • G06F8/73Program documentation
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L12/00Data switching networks
    • H04L12/28Data switching networks characterised by path configuration, e.g. LAN [Local Area Networks] or WAN [Wide Area Networks]
    • H04L12/46Interconnection of networks
    • H04L12/4641Virtual LANs, VLANs, e.g. virtual private networks [VPN]
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L45/00Routing or path finding of packets in data switching networks
    • H04L45/74Address processing for routing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D30/00Reducing energy consumption in communication networks

Definitions

  • the present disclosure relates in general to the field of computer development, and more specifically, to software development involving coordination of mutually-dependent constrained systems.
  • a processor or integrated circuit typically comprises a single physical processor die, where the processor die may include any number of cores, hardware threads, logical processors, interfaces, memory, controller hubs, etc.
  • interconnects have grown from more traditional multi-drop buses that primarily handled electrical communications to full blown interconnect architectures that facilitate fast communication.
  • FIG. 1 illustrates a simplified block diagram of a system including a serial point-to-point interconnect to connect I/O devices in a computer system in accordance with one embodiment
  • FIG. 2 illustrates a simplified block diagram of a layered protocol stack in accordance with one embodiment
  • FIG. 3 illustrates an embodiment of a transaction descriptor.
  • FIG. 4 illustrates an embodiment of a serial point-to-point link.
  • FIG. 5 illustrates embodiments of potential High Performance Interconnect (HPI) system configurations.
  • HPI High Performance Interconnect
  • FIG. 6 illustrates an embodiment of a layered protocol stack associated with HPI.
  • FIG. 7 illustrates a flow diagram of example coherence protocol conflict management.
  • FIG. 8 illustrates a flow diagram of another example coherence protocol conflict management.
  • FIG. 9 illustrates a flow diagram of another example coherence protocol conflict management.
  • FIG. 10 illustrates a flow diagram of an example snoop response with writeback to memory.
  • FIG. 11 illustrates a flow diagram of another example of a snoop response with writeback to memory.
  • FIG. 12 illustrates a flow diagram of an example writeback push operation.
  • FIG. 13 illustrates a flow diagram of an example writeback to memory.
  • FIG. 14 illustrates a flow diagram of an example memory controller flush operation.
  • FIGS. 15-17 illustrate representations of example protocol state tables.
  • FIG. 18 illustrates a representation of an example nesting of protocol state tables.
  • FIG. 19 illustrates a representation of use of a set of protocol state tables by an example testing engine.
  • FIG. 20 illustrates a representation of use of a set of protocol state tables by an example testing engine.
  • FIG. 21 illustrates an embodiment of a block diagram for a computing system including a multicore processor.
  • the disclosed embodiments are not limited to server computer system, desktop computer systems, laptops, UltrabooksTM, but may be also used in other devices, such as handheld devices, smartphones, tablets, other thin notebooks, systems on a chip (SOC) devices, and embedded applications.
  • handheld devices include cellular phones, Internet protocol devices, digital cameras, personal digital assistants (PDAs), and handheld PCs.
  • Embedded applications typically include a microcontroller, a digital signal processor (DSP), a system on a chip, network computers (NetPC), set-top boxes, network hubs, wide area network (WAN) switches, or any other system that can perform the functions and operations taught below.
  • DSP digital signal processor
  • NetPC network computers
  • Set-top boxes network hubs
  • WAN wide area network
  • the apparatus′, methods, and systems described herein are not limited to physical computing devices, but may also relate to software optimizations for energy conservation and efficiency. As may become readily apparent in the description below, the embodiments of methods, apparatus’, and systems described herein (whether in reference to hardware, firmware, software, or a combination thereof) may be considered vital to a “green technology” future balanced with performance considerations.
  • PCIe Peripheral Component Interconnect Express
  • QPI QuickPath Interconnect
  • FIG. 1 illustrates one embodiment of a fabric composed of point-to-point Links that interconnect a set of components is illustrated.
  • System 100 includes processor 105 and system memory 110 coupled to controller hub 115 .
  • Processor 105 can include any processing element, such as a microprocessor, a host processor, an embedded processor, a co-processor, or other processor.
  • Processor 105 is coupled to controller hub 115 through front-side bus (FSB) 106 .
  • FSB 106 is a serial point-to-point interconnect as described below.
  • link 106 includes a serial, differential interconnect architecture that is compliant with different interconnect standard.
  • System memory 110 includes any memory device, such as random access memory (RAM), non-volatile (NV) memory, or other memory accessible by devices in system 100 .
  • System memory 110 is coupled to controller hub 115 through memory interface 116 .
  • Examples of a memory interface include a double-data rate (DDR) memory interface, a dual-channel DDR memory interface, and a dynamic RAM (DRAM) memory interface.
  • DDR double-data rate
  • DRAM dynamic RAM
  • controller hub 115 can include a root hub, root complex, or root controller, such as in a PCIe interconnection hierarchy.
  • controller hub 115 include a chipset, a memory controller hub (MCH), a northbridge, an interconnect controller hub (ICH) a southbridge, and a root controller/hub.
  • chipset refers to two physically separate controller hubs, e.g., a memory controller hub (MCH) coupled to an interconnect controller hub (ICH).
  • MCH memory controller hub
  • ICH interconnect controller hub
  • ICH interconnect controller hub
  • current systems often include the MCH integrated with processor 105 , while controller 115 is to communicate with I/O devices, in a similar manner as described below.
  • peer-to-peer routing is optionally supported through root complex 115 .
  • controller hub 115 is coupled to switch/bridge 120 through serial link 119 .
  • Input/output modules 117 and 121 which may also be referred to as interfaces/ports 117 and 121 , can include/implement a layered protocol stack to provide communication between controller hub 115 and switch 120 .
  • multiple devices are capable of being coupled to switch 120 .
  • Switch/bridge 120 routes packets/messages from device 125 upstream, i.e. up a hierarchy towards a root complex, to controller hub 115 and downstream, i.e. down a hierarchy away from a root controller, from processor 105 or system memory 110 to device 125 .
  • Switch 120 in one embodiment, is referred to as a logical assembly of multiple virtual PCI-to-PCI bridge devices.
  • Device 125 includes any internal or external device or component to be coupled to an electronic system, such as an I/O device, a Network Interface Controller (NIC), an add-in card, an audio processor, a network processor, a hard-drive, a storage device, a CD/DVD ROM, a monitor, a printer, a mouse, a keyboard, a router, a portable storage device, a Firewire device, a Universal Serial Bus (USB) device, a scanner, and other input/output devices. Often in the PCIe vernacular, such as device, is referred to as an endpoint.
  • device 125 may include a bridge (e.g., a PCIe to PCI/PCI-X bridge) to support legacy or other versions of devices or interconnect fabrics supported by such devices.
  • a bridge e.g., a PCIe to PCI/PCI-X bridge
  • Graphics accelerator 130 can also be coupled to controller hub 115 through serial link 132 .
  • graphics accelerator 130 is coupled to an MCH, which is coupled to an ICH.
  • Switch 120 and accordingly I/O device 125 , is then coupled to the ICH.
  • I/O modules 131 and 118 are also to implement a layered protocol stack and associated logic to communicate between graphics accelerator 130 and controller hub 115 . Similar to the MCH discussion above, a graphics controller or the graphics accelerator 130 itself may be integrated in processor 105 .
  • Layered protocol stack 200 can includes any form of a layered communication stack, such as a QPI stack, a PCIe stack, a next generation high performance computing interconnect (HPI) stack, or other layered stack.
  • protocol stack 200 can include transaction layer 205 , link layer 210 , and physical layer 220 .
  • An interface such as interfaces 117 , 118 , 121 , 122 , 126 , and 131 in FIG. 1 , may be represented as communication protocol stack 200 .
  • Representation as a communication protocol stack may also be referred to as a module or interface implementing/including a protocol stack.
  • Packets can be used to communicate information between components. Packets can be formed in the Transaction Layer 205 and Data Link Layer 210 to carry the information from the transmitting component to the receiving component. As the transmitted packets flow through the other layers, they are extended with additional information used to handle packets at those layers. At the receiving side the reverse process occurs and packets get transformed from their Physical Layer 220 representation to the Data Link Layer 210 representation and finally (for Transaction Layer Packets) to the form that can be processed by the Transaction Layer 205 of the receiving device.
  • transaction layer 205 can provide an interface between a device's processing core and the interconnect architecture, such as Data Link Layer 210 and Physical Layer 220 .
  • a primary responsibility of the transaction layer 205 can include the assembly and disassembly of packets (i.e., transaction layer packets, or TLPs).
  • the translation layer 205 can also manage credit-based flow control for TLPs.
  • split transactions can be utilized, i.e., transactions with request and response separated by time, allowing a link to carry other traffic while the target device gathers data for the response, among other examples.
  • Credit-based flow control can be used to realize virtual channels and networks utilizing the interconnect fabric.
  • a device can advertise an initial amount of credits for each of the receive buffers in Transaction Layer 205 .
  • An external device at the opposite end of the link such as controller hub 115 in FIG. 1 , can count the number of credits consumed by each TLP.
  • a transaction may be transmitted if the transaction does not exceed a credit limit. Upon receiving a response an amount of credit is restored.
  • One example of an advantage of such a credit scheme is that the latency of credit return does not affect performance, provided that the credit limit is not encountered, among other potential advantages.
  • four transaction address spaces can include a configuration address space, a memory address space, an input/output address space, and a message address space.
  • Memory space transactions include one or more of read requests and write requests to transfer data to/from a memory-mapped location.
  • memory space transactions are capable of using two different address formats, e.g., a short address format, such as a 32-bit address, or a long address format, such as 64-bit address.
  • Configuration space transactions can be used to access configuration space of various devices connected to the interconnect. Transactions to the configuration space can include read requests and write requests.
  • Message space transactions (or, simply messages) can also be defined to support in-band communication between interconnect agents. Therefore, in one example embodiment, transaction layer 205 can assemble packet header/payload 206 .
  • transaction descriptor 300 can be a mechanism for carrying transaction information.
  • transaction descriptor 300 supports identification of transactions in a system.
  • Other potential uses include tracking modifications of default transaction ordering and association of transaction with channels.
  • transaction descriptor 300 can include global identifier field 302 , attributes field 304 and channel identifier field 306 .
  • global identifier field 302 is depicted comprising local transaction identifier field 308 and source identifier field 310 .
  • global transaction identifier 302 is unique for all outstanding requests.
  • local transaction identifier field 308 is a field generated by a requesting agent, and can be unique for all outstanding requests that require a completion for that requesting agent. Furthermore, in this example, source identifier 310 uniquely identifies the requestor agent within an interconnect hierarchy. Accordingly, together with source ID 310 , local transaction identifier 308 field provides global identification of a transaction within a hierarchy domain.
  • Attributes field 304 specifies characteristics and relationships of the transaction.
  • attributes field 304 is potentially used to provide additional information that allows modification of the default handling of transactions.
  • attributes field 304 includes priority field 312 , reserved field 314 , ordering field 316 , and no-snoop field 318 .
  • priority sub-field 312 may be modified by an initiator to assign a priority to the transaction.
  • Reserved attribute field 314 is left reserved for future, or vendor-defined usage. Possible usage models using priority or security attributes may be implemented using the reserved attribute field.
  • ordering attribute field 316 is used to supply optional information conveying the type of ordering that may modify default ordering rules.
  • an ordering attribute of “0” denotes default ordering rules are to apply, wherein an ordering attribute of “1” denotes relaxed ordering, wherein writes can pass writes in the same direction, and read completions can pass writes in the same direction.
  • Snoop attribute field 318 is utilized to determine if transactions are snooped. As shown, channel ID Field 306 identifies a channel that a transaction is associated with.
  • a Link layer 210 can act as an intermediate stage between transaction layer 205 and the physical layer 220 .
  • a responsibility of the data link layer 210 is providing a reliable mechanism for exchanging Transaction Layer Packets (TLPs) between two components on a link.
  • TLPs Transaction Layer Packets
  • One side of the Data Link Layer 210 accepts TLPs assembled by the Transaction Layer 205 , applies packet sequence identifier 211 , i.e. an identification number or packet number, calculates and applies an error detection code, i.e. CRC 212 , and submits the modified TLPs to the Physical Layer 220 for transmission across a physical to an external device.
  • packet sequence identifier 211 i.e. an identification number or packet number
  • CRC 212 error detection code
  • physical layer 220 includes logical sub block 221 and electrical sub-block 222 to physically transmit a packet to an external device.
  • logical sub-block 221 is responsible for the “digital” functions of Physical Layer 221 .
  • the logical sub-block can include a transmit section to prepare outgoing information for transmission by physical sub-block 222 , and a receiver section to identify and prepare received information before passing it to the Link Layer 210 .
  • Physical block 222 includes a transmitter and a receiver.
  • the transmitter is supplied by logical sub-block 221 with symbols, which the transmitter serializes and transmits onto to an external device.
  • the receiver is supplied with serialized symbols from an external device and transforms the received signals into a bit-stream.
  • the bit-stream is de-serialized and supplied to logical sub-block 221 .
  • an 8b/10b transmission code is employed, where ten-bit symbols are transmitted/received.
  • special symbols are used to frame a packet with frames 223 .
  • the receiver also provides a symbol clock recovered from the incoming serial stream.
  • a layered protocol stack is not so limited. In fact, any layered protocol may be included/implemented and adopt features discussed herein.
  • a port/interface that is represented as a layered protocol can include: (1) a first layer to assemble packets, i.e. a transaction layer; a second layer to sequence packets, i.e. a link layer; and a third layer to transmit the packets, i.e. a physical layer.
  • a high performance interconnect layered protocol as described herein, is utilized.
  • a serial point-to-point link can include any transmission path for transmitting serial data.
  • a link can include two, low-voltage, differentially driven signal pairs: a transmit pair 406 / 411 and a receive pair 412 / 407 .
  • device 405 includes transmission logic 406 to transmit data to device 410 and receiving logic 407 to receive data from device 410 .
  • two transmitting paths, i.e. paths 416 and 417 , and two receiving paths, i.e. paths 418 and 419 are included in some implementations of a link.
  • a transmission path refers to any path for transmitting data, such as a transmission line, a copper line, an optical line, a wireless communication channel, an infrared communication link, or other communication path.
  • a connection between two devices, such as device 405 and device 410 is referred to as a link, such as link 415 .
  • a link may support one lane—each lane representing a set of differential signal pairs (one pair for transmission, one pair for reception). To scale bandwidth, a link may aggregate multiple lanes denoted by xN, where N is any supported link width, such as 1, 2, 4, 8, 12, 16, 32, 64, or wider.
  • a differential pair can refer to two transmission paths, such as lines 416 and 417 , to transmit differential signals.
  • lines 416 and 417 to transmit differential signals.
  • line 416 toggles from a low voltage level to a high voltage level, i.e. a rising edge
  • line 417 drives from a high logic level to a low logic level, i.e. a falling edge.
  • Differential signals potentially demonstrate better electrical characteristics, such as better signal integrity, i.e. cross-coupling, voltage overshoot/undershoot, ringing, among other example advantages. This allows for a better timing window, which enables faster transmission frequencies.
  • HPI can include a next-generation cache-coherent, link-based interconnect.
  • HPI may be utilized in high performance computing platforms, such as workstations or servers, including in systems where PCIe or another interconnect protocol is typically used to connect processors, accelerators, I/O devices, and the like.
  • PCIe Peripheral Component Interconnect
  • HPI is not so limited. Instead, HPI may be utilized in any of the systems or platforms described herein.
  • the individual ideas developed may be applied to other interconnects and platforms, such as PCIe, MIPI, QPI, etc.
  • HPI can include an Instruction Set Architecture (ISA) agnostic (i.e. HPI is able to be implemented in multiple different devices).
  • ISA Instruction Set Architecture
  • HPI may also be utilized to connect high performance I/O devices, not just processors or accelerators.
  • a high performance PCIe device may be coupled to HPI through an appropriate translation bridge (i.e. HPI to PCIe).
  • HPI links may be utilized by many HPI based devices, such as processors, in various ways (e.g. stars, rings, meshes, etc.).
  • FIG. 5 illustrates example implementations of multiple potential multi-socket configurations.
  • a two-socket configuration 505 can include two HPI links; however, in other implementations, one HPI link may be utilized. For larger topologies, any configuration may be utilized as long as an identifier (ID) is assignable and there is some form of virtual path, among other additional or substitute features.
  • ID identifier
  • a four socket configuration 510 has an HPI link from each processor to another. But in the eight socket implementation shown in configuration 515 , not every socket is directly connected to each other through an HPI link. However, if a virtual path or channel exists between the processors, the configuration is supported.
  • a range of supported processors includes 2-32 in a native domain. Higher numbers of processors may be reached through use of multiple domains or other interconnects between node controllers, among other examples.
  • the HPI architecture includes a definition of a layered protocol architecture, including in some examples, protocol layers (coherent, non-coherent, and, optionally, other memory based protocols), a routing layer, a link layer, and a physical layer including associated I/O logic. Furthermore, HPI can further include enhancements related to power managers (such as power control units (PCUs)), design for test and debug (DFT), fault handling, registers, security, among other examples.
  • FIG. 6 illustrates an embodiment of an example HPI layered protocol stack. In some implementations, at least some of the layers illustrated in FIG. 6 may be optional.
  • Each layer deals with its own level of granularity or quantum of information (the protocol layer 605 a,b with packets 630 , link layer 610 a,b with flits 635 , and physical layer 605 a,b with phits 640 ).
  • a packet in some embodiments, may include partial flits, a single flit, or multiple flits based on the implementation.
  • a width of a phit 640 includes a 1 to 1 mapping of link width to bits (e.g. 20 bit link width includes a phit of 20 bits, etc.). Flits may have a greater size, such as 184, 192, or 200 bits. Note that if phit 640 is 20 bits wide and the size of flit 635 is 184 bits then it takes a fractional number of phits 640 to transmit one flit 635 (e.g. 9.2 phits at 20 bits to transmit an 184 bit flit 635 or 9.6 at 20 bits to transmit a 192 bit flit, among other examples). Note that widths of the fundamental link at the physical layer may vary.
  • the number of lanes per direction may include 2, 4, 6, 8, 10, 12, 14, 16, 18, 20, 22, 24, etc.
  • link layer 610 a,b is capable of embedding multiple pieces of different transactions in a single flit, and one or multiple headers (e.g. 1, 2, 3, 4) may be embedded within the flit.
  • HPI splits the headers into corresponding slots to enable multiple messages in the flit destined for different nodes.
  • Physical layer 605 a,b in one embodiment, can be responsible for the fast transfer of information on the physical medium (electrical or optical etc.).
  • the physical link can be point-to-point between two Link layer entities, such as layer 605 a and 605 b .
  • the Link layer 610 a,b can abstract the Physical layer 605 a,b from the upper layers and provides the capability to reliably transfer data (as well as requests) and manage flow control between two directly connected entities.
  • the Link Layer can also be responsible for virtualizing the physical channel into multiple virtual channels and message classes.
  • the Protocol layer 620 a,b relies on the Link layer 610 a,b to map protocol messages into the appropriate message classes and virtual channels before handing them to the Physical layer 605 a,b for transfer across the physical links.
  • Link layer 610 a,b may support multiple messages, such as a request, snoop, response, writeback, non-coherent data, among other examples.
  • the Physical layer 605 a,b (or PHY) of HPI can be implemented above the electrical layer (i.e. electrical conductors connecting two components) and below the link layer 610 a,b , as illustrated in FIG. 6 .
  • the Physical layer and corresponding logic can reside on each agent and connects the link layers on two agents (A and B) separated from each other (e.g. on devices on either side of a link).
  • the local and remote electrical layers are connected by physical media (e.g. wires, conductors, optical, etc.).
  • the Physical layer 605 a,b in one embodiment, has two major phases, initialization and operation. During initialization, the connection is opaque to the link layer and signaling may involve a combination of timed states and handshake events.
  • connection is transparent to the link layer and signaling is at a speed, with all lanes operating together as a single link.
  • the Physical layer transports flits from agent A to agent B and from agent B to agent A.
  • the connection is also referred to as a link and abstracts some physical aspects including media, width and speed from the link layers while exchanging flits and control/status of current configuration (e.g. width) with the link layer.
  • the initialization phase includes minor phases e.g. Polling, Configuration.
  • the operation phase also includes minor phases (e.g. link power management states).
  • Link layer 610 a,b can be implemented so as to provide reliable data transfer between two protocol or routing entities.
  • the Link layer can abstract Physical layer 605 a,b from the Protocol layer 620 a,b , and can be responsible for the flow control between two protocol agents (A, B), and provide virtual channel services to the Protocol layer (Message Classes) and Routing layer (Virtual Networks).
  • the interface between the Protocol layer 620 a,b and the Link Layer 610 a,b can typically be at the packet level.
  • the smallest transfer unit at the Link Layer is referred to as a flit which a specified number of bits, such as 192 bits or some other denomination.
  • the Link Layer 610 a,b relies on the Physical layer 605 a,b to frame the Physical layer's 605 a,b unit of transfer (phit) into the Link Layer's 610 a,b unit of transfer (flit).
  • the Link Layer 610 a,b may be logically broken into two parts, a sender and a receiver. A sender/receiver pair on one entity may be connected to a receiver/sender pair on another entity. Flow Control is often performed on both a flit and a packet basis. Error detection and correction is also potentially performed on a flit level basis.
  • Routing layer 615 a,b can provide a flexible and distributed method to route HPI transactions from a source to a destination.
  • the scheme is flexible since routing algorithms for multiple topologies may be specified through programmable routing tables at each router (the programming in one embodiment is performed by firmware, software, or a combination thereof).
  • the routing functionality may be distributed; the routing may be done through a series of routing steps, with each routing step being defined through a lookup of a table at either the source, intermediate, or destination routers.
  • the lookup at a source may be used to inject a HPI packet into the HPI fabric.
  • the lookup at an intermediate router may be used to route an HPI packet from an input port to an output port.
  • the lookup at a destination port may be used to target the destination HPI protocol agent.
  • the Routing layer in some implementations, can be thin since the routing tables, and, hence the routing algorithms, are not specifically defined by specification. This allows for flexibility and a variety of usage models, including flexible platform architectural topologies to be defined by the system implementation.
  • the Routing layer 615 a,b relies on the Link layer 610 a,b for providing the use of up to three (or more) virtual networks (VNs)—in one example, two deadlock-free VNs, VN 0 and VN 1 with several message classes defined in each virtual network.
  • VNs virtual networks
  • a shared adaptive virtual network (VNA) may be defined in the Link layer, but this adaptive network may not be exposed directly in routing concepts, since each message class and virtual network may have dedicated resources and guaranteed forward progress, among other features and examples.
  • HPI can include a Coherence Protocol layer 620 a,b to support agents caching lines of data from memory.
  • An agent wishing to cache memory data may use the coherence protocol to read the line of data to load into its cache.
  • An agent wishing to modify a line of data in its cache may use the coherence protocol to acquire ownership of the line before modifying the data.
  • After modifying a line an agent may follow protocol requirements of keeping it in its cache until it either writes the line back to memory or includes the line in a response to an external request.
  • an agent may fulfill external requests to invalidate a line in its cache.
  • the protocol ensures coherency of the data by dictating the rules all caching agents may follow. It also provides the means for agents without caches to coherently read and write memory data.
  • the protocol can maintain data consistency, as an example, on a per-address basis, among data in agents' caches and between those data and the data in memory.
  • data consistency may refer to each valid line of data in an agent's cache representing a most up-to-date value of the data and data transmitted in a coherence protocol packet can represent the most up-to-date value of the data at the time it was sent.
  • the protocol may ensure the most up-to-date value of the data resides in memory.
  • the protocol can provide well-defined commitment points for requests.
  • Commitment points for reads may indicate when the data is usable; and for writes they may indicate when the written data is globally observable and will be loaded by subsequent reads.
  • the protocol may support these commitment points for both cacheable and uncacheable (UC) requests in the coherent memory space.
  • the HPI Coherence Protocol also may ensure the forward progress of coherence requests made by an agent to an address in the coherent memory space. Certainly, transactions may eventually be satisfied and retired for proper system operation.
  • the HPI Coherence Protocol in some embodiments, may have no notion of retry for resolving resource allocation conflicts.
  • the protocol itself may be defined to contain no circular resource dependencies, and implementations may take care in their designs not to introduce dependencies that can result in deadlocks. Additionally, the protocol may indicate where designs are able to provide fair access to protocol resources.
  • the HPI Coherence Protocol can include three items: coherence (or caching) agents, home agents, and the HPI interconnect fabric connecting the agents.
  • Coherence agents and home agents can work together to achieve data consistency by exchanging messages over the interconnect.
  • the link layer 610 a,b and its related description can provide the details of the interconnect fabric including how it adheres to the coherence protocol's requirements, discussed herein. (It may be noted that the division into coherence agents and home agents is for clarity. A design may contain multiple agents of both types within a socket or even combine agents behaviors into a single design unit, among other examples.)
  • home agents can be configured to guard physical memory.
  • Each home agent can be responsible for a region of the coherent memory space. Regions may be non-overlapping, in that a single address is guarded by one home agent, and together the home agent regions in a system cover the coherent memory space. For instance, each address can be guarded by at least one home agent. Therefore, in one embodiment, each address in a HPI system's coherent memory space can map to exactly one home agent.
  • Home agents in the HPI Coherence Protocol can be responsible for servicing requests to the coherent memory space.
  • home agents For read (Rd) requests, home agents may generate snoops (Snp), process their responses, send a data response, and send a completion response.
  • Snp snoops
  • Inv invalidation
  • home agents may generate necessary snoops, process their responses, and send a completion response.
  • home agents may commit the data to memory and send a completion response.
  • Home agents may provide snoops in the HPI Coherence Protocol and process snoop responses from coherence agents. Home agents can also process forward requests, which are a special snoop response, from coherence agents for conflict resolution. When a home agent receives a forward request, it may send a forward response to the coherence agent that generated the forward request (i.e., the agent that detected a conflicting snoop request). Coherence agents can use the ordering of these forward responses and completion responses from the home agent to resolve conflicts.
  • a coherence agent may issue supported coherence protocol requests. Requests may be issued to an address in the coherent memory space. Data received for read requests (Rd) except RdCur may be consistent. Data for RdCur requests may have been consistent when the data packet was generated (although it may have become out of date during delivery). Table 1 shows an exemplary, non-exhaustive list of potential supported requests:
  • InvItoM Request exclusive ownership of a cache M or E line without receiving data and with the intent of performing a writeback soon afterward.
  • InvXtoI Flush a cache line from all caches.
  • Requesting agent is to invalidate the line in its cache before issuing this request.
  • WbMtoI Write a cache line in M state back to M memory and invalidate the line in the cache.
  • WbMtoS Write a cache line in M state back to M and S memory and transition line to S state.
  • WbMtoE Write a cache line in M state back to M and E memory and transition line to E state.
  • WbMtoIPtl Write a cache line in M state back to M memory, according to a byte-enable mask, and transition line to I state.
  • WbMtoEPtl Write a cache line in M state back to M and E memory, according to a byte-enable mask, transition line to E state, and clear the line's mask in the cache.
  • WbPushMtoI Send a line in M state to home agent and M invalidate the line in the cache; home agent may either write the line back to memory or send it to a local cache agent with M state.
  • WbFlush Request that home flush writes to implementation-specific addresses in its memory hierarchy. No data is sent with the request.
  • HPI can support a Coherency protocol making use of principles of the MESI protocol.
  • Each cache line can be marked with one or more supported states (e.g., coded in the cache line).
  • a “M” or “Modified” state can indicate that the cache line value has been modified from that value which is in main memory.
  • a line in the M-state is only present in the particular and the corresponding cache agent can be required to write the modified data back to memory at some time in the future, for instance, before permitting any other read of the (no longer valid) maing memory state.
  • a writeback can transition the line from the M-state to the E-state.
  • the “E” or “Exclusive” state can indicate that the cache line is only present in the current cache but that its value matches that in main memory.
  • the cache line in E-state can transition to the S-state at any time in response to a read request or may be changed to the M-state by writing to the line.
  • the “S” or “Shared” state can indicates that the cache line may be stored in other caches of the machine and has a value that matches that of the main memory. The line may be discarded (changed to the I-state) at any time.
  • the “I” or “Invalid” state can indicate that a cache line is invalid or unused.
  • Other state can also supported in HPI, such as an “F” or “Forward” shared state that indicates that the particular shared line value is to be forwarded to other caches that are to also share the line, among other examples.
  • Table 2 include exemplary information that can be included in some Coherence protocol messages, including snoop, read, and write requests, among other examples:
  • Snoop messages may be generated by home agents and directed toward coherence agents.
  • a snoop (SNP) virtual channel can be used for snoops and, in one embodiment, are the only messages that use the SNP virtual channel.
  • Snoops can include the requesting agent's NID and the RTID it allocated for the request in case the snoop results in data being sent directly to the requesting agent.
  • Snoops in one embodiment, can also include the HTID allocated by the home agent to process the request.
  • the coherence agent processing the snoop may include the HTID in the snoop response it sends back to the home agent.
  • Snoops may, in some instance, not include the home agent's NID because it may be derived from the included address, which the targeted coherence agent does when sending its response.
  • Fanout snoops (those with “SnpF” prefix) may not include a destination NID because the Routing Layer is responsible for generating the appropriate snoop messages to all peers in the fanout region.
  • An exemplary list of snoop channel messages is listed Table 3:
  • SnpCode Snoop to get data in F or S state. cmd, SnpData Snoop to get data in E, F, or S state. addr, SnpMigr Snoop to get data in M, E, F, or S state. destNID, SnpInv Snoop to invalidate the peer's cache, reqNID, flushing any M copy to memory. reqTID, SnpInvOwn Snoop to get data in M or E state. homeTID SnpCur Snoop to get an uncacheable snapshot of a cache line.
  • SnpFCode Snoop to get data in F or S state; Routing cmd, layer to handle distribution to all fanout addr, peers reqNID, SnpFData Snoop to get data in E, F, or S state; reqTID, Routing layer to handle distribution to homeTID all fanout peers SnpFMigr Snoop to get data in M, E, F, or S state; Routing layer to handle distribution to all fanout peers SnpFInvOwn Snoop to get data in M or E state; Routing layer to handle distribution to all fanout peers. SnpFInv Snoop to invalidate the peer's cache, flushing any M copy to memory; Routing layer to handle distribution to all fanout peers. SnpCur Snoop to get an uncacheable snapshot of a cache line; Routing layer to handle distribution to all fanout peers.
  • HPI may also support non snoop requests that they may issue to an address, such as those implemented as non-coherent requests. Examples of such requests can include a non-snoop read to request a read-only line form memory, a non-snoop write to write a line to memory, and a write a line to memory according to a mask, among other potential examples.
  • response messages can be defined in the HPI Coherence Protocol: data, completion, snoop, and forward. Certain data messages can carry an additional completion indication and certain snoop responses can carry data. Response messages may use the RSP virtual channel, and the communication fabric may maintain proper message delivery ordering among ordered completion responses and forward responses.
  • Table 4 includes a listing of at least some potential response messages supported by an example HPI Coherence Protocol:
  • Data_M Data is M state. cmd
  • Data_E Data is E state. destNID
  • Data_F Data is F state. reqTID
  • Data_SI Depending upon request, data in S state or data uncacheable “snapshot” data.
  • Data_M Data is M state with an ordered completion response.
  • Data_E Data is E state with an ordered completion response.
  • Data_F Data is F state with an ordered completion response.
  • Data_SI Depending upon request, data in S state or uncacheable “snapshot” data, with an ordered completion response.
  • CmpU Completion message with no ordering cmd, requirements. destNID, CmpO Completion message to be ordered with reqTID forward responses.
  • RspI Cache is in I state.
  • RspS Cache is in S state.
  • destNID homeTID
  • RspFwd Copy of cache line was sent to requesting agent, cache state did not change.
  • RspFwdI Copy of cache line was sent to requesting agent, cache transitions to I state.
  • RspFwdS Copy of cache line was sent to requesting agent, cache transitions to S state.
  • RspIWb Modified line is being implicitly written cmd, back to memory, cache was transitioned destNID, to I state. homeTID, RspSWb Modified line is being implicitly written data back to memory, cache was transitioned to S state.
  • RspFwdIWb Modified line is being implicitly written back to memory, copy of cache line was sent to requesting agent, cache was transitioned to I state.
  • RspFwdSWb Modified line is being implicitly written back to memory, copy of cache line was sent to requesting agent, cache was transitioned to S state.
  • RspCnflt Peer has an outstanding request to same cmd, address, is requesting an ordered forward destNID, response, and has allocated a resource homeTID, for the forward. peerNID
  • data responses can target a requesting coherence agent.
  • a home agent may send any of the data responses.
  • a coherence agent may send only data responses not containing an ordered completion indication.
  • coherence agents may be limited to sending data responses only as a result of processing a snoop request. Combined data and completion responses may always be of the ordered-completion type and can be kept ordered with forward responses by the communication fabric.
  • the HPI Coherence Protocol can uses the general unordered completion message and a coherence-specific ordered completion message.
  • a home agent may send completion responses to coherent requests and completion responses can be typically destined for a coherence agent.
  • the ordered completion response can be kept ordered with forward responses by the communication fabric.
  • Snoop responses may be sent by coherence agents, specifically in response to processing a snoop request, and target the home agent handling the snoop request.
  • the destNlD is usually a home agent (determined from the address in the snoop request) and the included TID is for the home agent's resource allocated to process the request.
  • Snoop responses with “Wb” in the command are for implicit writebacks of modified cache lines, and they carry the cache line data. (Implicit writebacks can include those a coherence agent makes due to another agent's request, whereas the other requests are made explicitly by the coherence agent using its request resources.)
  • Coherence agents can generate a forward request when a snoop request conflicts with an outstanding request.
  • Forward requests target the home agent that generated the snoop, which is determined from the address in the snoop request.
  • the destNlD is a home agent.
  • the forward request can also include the TID for the home agent's resource allocated to process the original request and the NID of the coherence agent generating the forward request
  • the HPI Coherence Protocol can support a single forward response, FwdCnfltO.
  • Home agents can send a forward response for every forward request received and to the coherence agent in the forward request's peerNID field.
  • Forward responses carry the cache line address so the coherence agent can match the message to the forward resource it allocated.
  • Forward response message can carry the requesting agent's NID but, in some cases, not the requesting agent's TID. If a coherence agent wants to support cache-to-cache transfers for forward responses, it can save the requesting agent's TID when processing the snoop and send a forward request.
  • the communication fabric may maintain ordering between the forward response and all ordered completions sent before it to the same destination coherence agent.
  • home agent resources are pre-allocated in that “RTIDs” represent resources in the home agents and the caching agents allocate RTIDs from system-configured pools when generating new coherence requests.
  • RTIDs represent resources in the home agents and the caching agents allocate RTIDs from system-configured pools when generating new coherence requests.
  • Such schemes can limit the number of active requests any particular caching agent can have to a home agent to the number of RTIDs it was given by the system, effectively slicing up home resources statically among caching agents.
  • Such schemes can result inefficient allocation of resources and properly sizing a home agent to support request throughput can become impractical for large systems, among other potential issues. For instance, such schemes can force RTID pool management upon the caching agents.
  • a caching agent may not reuse the RTID until the home agent has completely processed the transaction.
  • home agents can be allowed to allocate their resources as requests arrive from cache agents.
  • home agent resource management can be kept separate from coherence agent logic.
  • home resource management and coherence agent logic can be at least partially intermingled.
  • coherence agents can have more outstanding requests to a home agent than the home agent can simultaneously handle.
  • HPI can allow requests to queue up in the communication fabric.
  • the HPI Coherence protocol can be configured to ensure that other messages can make progress around blocked requests to ensure that active transactions reach completion.
  • resource management can be supported by allowing an agent receiving a request to allocate resources to process it, the agent sending the request allocating respective resources for all responses to the request
  • the HTID can represent the resource that a home agent allocates for a given request included in some protocol messages.
  • the HTID (along with RNID/RTID) in snoop requests and forward responses can be used to support responses to a home agent as well as data forwarding to a requesting agent, among other examples.
  • HPI can support the ability of an agent to send an ordered complete (CmpO) early, that is, before the home agent is finished processing the request, when it is determined to be safe for a requesting agent to reuse its RTID resource.
  • CmpO ordered complete
  • General handling of snoops with similar RNID/RTID can also be defined by the protocol.
  • a directory state can be used to determine when the home agent may send a response. For instance, an Invalid directory state can allow a response to be sent, except for RdCur requests which indicates there are no outstanding snoop responses.
  • An Unknown directory state can dictate that all peer agents have been snooped and all their responses gathered before a response can be sent.
  • the Exclusive directory state can dictate that the owner be snooped and all responses gathered before a response is sent, or if the requesting agent is the owner then a response may immediately be sent.
  • the Shared directory state can specify that an invalidating request (e.g., RdInv* or Inv*) has snooped all peer agents and gathered all snoop responses.
  • an invalidating request e.g., RdInv* or Inv*
  • the home agent may send a data response.
  • the request's tracker state is DataSent (indicating the home agent has already sent a data response) or DataXfrd (indicating a peer transferred a copy of the line)
  • the home agent may send the completion response.
  • a home agent may send data and completion responses before all snoop responses have been gathered.
  • the HPI interface allows these “early” responses.
  • the home agent may gather all outstanding snoop responses before releasing the resource it allocated for the request.
  • the home agent can also continue blocking further standard requests to the same address until all snoop responses have been gathered, then releasing the resource.
  • a home agent sending a response message from a Busy or WbBuffered state can use a sub-action table (e.g., included in a set of protocol tables embodying the formal specification of the HPI Coherence protocol) for which message to send and use a sub action table for how to update the directory state, among other examples.
  • a sub-action table e.g., included in a set of protocol tables embodying the formal specification of the HPI Coherence protocol
  • an early completion can be performed without pre-allocation by a home node.
  • HPI Coherence protocol can omit the use of either or both pre-allocated home resources and ordered request channels.
  • certain messages on the HPI RSP communication channel can be ordered. For instance, specifically “ordered completion” and “forward response” messages, can be provided, that can be sent from the home agent to the coherence agent.
  • Home agents can send an ordered completion (CmpO or Data_*_CmpO) for all coherent read and invalidation requests (as well as other requests, such as a NonSnpRd requests, that are not involved in cache-coherence conflicts).
  • Home agents can send forward responses (FwdCnfltO) to coherence agents that send forward requests (RspCnflt) to indicate a conflict.
  • a coherence agent can generate a forward request whenever it has an outstanding read or invalidation request and detects an incoming snoop request to the same cache line as the request. When the coherence agent receives the forward response, it checks the current state of the outstanding request to determine how to process the original snoop.
  • the home agent can sent the forward response to be ordered with a complete (e.g., CmpO or Data_*_CmpO).
  • the coherence agent can utilize information included in the snoop to aid the coherence agent in processing a forward response.
  • a forward response may not include any “type” information and no RTID.
  • the nature of the forward response can be derived from information obtained from the preceding snoop(s).
  • a coherence agent may block outstanding snoop requests when all of its “forward resources” are waiting for forward responses.
  • each coherence agent can be designed to have at least one forward resource.
  • communication fabric requirements can be upon the Routing Layer.
  • the HPI Coherence protocol has one communication fabric requirement that is specific to the Routing Layer.
  • the coherence protocol can depend upon the routing layer to convert a fanout snoop (SnpF* opcodes—Snoop (SNP) Channel Messages”) into the appropriate snoops for all of the request's peers in the fanout set of Coherence Agents.
  • the fanout set is a configuration parameter of the Routing Layer that is shared by the Protocol Layer. In this coherence protocol specification it is described as a Home Agent configuration parameter.
  • the HPI Coherence Protocol can utilizes four of the virtual channels: REQ, WB, SNP, and RSP.
  • the virtual channels can be used to unwind dependency cycles and avoid deadlock.
  • every message can be delivered without duplication on all virtual channels and an ordering requirement upon the RSP virtual channel.
  • the communication fabric can be configured to preserve an ordering among certain completion messages and the FwdCnfltO message.
  • the completion messages are the CmpO message and any data message with CmpO attached (Data_*_CmpO). Together, all of these messages are the “ordered completion responses.”
  • the conceptual requirement between ordered completion responses and the FwdCnfltO message is that a FwdCnfltO does not “pass” an ordered completion. More specifically, if a home agent sends an ordered completion response followed by a FwdCnfltO message and both messages are destined for the same coherence agent, then the communication fabric delivers the ordered completion response before the FwdCnfltO, among other potential examples.
  • a conflict may occur when requests to the same cache-line address from more than one coherence agent occur around the same time.
  • a conflict can occur when a snoop for a coherence agent's standard request arrives at a peer coherence agent with an outstanding request to the same address. Because each snoop may end up in a conflict, a single request can have multiple conflicts. Resolving conflicts may be a coordinated effort among the home agent, the coherence agents, and the communication fabric. However, the primary responsibility lies with the coherence agents detecting conflicting snoops.
  • home agents, coherence agents, and communication fabric can be configured to assist in successfully resolving conflicts.
  • home agents may have outstanding snoops for only one request per address at a time, such that, for a given address, a home agent may have outstanding snoops for only one request. This can serve to exclude the possibility of race conditions involving two requests conflicting with each other. It can also ensure that a coherence agent will not see another snoop to the same address after it has detected a conflict but not yet resolved it.
  • a coherence agent when a coherence agent processes a snoop with an address matching an active standard request, it can allocates a forward resource and sends a forward request to the home agent.
  • a coherence agent with an outstanding standard request that receives a snoop to the same address can responds with a RspCnflt snoop response. This response can be a forward request to the home agent.
  • the coherence agent can allocate a resource to handle the response that the home agent will send.
  • the coherence protocol allows blocking conflicting snoops when the coherence agent has run out of forward resources, in some instances.
  • the coherence agent may store information about the conflicting snoop to use when processing the forward response. After detecting a conflict and until processing the forward response, a coherence agent may be guaranteed to not see another snoop to the same address.
  • a home agent when a home agent receives a forward request, it does not record the snoop response. Instead, the home agent can send a forward response to the conflicting coherence agent.
  • a forward request looks like a snoop response but the home agent does not treat it as one. It does not record the message as a snoop response, but instead sends a forward response. Specifically, for every forward request (RspCnflt) a home agent receives, it sends a forward response (FwdCnfltO) to the requesting coherence agent.
  • the HPI Communication Fabric orders forward responses and ordered completions between the home agent and the targeted coherence agent.
  • the fabric can thereby serve to differentiate an early conflict from a late conflict at the conflicting coherence agent.
  • an early conflict occurs when a snoop encounters a request that the home agent has not yet processed
  • a late conflict occurs when a snoop encounters a request that the home agent has already processed.
  • an early conflict is when a snoop for the currently active request encounters a request that the home agent has not yet received or started processing
  • a late conflict is when the snoop encounters a request it has already processed.
  • a late conflict is with a request to which the home agent has already sent a completion response.
  • a home agent receives a forward request for a late conflict, it will have already sent the completion response to the conflicting agent's outstanding request.
  • the coherence agent can determine whether the conflict was early or late by the processing state of its conflicting request.
  • a coherence agent When a coherence agent receives a forward response, it uses the state of its conflicting request to determine whether the conflict was early or late and when to process the original snoop. Because of the communication fabric's ordering requirement, the state of the conflicting request indicates whether the conflict was early or late. If the request state indicates the completion has been received then it was a late conflict, otherwise it was an early conflict. Alternatively, if the request state indicates the request is still waiting for its response(s) then it was an early conflict, otherwise it was a late conflict.
  • the type of conflict determines when to process the snoop: From a coherence agent's perspective, an early conflict means the snoop is for a request being processed before the agent's conflicting request, and a late conflict means the snoop is for a request being processed after the agent's conflicting request. Given that ordering, for an early conflict, the coherence agent immediately processes the original snoop; and for a late conflict, the coherence agent waits until the conflicting request has received its data (for reads) and its processor has had an opportunity to act upon the finished request before processing the snoop. When the conflicting snoop is processed, the coherence agent will generate a snoop response for the home agent to finally record.
  • All conflicts with writeback requests can be late conflicts.
  • a late conflict from the coherence agent's perspective is when the agent's request is processed before the snoop's request.
  • all conflicts with writeback requests can be treated as late conflicts because the writeback is processed first. Otherwise, data consistency and coherency could be violated if the home agent were to process the request before the writeback commits to memory.
  • coherence agents can be configured to block conflicting snoops until an outstanding writeback request completes. Further, writebacks can also block the processing of forwards. Blocking forwards by an active writeback can also be implemented as a protocol requirement for supporting uncacheable stores, among other examples.
  • a coherence agent When a coherence agent receives a request to snoop its cache, it can first check if the coherence protocol will allow it, and then it may process the snoop and generate a response.
  • One or more state tables can be defined within a set of state tables that defines the protocol specification.
  • One or more state table can specify when a coherence agent may process a snoop and whether it will snoop the cache or instead generate a conflict forward request.
  • the coherence agent must generate a forward request (RspCnflt).
  • the second condition is when the coherence agent does not have a REQ, Wb*, or EvctCln request to the snoop address.
  • a state table can define how a coherence agent is to process the snoop in accordance with such respective conditions.
  • the coherence agent can block the snoop until either a forward resource becomes available (first condition) or the blocking Wb* or EvctCln receives its CmpU response (second condition). Note that NonSnp* requests may not affect snoop processing and a coherence agent can disregard NonSnp* entries when determining how to process or block a snoop.
  • a coherence agent When generating a forward request, a coherence agent can reserve a resource for the forward response.
  • the HPI Coherence protocol in one example, may not require a minimum number of forward response resources (beyond having at least one) and can allow a coherence agent to block snoops when it has no forward response resources available.
  • a coherence agent with a full modified line that receives a non-conflicting SnpMigr may do any of the following: Downgrade to S, send implicit writeback to Home and Data_F to requestor; Downgrade to S, send implicit writeback to Home; Downgrade to I, send Data_M to requestor; Downgrade to I, send implicit writeback to Home and Data_E to requestor; Downgrade to I, send implicit writeback to Home; among potentially other examples.
  • the HPI Coherence protocol allows a coherence agent to store modified lines with partial masks in its cache. However, all rows in for M copies can require a Full or Empty mask.
  • the HPI Coherence protocol in one example, may restrict implicit writeback of partial lines.
  • a coherence agent wishing to evict a partial M line due to a snoop request (or forward response) can first initiate an explicit writeback and block the snoop (or forward) until the explicit writeback is completed.
  • the HPI Coherence Protocol allows a coherence agent to store forward response information separate from the outgoing request buffer (ORB). Separating the information allows the ORB to release ORB resources and RTID when all responses are gathered, regardless of the entry being involved in a conflict. State tables can be utilized to specify what information to store for forward responses and under what conditions.
  • Forward responses in the HPI Coherence protocol can contain the address, the requesting agent's NID, and the home TID. It does not contain the original snoop type or the RTID.
  • a coherence agent may store the forward type and the RTID if it wishes to use them with the forward response, and it may use the address to match the incoming forward response with the proper forward entry (and to generate the home NID). Storing the forward type may be optional. If no type is stored, the coherence agent can treat a forward response as having Fwdlnv type. Likewise, storing the RTID can be optional and may only occur when the coherence agent is to support cache-to-cache transfers when processing forward responses.
  • coherence agents can generate a forward request when a snoop request conflicts with an outstanding request.
  • Forward requests target the home agent that generated the snoop, which can be determined from the address in the snoop request.
  • the destNlD can identify a home agent.
  • the forward request can also include the TID for the home agent's resource allocated to process the original request and the NID of the coherence agent generating the forward request.
  • a coherence agent can block forwards for writeback requests to maintain data consistency.
  • Coherence agents can also use a writeback request to commit uncacheable (UC) data before processing a forward and can allow the coherence agent to writeback partial cache lines instead of protocol supporting a partial implicit writeback for forwards.
  • UC uncacheable
  • a coherence agent can be allowed to store modified lines with partial masks in its cache (although M copies are to include a Full or Empty mask).
  • early conflicts may be resolved by a forward response encountering an outstanding standard request before it has received any response.
  • a corresponding protocol state table can specify, in one example, that a forward response can be processed as long as the standard request entry is still in ReqSent state.
  • Late conflicts can be resolved by a forward response arriving after the outstanding request has received its completion response. When this occurs either the request will have finished (already received its data or was an Inv* request) or the entry is in its RcvdCmp state. If the request is still waiting for its data, then the coherence agent must block the forward until the data is received (and used).
  • the forward response may be processed as long as the coherence agent has not initiated an explicit writeback of the cache line. It can be permissible for a coherence agent to initiate an explicit writeback while it has a forward response (or snoop request) to the same address, thus allowing partial lines (e.g. Snoop Requests to Partially Modified Lines”) or uncacheable stores to be properly committed to memory.
  • a first cache (or coherence) agent 705 can send a read request for a particular line of data to home agent 710 resulting in a read of memory 715 .
  • another cache agent 720 makes a request for ownership (RFO) of the same line.
  • RFO request for ownership
  • the home agent 710 has sent the Data_S_CmpO to the first cache agent 705 prior to receiving the RFO from cache agent 720 .
  • the RFO can result in a snoop (SnpFO) being sent to the cache agent 705 (as well as other cache agents), the snoop being received by the first cache agent 705 prior to receiving the complete Data_S_CmpO.
  • the cache agent 705 upon receiving the snoop SnpO can identify a potential conflict involving the line of memory requested in its original read request and can notify the home agent 710 of the conflict by responding to the SnpO with a forward responses conflict message (RspCnflt).
  • the home agent 710 can respond to the forward response RspCnflt by sending a forward response (FwdCnfltO).
  • the cache agent 705 can then receive the shared data complete Data_S_CmpO and transition from an I state to S state.
  • the forward response FwdCnfltO can then be received by the cache agent 705 and cache agent 705 can determine how to respond to the forward response message FwdClfltO based on the snoop SnpFO that triggered the sending of the forward response RspCnflt.
  • the cache agent 705 can consult a protocol state table, for instance, to determine a response to the forward response message FwdClfltO.
  • a protocol state table for instance
  • the cache agent 705 can transition to an F-state and send the S-copy of the data it received from the home agent 710 in the Data_S_CmpO message to the second cache agent 720 in a Data_F message.
  • the first cache agent 705 can also send a response message RspFwdS to the home agent 710 notifying the home agent 710 that the first cache agent has shared its copy of the data with the second cache agent.
  • the first cache agent 705 can send a request for ownership (RFO) of a particular line of memory to the home agent 710 .
  • a second cache agent can send a RdInvOwn message to the home agent 710 as a request for the same line of memory in an M state.
  • the home agent 710 can send a snoop (SnpFO) to the second cache agent 720 which the second cache agent 720 can identify as a potential conflict involving the line of memory subject to both the RFO and RdInvOwn requests.
  • SnpFO snoop
  • the second cache agent 720 can send a forward request RspCnflt to the home agent 720 .
  • the home agent 720 responds to the second cache agent's 720 forward request with a forward response.
  • the second cache agent 720 determines a response to the forward response based on information contained in the original snoop SnpFO.
  • the second cache agent 720 responds with a snoop response RspI indicating that the second cache agent 720 is in an I-state.
  • the home agent 710 receives the snoop response RspI and determines that it is appropriate to send the data complete exclusive (Data_E_CmpO) to the first cache agent 705 , which causes the first cache agent to transition to an E state.
  • Data_E_CmpO data complete exclusive
  • the home agent 710 can then begin responding to the second cache agent's RdInvOwn request, beginning with a snoop request SnpinvO of the first cache agent 705 .
  • the first cache agent 705 can identify that the snoop results in a request by the second cache agent 720 to obtain an exclusive M-state copy of the line. Consequently, the first cache agent 705 transitions to the M state to send its copy of the line as an M-state copy (with Data_M message) to the second cache agent 720 .
  • the first cache agent 705 also sends a response message RspFwdI to indicate that the copy of the line has been sent to the second cache agent 720 and that the first cache agent has transitioned to an I-state (having given up ownership of the copy to the second cache agent 720 ).
  • a cache agent 720 attempts to request exclusive ownership of an uncacheable (UC) line without receiving data (e.g., through a InvItoE message).
  • a first cache agent 705 send a competing message (RdInv) for the cache line in E state.
  • RdInv competing message
  • the HPI Coherence protocol can specify that if the requested line was previously cached in M state, the line will be written to memory before E data is delivered in response to the RdInv of the first cache agent 705 .
  • the home agent 710 can send a complete (CmpO) to the InvItoE request and send a snoop (SnpInv) to cache agent 720 based on the RdInv request. If the cache agent 720 receives the snoop before the complete, the cache agent 720 can identify that the snoop pertains to the same cache line as its exclusive ownership request and indicate a conflict through a forward requests RspCnflt. As in previous examples, the home agent 710 can be configured to respond to the forward request with a forward response (FwdCnfltO). Multiple permissible responses may be allowed to the forward response.
  • FwdCnfltO forward response
  • the cache agent 720 can initiate an explicit writeback (e.g., WbMtoI) and block the snoop (or forward) until the explicit writeback is completed (e.g., CmpU), as shown in the example of FIG. 9 .
  • the cache agent can then complete the snoop response (RspI).
  • the home agent 710 can then process the RdInv request of the first cache agent 705 and return a complete Data_E_CmpO, among other examples.
  • the HPI Coherence protocol allows the agent to either 1) perform an explicit writeback (partial) of the line while blocking the snoop or 2) send a forward request (RspCnflt) to the home agent. If (1) is chosen, the agent processes the snoop after receiving the complete for the writeback.
  • the agent will receive forward response (FwdCnfltO) while its outstanding read or invalidation request is still waiting for responses and the agent still has a partial modified line. If that is the case, the protocol allows the agent to block the forward while performing an explicit writeback (partial) of the line. During the writeback, the protocol guarantees the agent will not receive responses for the outstanding read or invalidation request.
  • the mechanism described above (allowing coherence agents to issue explicit writebacks and block snoops and forwards, even when the agent has an outstanding read or invalidation request) is also used to ensure partial or UC writes are posted to memory before the writer acquires global observability.
  • Coherence agents use a two-step process for partial/UC writes. First, they check if they have ownership of the cacheline and issue an ownership (invalidation) request in the protocol if they do not. Second, they perform the write. In the first step, if they performed an ownership request, it is possible that the request will conflict with other agents' requests for the line, meaning the agent might receive a snoop while the ownership request is outstanding. Per coherence protocol requirements, the agent will issue a forward request for the conflicting snoop. While waiting for the forward response, the agent may receive the ownership request's completion, which grants ownership of the line to the agent and allows the agent to initiate the writeback for the partial/UC write.
  • the coherence agent may not combine the two activities.
  • the coherence agent is to instead writeback the partial/UC write data separately from processing the forward, and perform the writeback first.
  • a cache agent may use a writeback request to commit UC data before processing forward and writeback partial cache lines, among other examples and features.
  • the HPI Coherence protocol can support a read invalidate (RdInv) request accepting Exclusive-state data. Semantics of uncacheable (UC) reads include flushing modified data to memory. Some architectures, however, allow forwarding M data to invalidating reads, which forced the requesting agent to clean the line if it received M data.
  • the RdInv simplifies the flow and does not allow E data to be forwarded. For instance, as shown in the example of FIG. 10 , the directory state of a home agent 710 can indicate that no agent (e.g., 705 , 710 ) has a copy of the line. In such instances, the home agent 710 may immediately send the data and completion response(s). HPI allows the same if the effective directory state indicates no peer can have a copy of the line.
  • an agent can respond to a snoop with a RspIWb message, indicating that the cache agent (e.g., 705 ) is in (or has transitioned to) an I-state while requesting a write to memory.
  • a RspIWb can set the effective directory state to Invalid and allows a home agent 710 to send a response without snooping all peers.
  • a second cache agent 720 send a RdInv request while the home agent directory is in an Unknown state. In response, the home agent 710 initially snoops only first cache agent 705 .
  • cache agent 705 has a modified copy of the line and responds with an implicit writeback (e.g., RspIWb).
  • an implicit writeback e.g., RspIWb.
  • the home agent 710 can set the directory state to Invalid. Because the directory state is Invalid, the home agent 710 waits until the write to memory 715 completes and then sends the data and completion response(s) (e.g., Data_E_CmpO) and releases the resource it allocated for the request from cache agent 720 .
  • the home agent may skip the snooping of other cache agents in the system.
  • a home agent e.g., 710
  • can send data and a completion response prior to receiving all snoop responses e.g., due to the identification of an M-copy at agent 705 ), as illustrated in the example illustrated in FIG. 11 (with cache agent 1105 ).
  • the cache agent 720 when the second cache agent 720 receives the Data_E_CmpO response from the home agent 710 , the cache agent 720 can load the data into its cache, set its cache state to E, and release the resource RTID it allocated for the request. After releasing the RTID, cache agent 720 may reuse it for a new request. In the meantime, the home agent 710 can wait for snoop responses for snoops to the request originally using the RTID. Snoop messages can contain the request's RTID and requesting agent's NID.
  • cache agent 720 could reuse the RTID for a new request to the same or a different home agent, and that home agent could generate snoops for the new request while snoops for the original request are outstanding, it is possible that the same “unique” transaction ID will exist in snoops to the same coherence agents. From a coherency perspective this duplication of transaction ID (TID) can nonetheless be acceptable because snoops for the original request will only find I states.
  • TID transaction ID
  • a home agent may generate a snoop when the request's Tracker state is Wait, Busy or DataXfrd, meaning either the home agent has not yet sent a data response or a snoop response indicated some peer forwarded the data to the requesting agent.
  • a home agent may also check the request's Snoop field to ensure it has not yet sent a snoop to a Peer.
  • a home agent may add Peer (or all fanout Peers) to Snoop (to prevent sending a second snoop) and track outstanding snoop responses.
  • HPI can support fanout snoops. Additionally, in some examples, HPI can support an explicit fanout snoop operation, SnpF, for fanout snoops generated by the Routing layer.
  • An HPI home agent e.g., 710
  • SnpF explicit fanout snoop operation
  • An HPI home agent e.g., 710
  • SnpF single fanout snoop request
  • the Routing layer can generate snoops to all peer agents in the respective fanout cone based on the SnpF request.
  • the home agent may accordingly expect snoop responses from each of the agent sections. While other snoop messages may include a destination node ID, fanout snoops may omit a destination NID because the Routing layer is responsible for generating the appropriate snoop messages to all peers in the fanout region.
  • the HPI Coherence protocol can have has one communication fabric requirement that is specific to the Routing layer.
  • the Coherence protocol can depend upon the Routing layer to convert a fanout snoop (SnpF* opcodes—Snoop (SNP) Channel Messages) into the appropriate snoops for all of the request's peers in the fanout set of cache agents.
  • the fanout set is a configuration parameter of the Routing layer that is shared by the Protocol layer, or a home agent configuration parameter.
  • a home agent may send a fanout snoop for an active standard request.
  • the HPI Routing layer can convert the fanout snoop request of the home agent into regular snoops to each of the peers in the fanout cone defined by the Routing layer.
  • the HPI Coherence protocol home agent is made aware of which coherence agents are covered by the Routing layer fanout via a HAFanoutAgent configuration parameter identifying the respective cache agents that are included in the fanout cone by address.
  • the Routing layer can receive the fanout snoop SnpF and convert it into a snoops of every cache agent included in the fanout cone (excepting the requesting agent).
  • the Routing layer can convert the fanout snoop into corresponding non-fanout snoops (with appropriate non-fanout opcodes, such as those in Table 3), among other examples.
  • a home agent may be limited to sending a fanout snoop only before it sends a completion response to a coherence protocol request by a cache agent. Further, additional conditions can be placed on the fanout snoops. As examples, a home agent may send a fanout snoop if it has not individually snooped any of the peers in the fanout cone. In other words, a home agent may not initiate a fanout snoop, in some implementations, if the fanout cone is empty or if the requesting cache agent is the only agent in the fanout cone, among other examples
  • HPI can support an explicit writeback with cache-push hint (WbPushMtoI).
  • WbPushMtoI can be transferred by either explicitly writing the data back to memory or transferring the modified data in response to a snoop request. Transferring modified data in connection with a snoop response can be considered a “pull” transfer.
  • a “push” mechanism can also be supported, whereby a cache agent with the modified data sends the modified data directly to another caching agent for storage in the target agent's cache (along with the Modified cache state).
  • a cache agent can write back modified data with a hint to the home agent that it may push the modified data to a “local” cache, storing the data in M state in the local cache, without writing the data to memory.
  • a home agent 710 can receive a WbPushMtoI message from a cache agent 705 and identify the hint that another cache agent (e.g., 720 ) is likely to utilize or desire ownership of a particular line in the near future, as shown in the example of FIG. 12 .
  • the home agent 710 can process the WbPushMtoI message and effectively accept the hint and push the written-back data to the other cache agent 720 without writing the data to memory 715 , thereby causing the other cache agent 720 to transition to an M state.
  • the home agent 710 can alternatively process the WbPushMtoI message and opt to write the data back to memory, as in a WbMtoI request (such as illustrated in FIG. 13 ) and not push the written-back data directly to the other cache agent 720 .
  • a home agent (e.g., 710 ) can process a WbPushMtoI message by checking that the tracker state is WbBuffered, which can indicate that the home agent has not yet processed the data.
  • a “push” of the data can be conditioned on the home agent determining that the home agent is not already processing a standard request to the same address.
  • the push can be further conditioned on the home agent determining that the targeted cache agent (e.g., 720 , in the example of FIG. 12 ) is “local.” If the targeted cache agent is not covered by the home agent directory, then the home agent may transfer the data to the target cache agent's cache and update the directory to Invalid.
  • the data transfer to the cache agent's cache may only be allowed only if the targeted cache agent does not have an active InvXtoI, and when transferred the home agent can update the directory to Exclusive with the target cache agent as the owner.
  • Other conditions can be defined (e.g., in a corresponding protocol state table) for a home agent in determining whether to accept the hint of the WbPushMtoI message and push data to a targeted cache agent, or instead process the WbPushMtoI message as a WbMtoI request by first writing the data to memory, among other potential examples.
  • HPI Can support an InvItoM message to pre-allocate to a directory cache of a home agent, such as an I/O directory cache (IODC).
  • An InvItoM can request exclusive ownership of a cache line without receiving data while indicating an the intent of performing a writeback soon afterward.
  • a required cache state may be an M state, and E state, or either.
  • a home agent can process an InvItoM message to pre-allocate a resource for the writeback hinted at through the InvItoM message (including the InvItoM opcode).
  • an opcode can be provided through HPI Coherence protocol to trigger a memory flush of a memory controller with which one or more home agents interact.
  • an opcode, WbFlush can be defined for persistent memory flush.
  • a host e.g., 1405
  • the WbFlush can indicate a particular address and the WbFlush command can be sent to the specific memory controller targeted by the address.
  • a WbFlush message can be broadcast to multiple memory controllers.
  • the t may be sent as a result of a persistent commit in a CPU.
  • Each respective memory controller (e.g., 1410 ) receiving a WbFlush command can process the message to all pending writes at the memory controller to a persistent memory device (or memory location) managed by the memory controller.
  • the purpose of the command can be to commit all previous writes to persistent memory.
  • a WbFlush command can be triggered in connection with a power failure management controller or process, so as to ensure that pending writes are flushed to non-volatile memory and preserved in the event of a power failure of the system. Further, as shown in the example of FIG.
  • the memory controller 1410 upon flushing (or initiating the flushing of) all pending writes to memory (e.g., 1415 ), the memory controller 1410 can respond to the requesting host (or agent) (e.g., 1405 ) with a completion indicating the flush.
  • the completion should not be sent to the host until the memory controller has assured that the data will make it to persistent memory.
  • the WbFlush message or corresponding completion can serve as a check point for other processes and controllers dependent on or driving the flushing of pending writes to memory, among other uses and examples.
  • HPI may be extended to have coherence agents support accepting a combined Data_M_CmpO.
  • home agents can be configured to generate a combined Data_M_Cmp0 message via buffering implicit writeback data.
  • an agent can be provided with logic that combines cache and home agent behaviors, such that when the agent receives a request and find M data in its cache, it can directly generate the Data_M_CmpO. In such instances, the Data_M_CmpO response can be generated without generating a RspIWb or buffering writeback data, among other examples.
  • a state machine embodied by a machine readable state table (e.g., 1500 )
  • a home agent may not be allowed to send a CmpO completion message to a read Rd* request from either state, effectively meaning a home agent is to send a data response before or with a completion response.
  • a Data_X response may be sent in the home agent response message, the home agent may combine the data response with a completion and send it instead.
  • the state of the data response can be fixed for invalidating requests and RdCur.
  • RdMigr and RdData non-shared directory states can allow E data to be sent.
  • RdMigr, RdData, and RdCode a Shared directory state can involve checking if all peers that might have F state were snooped. If they were, then the data can be sent with F state; otherwise, the data can be sent in S state in case an unsnooped peer has an F copy, among other potential examples.
  • a home agent may send a Data_M or Data_M_CmpO response, in some implementations, only if it buffered the data from a RspIWb snoop response.
  • a home agent When a home agent buffers RspIWb data, it can store the data in the tracker entry and change the entry's state to WbBuffered. Note that if a home agent buffers the RspIWb data instead of writing it to memory, it sends a Data_M or Data_M_CmpO response in this example.
  • HPI Coherence protocol can support an F state that allows a cache agent to keep F state when forwarding shared data.
  • the F (forward) cache state can be itself forwardable.
  • the cache may forward the data, and when it does it can send the F state with the data and transition its cache state to S (or I).
  • S or I
  • the ability of a cache agent to keep or pass an F state on a shared transfer can be controllable.
  • a configuration parameter, per coherence agent can indicate whether a coherence agent will transfer or hold onto a F state.
  • the coherence agent can use the same snoop response (e.g., RspFwdS).
  • the cache agent can transition its cache state to F when forwarding the S data and sending the RspFwdS response (when the parameter is set to hold F state).
  • the cache agent can downgrade its cache state to F when forwarding the S data, writing back the data to memory, and sending the RspFwdSWb response (when the parameter is set to hold F state).
  • a coherence agent with F state that receives a “sharing” snoop or forward after such a snoop may keep the F state while sending S state to the requesting agent.
  • the configuration parameter can be toggled to allow the F state to be transferred in a transfer of shared data and transition to an S (or I) state, among other examples.
  • a cache agent in F state can respond in a variety of ways, including a SnpMigr/FwdMigr, F, F, RspFwdS, Data_S, among other examples.
  • state transitions of a cache line and agents can be managed using a state machine.
  • the state machine can be further embodied by a set or library of state tables that have been defined to detail all of the various combinations of commands, attributes, previous states, and other conditions that can influence how state transitions are to take place, as well as the types of messages, data operations, masks, and so on, that can be associated with the state transition (such as illustrated in the particular examples of FIGS. 15 and 16 ).
  • Each state table can correspond to a particular action or category of actions or states.
  • the set of tables can include multiple tables, each table corresponding to a particular action or sub-action.
  • the set of tables can embody a formal specification of a protocol, such as the Coherence Protocol or another protocol (at any of the stack layers) of HPI.
  • State tables can be human-readable files, such as table structures that can be readily interpreted and modified and developed by a human user interacting with the state table structure using an endpoint computer device. Other users can utilize the state table to readily interpret state transitions within the Coherence Protocol (or any other protocol of HPI). Further, state tables can be machine-readable and parsable structures that can be read and interpreted by a computer to identify how states are to transition according to a particular protocol specification.
  • FIG. 17 illustrates a simplified representation of a generalized state table for an action “Action A”.
  • a protocol state table 1700 can include columns (e.g., 1705 ) pertaining to current states (or the states from which a transition is to be made) and other columns (e.g., 1710 ) pertaining to next states (or the states that are to be transitioned to). Columns in the current state columns can correspond to various characteristics of the state, such as commands received in a response message, snoop message, or other message, a cache line state, outgoing request buffer (ORB) condition, credits or resources to apply/reserve, whether the cache line is partially modified, a forwarding condition, and so on.
  • ORB outgoing request buffer
  • Each row in the table 1700 can correspond to a detected set of conditions for a cache line in a particular state.
  • the cells in the row within the next state columns e.g., 1710
  • the next state columns e.g., 1710
  • HNID home node
  • RID requesting node
  • peer node peer node
  • protocol state tables can use row spanning to indicate that multiple behaviors or states (rows) are equally permissible for a certain set of current state conditions. For instance, in the example of FIG. 17 , when the Command is Cmdl, a first condition is false, the cache line is in a second state, and a second condition is also false (as indicated by rows 1715 ), multiple potential next state conditions are possible and may be equally permissible, each indicated by a respective row. In other word, any one of such equally permissible transitions can be triggered based on the corresponding current state conditions. In some implementations, additional agent logic can select which of the multiple next state to select, among other example implementations.
  • a current state section of a state table corresponding to home agent send request responses can include multiple conditions (or input and state guards) including all valid behaviors for a coherence agent to perform when the agent holds a full M-line in its cache and is processing a SnpMigr to the same cacheline.
  • the table rows may correspond to five different, and equally permissible, next state behaviors the coherence agent can take in response to the current state conditions, among other examples.
  • a bias bit may be included in protocol state tables where multiple potential next states or conditions are possible for a particular current state,
  • a “bias” bit is included in tables as a mechanism to select among behaviors.
  • Such bias bits may be primarily used during validation of a protocol's state machine, but such bias bits introduce additional complexity and, in some cases, confusion unfamiliar with the utility of the bias bit.
  • a bias bit may be nothing more than an artifact of a validation exercise.
  • HPI protocol tables can emphasize explicit non-determinism.
  • protocol tables may be nested by having one table refer to another sub-table in the “next state” columns, and the nested table can have additional or finer-grained guards to specify which rows (behaviors) are permitted.
  • an example protocol state table 1700 can include an embedded reference 1805 to another table 1800 included in the set of tables embodying a protocol specification, such as a state table pertaining to a sub-action related to the action or behavior included in the next state designated for certain rows of table 1700 .
  • Multiple tables e.g., 1700 , 1810
  • can reference a nested table e.g., 1800 ).
  • an agent processing incoming responses to protocol responses may follow an action table (e.g., 1700 , 1810 ) and a subaction table 1800 .
  • action table 1700 can include a next state with a subaction table nested under one or more other protocol tables. This type of nesting can apply beyond coherence protocol and protocol layer state tables, but can also be applied to any known or future protocol response/tables.
  • an agent can make use of protocol tables (or another parsable structure constructed from the protocol tables) and can identify a particular state table corresponding to a particular action or event. Further, the agent can identify the row that applies to the cache line handled or targeted by the agent and identify, from the table, the next state information for the cache line. This determination can include the identification of a reference to a nested table of a sub-action. Accordingly, the agent can identify the corresponding structure of the linked-to nested table and further reference the nested table to determine the state transition.
  • a collective set of protocol tables can be defined and represent all of the possible, defined state transitions in a protocol. Further, each table can specify a set of transitions covering a set of related behaviors within the protocol (e.g. one table covers all the behaviors involved in snooping and updating cache state, one covers all behaviors generating new requests, etc.).
  • an agent can identify the particular state table covering that particular behavior within the set of state tables. The agent can then identify the current state of the system and reference the selected state table to identify the row or group of rows matching the current state, if any.
  • the agent may, in some instances, refrain from taking any action for the given current state and wait for some other event/behavior to change the state before trying again. Further, in some instances, as introduced above, if more than one row matches the identified system state, the agent can selects any of them to perform, as all can be regarded as equally permissible. Further, in the case of nesting, if a row refers to a nested table, the agent can access the nested table and use the identified current state of the system to search for allowed rows in the nested table.
  • the agent upon traversing any primary and nested tables to determine a response to a particular identified system (or protocol) state, the agent can cause the corresponding actions to be performed and the state of the system to be updated in accordance with the “next states” designated in the corresponding state tables.
  • more than one state table relates to or covers a set of behaviors.
  • two tables may be provided for processing snoops, the first for the case when there was a conflicting active request, the second table was for when there was not.
  • an agent may survey multiple tables to determine which table includes rows relevant to the particular conditions and states identified by the agent.
  • an agent may handle two unrelated or distinct events occurring simultaneously, such as an example where a home agent receives a snoop response and a new request at the same time.
  • an agent can identify and use multiple corresponding tables simultaneously to determine how to process the events.
  • test engine 1900 is provided adapted to validate a state machine of a protocol.
  • test engine 1900 can include or be based upon principles of a Murphi tool or another enumerative (explicit state) model checker, among other examples.
  • other specification languages can be utilized in lieu of the Murphi examples described, including, as another example, TLA+ or another suitable language or format.
  • state model checkers have been constructed by human developers who attempt to translate state machines (e.g., from accompanying state tables, etc.) into a set of requirements that are then used to generate a checker capable of checking the state machine. This is not only a typically labor- and resource-intensive process, but also introduces human error as the states and state transitions of a state table are transcribed and interpreted by human users.
  • a test engine 1900 can utilize a set of state tables (e.g., 1905 ) to automatically generate, from the set of state tables, resources to model behaviors of agents in a test environment.
  • a test engine 1900 can utilize the state tables 1905 as a functionality engine for modeling a cache agent or other agent (e.g., 1910 ) that can be used to validate various state transitions by simulating requests and responses (e.g., 1912 ) with other real or simulated agents, including a home agent 1915 .
  • a cache agent or other agent e.g., 1910
  • test engine 1900 can utilize state tables 1905 to simulate requests and responses (e.g., 1918 ) of a home agent (e.g., 1920 ) and interface with other real or simulated agents (e.g., 1925 ) to further validate and enumerate states of the protocol.
  • a home agent e.g., 1920
  • other real or simulated agents e.g., 1925
  • test engine 1900 can model an agent and receive real or modeled protocol messages, such as HPI Coherence protocol messages, and reference state tables 1905 (or another parsable structure generated from the state tables 1905 ) to automatically generate an appropriate response, perform corresponding state transitions, and so on, based on the state tables 1905 .
  • real or modeled protocol messages such as HPI Coherence protocol messages
  • reference state tables 1905 or another parsable structure generated from the state tables 1905 to automatically generate an appropriate response, perform corresponding state transitions, and so on, based on the state tables 1905 .
  • a test engine or other software- or hardware-based utility can be used to utilize state tables (e.g., 1905 ) to generate code to drive and react to designs that employ a particular protocol, such as HPI Coherence protocol.
  • state tables can be utilized as an input of the test engine by converting tables or included pseudocode along with Murphi mappings for table values and pseudocode elements into appropriate Murphi rule and procedure format.
  • the test engine can be used to further generate Murphi code for type definitions and supporting functionality.
  • the Murphi rule, procedure, type and support code can be used to generate a Murphi model.
  • the Murphi model can be translated, for instance, using a converter, to a C++ or other class definition. Indeed, any suitable programming language can be utilized.
  • Sub-classes of the model class can be further generated and these modules can be used to behave as a simulated or testbench version of an agent employing and aligned to the protocol specification embodied in the state tables.
  • an internal API can be generated or otherwise provided that is aligned to message generation and message reception as defined in the protocol state tables.
  • a message generation API can be tied to link packet types and message reception can be unified under single interface point.
  • an entire formal protocol specification can be converted into a C++ (or other object-oriented programming language) class. Inheritance can be used to intercept messages generated, and instances of the inheriting class can be created as functional testbench agent(s).
  • formal specification tables can be used as a functionality engine for a validation or testing environment tool rather than having developers separately create their own tools based upon their interpretation of the specification.
  • HPI can incorporated in any variety of computing devices and systems, including mainframes, server systems, personal computers, mobile computers (such as tablets, smartphones, personal digital systems, etc.), smart appliances, gaming or entertainment consoles and set top boxes, among other examples.
  • Processor 2100 includes any processor or processing device, such as a microprocessor, an embedded processor, a digital signal processor (DSP), a network processor, a handheld processor, an application processor, a co-processor, a system on a chip (SOC), or other device to execute code.
  • processors such as a microprocessor, an embedded processor, a digital signal processor (DSP), a network processor, a handheld processor, an application processor, a co-processor, a system on a chip (SOC), or other device to execute code.
  • DSP digital signal processor
  • SOC system on a chip
  • Processor 2100 in one embodiment, includes at least two cores—core 2101 and 2102 , which may include asymmetric cores or symmetric cores (the illustrated embodiment). However, processor 2100 may include any number of processing elements that may be symmetric or asymmetric.
  • a processing element refers to hardware or logic to support a software thread.
  • hardware processing elements include: a thread unit, a thread slot, a thread, a process unit, a context, a context unit, a logical processor, a hardware thread, a core, and/or any other element, which is capable of holding a state for a processor, such as an execution state or architectural state.
  • a processing element in one embodiment, refers to any hardware capable of being independently associated with code, such as a software thread, operating system, application, or other code.
  • a physical processor or processor socket typically refers to an integrated circuit, which potentially includes any number of other processing elements, such as cores or hardware threads.
  • a core often refers to logic located on an integrated circuit capable of maintaining an independent architectural state, wherein each independently maintained architectural state is associated with at least some dedicated execution resources.
  • a hardware thread typically refers to any logic located on an integrated circuit capable of maintaining an independent architectural state, wherein the independently maintained architectural states share access to execution resources.
  • the line between the nomenclature of a hardware thread and core overlaps.
  • a core and a hardware thread are viewed by an operating system as individual logical processors, where the operating system is able to individually schedule operations on each logical processor.
  • Physical processor 2100 includes two cores—core 2101 and 2102 .
  • core 2101 and 2102 are considered symmetric cores, i.e. cores with the same configurations, functional units, and/or logic.
  • core 2101 includes an out-of-order processor core
  • core 2102 includes an in-order processor core.
  • cores 2101 and 2102 may be individually selected from any type of core, such as a native core, a software managed core, a core adapted to execute a native Instruction Set Architecture (ISA), a core adapted to execute a translated Instruction Set Architecture (ISA), a co-designed core, or other known core.
  • ISA native Instruction Set Architecture
  • ISA translated Instruction Set Architecture
  • co-designed core or other known core.
  • some form of translation such as a binary translation
  • some form of translation such as a binary translation
  • the functional units illustrated in core 2101 are described in further detail below, as the units in core 2102 operate in a similar manner in the depicted embodiment.
  • core 2101 includes two hardware threads 2101 a and 2101 b , which may also be referred to as hardware thread slots 2101 a and 2101 b . Therefore, software entities, such as an operating system, in one embodiment potentially view processor 2100 as four separate processors, i.e., four logical processors or processing elements capable of executing four software threads concurrently. As alluded to above, a first thread is associated with architecture state registers 2101 a , a second thread is associated with architecture state registers 2101 b , a third thread may be associated with architecture state registers 2102 a , and a fourth thread may be associated with architecture state registers 2102 b .
  • each of the architecture state registers may be referred to as processing elements, thread slots, or thread units, as described above.
  • architecture state registers 2101 a are replicated in architecture state registers 2101 b , so individual architecture states/contexts are capable of being stored for logical processor 2101 a and logical processor 2101 b .
  • core 2101 other smaller resources, such as instruction pointers and renaming logic in allocator and renamer block 2130 may also be replicated for threads 2101 a and 2101 b .
  • Some resources such as re-order buffers in reorder/retirement unit 2135 , ILTB 2120 , load/store buffers, and queues may be shared through partitioning.
  • Other resources such as general purpose internal registers, page-table base register(s), low-level data-cache and data-TLB 2151 , execution unit(s) 2140 , and portions of out-of-order unit 2135 are potentially fully shared.
  • Processor 2100 often includes other resources, which may be fully shared, shared through partitioning, or dedicated by/to processing elements.
  • FIG. 21 an embodiment of a purely exemplary processor with illustrative logical units/resources of a processor is illustrated. Note that a processor may include, or omit, any of these functional units, as well as include any other known functional units, logic, or firmware not depicted.
  • core 2101 includes a simplified, representative out-of-order (OOO) processor core. But an in-order processor may be utilized in different embodiments.
  • the OOO core includes a branch target buffer 2120 to predict branches to be executed/taken and an instruction-translation buffer (I-TLB) 2120 to store address translation entries for instructions.
  • I-TLB instruction-translation buffer
  • Core 2101 further includes decode module 2125 coupled to fetch unit 2120 to decode fetched elements.
  • Fetch logic in one embodiment, includes individual sequencers associated with thread slots 2101 a , 2101 b , respectively.
  • core 2101 is associated with a first ISA, which defines/specifies instructions executable on processor 2100 .
  • machine code instructions that are part of the first ISA include a portion of the instruction (referred to as an opcode), which references/specifies an instruction or operation to be performed.
  • Decode logic 2125 includes circuitry that recognizes these instructions from their opcodes and passes the decoded instructions on in the pipeline for processing as defined by the first ISA.
  • decoders 2125 include logic designed or adapted to recognize specific instructions, such as transactional instruction.
  • the architecture or core 2101 takes specific, predefined actions to perform tasks associated with the appropriate instruction. It is important to note that any of the tasks, blocks, operations, and methods described herein may be performed in response to a single or multiple instructions; some of which may be new or old instructions.
  • decoders 2126 in one embodiment, recognize the same ISA (or a subset thereof). Alternatively, in a heterogeneous core environment, decoders 2126 recognize a second ISA (either a subset of the first ISA or a distinct ISA).
  • allocator and renamer block 2130 includes an allocator to reserve resources, such as register files to store instruction processing results.
  • threads 2101 a and 2101 b are potentially capable of out-of-order execution, where allocator and renamer block 2130 also reserves other resources, such as reorder buffers to track instruction results.
  • Unit 2130 may also include a register renamer to rename program/instruction reference registers to other registers internal to processor 2100 .
  • Reorder/retirement unit 2135 includes components, such as the reorder buffers mentioned above, load buffers, and store buffers, to support out-of-order execution and later in-order retirement of instructions executed out-of-order.
  • Scheduler and execution unit(s) block 2140 includes a scheduler unit to schedule instructions/operation on execution units. For example, a floating point instruction is scheduled on a port of an execution unit that has an available floating point execution unit. Register files associated with the execution units are also included to store information instruction processing results. Exemplary execution units include a floating point execution unit, an integer execution unit, a jump execution unit, a load execution unit, a store execution unit, and other known execution units.
  • Lower level data cache and data translation buffer (D-TLB) 2150 are coupled to execution unit(s) 2140 .
  • the data cache is to store recently used/operated on elements, such as data operands, which are potentially held in memory coherency states.
  • the D-TLB is to store recent virtual/linear to physical address translations.
  • a processor may include a page table structure to break physical memory into a plurality of virtual pages.
  • cores 2101 and 2102 share access to higher-level or further-out cache, such as a second level cache associated with on-chip interface 2110 .
  • higher-level or further-out refers to cache levels increasing or getting further way from the execution unit(s).
  • higher-level cache is a last-level data cache—last cache in the memory hierarchy on processor 2100 —such as a second or third level data cache.
  • higher level cache is not so limited, as it may be associated with or include an instruction cache.
  • a trace cache a type of instruction cache—instead may be coupled after decoder 2125 to store recently decoded traces.
  • an instruction potentially refers to a macro-instruction (i.e. a general instruction recognized by the decoders), which may decode into a number of micro-instructions (micro-operations).
  • processor 2100 also includes on-chip interface module 2110 .
  • on-chip interface 2110 is to communicate with devices external to processor 2100 , such as system memory 2175 , a chipset (often including a memory controller hub to connect to memory 2175 and an I/O controller hub to connect peripheral devices), a memory controller hub, a northbridge, or other integrated circuit.
  • bus 2105 may include any known interconnect, such as multi-drop bus, a point-to-point interconnect, a serial interconnect, a parallel bus, a coherent (e.g. cache coherent) bus, a layered protocol architecture, a differential bus, and a GTL bus.
  • Memory 2175 may be dedicated to processor 2100 or shared with other devices in a system. Common examples of types of memory 2175 include DRAM, SRAM, non-volatile memory (NV memory), and other known storage devices. Note that device 2180 may include a graphic accelerator, processor or card coupled to a memory controller hub, data storage coupled to an I/O controller hub, a wireless transceiver, a flash device, an audio controller, a network controller, or other known device.
  • a memory controller hub is on the same package and/or die with processor 2100 .
  • a portion of the core (an on-core portion) 2110 includes one or more controller(s) for interfacing with other devices such as memory 2175 or a graphics device 2180 .
  • the configuration including an interconnect and controllers for interfacing with such devices is often referred to as an on-core (or un-core configuration).
  • on-chip interface 2110 includes a ring interconnect for on-chip communication and a high-speed serial point-to-point link 2105 for off-chip communication.
  • processor 2100 is capable of executing a compiler, optimization, and/or translator code 2177 to compile, translate, and/or optimize application code 2176 to support the apparatus and methods described herein or to interface therewith.
  • a compiler often includes a program or set of programs to translate source text/code into target text/code.
  • compilation of program/application code with a compiler is done in multiple phases and passes to transform hi-level programming language code into low-level machine or assembly language code. Yet, single pass compilers may still be utilized for simple compilation.
  • a compiler may utilize any known compilation techniques and perform any known compiler operations, such as lexical analysis, preprocessing, parsing, semantic analysis, code generation, code transformation, and code optimization.
  • a front-end i.e. generally where syntactic processing, semantic processing, and some transformation/optimization may take place
  • a back-end i.e. generally where analysis, transformations, optimizations, and code generation takes place.
  • Some compilers refer to a middle, which illustrates the blurring of delineation between a front-end and back end of a compiler.
  • reference to insertion, association, generation, or other operation of a compiler may take place in any of the aforementioned phases or passes, as well as any other known phases or passes of a compiler.
  • a compiler potentially inserts operations, calls, functions, etc.
  • compiler code or dynamic optimization code may insert such operations/calls, as well as optimize the code for execution during runtime.
  • binary code (already compiled code) may be dynamically optimized during runtime.
  • the program code may include the dynamic optimization code, the binary code, or a combination thereof.
  • a translator such as a binary translator, translates code either statically or dynamically to optimize and/or translate code. Therefore, reference to execution of code, application code, program code, or other software environment may refer to: (1) execution of a compiler program(s), optimization code optimizer, or translator either dynamically or statically, to compile program code, to maintain software structures, to perform other operations, to optimize code, or to translate code; (2) execution of main program code including operations/calls, such as application code that has been optimized/compiled; (3) execution of other program code, such as libraries, associated with the main program code to maintain software structures, to perform other software related operations, or to optimize code; or (4) a combination thereof.
  • a design may go through various stages, from creation to simulation to fabrication.
  • Data representing a design may represent the design in a number of manners.
  • the hardware may be represented using a hardware description language or another functional description language.
  • a circuit level model with logic and/or transistor gates may be produced at some stages of the design process.
  • most designs, at some stage reach a level of data representing the physical placement of various devices in the hardware model.
  • the data representing the hardware model may be the data specifying the presence or absence of various features on different mask layers for masks used to produce the integrated circuit.
  • the data may be stored in any form of a machine readable medium.
  • a memory or a magnetic or optical storage such as a disc may be the machine readable medium to store information transmitted via optical or electrical wave modulated or otherwise generated to transmit such information.
  • an electrical carrier wave indicating or carrying the code or design is transmitted, to the extent that copying, buffering, or re-transmission of the electrical signal is performed, a new copy is made.
  • a communication provider or a network provider may store on a tangible, machine-readable medium, at least temporarily, an article, such as information encoded into a carrier wave, embodying techniques of embodiments of the present invention.
  • a module as used herein refers to any combination of hardware, software, and/or firmware.
  • a module includes hardware, such as a micro-controller, associated with a non-transitory medium to store code adapted to be executed by the micro-controller. Therefore, reference to a module, in one embodiment, refers to the hardware, which is specifically configured to recognize and/or execute the code to be held on a non-transitory medium.
  • use of a module refers to the non-transitory medium including the code, which is specifically adapted to be executed by the microcontroller to perform predetermined operations.
  • the term module in this example may refer to the combination of the microcontroller and the non-transitory medium.
  • a first and a second module may share hardware, software, firmware, or a combination thereof, while potentially retaining some independent hardware, software, or firmware.
  • use of the term logic includes hardware, such as transistors, registers, or other hardware, such as programmable logic devices.
  • phrase ‘configured to,’ refers to arranging, putting together, manufacturing, offering to sell, importing and/or designing an apparatus, hardware, logic, or element to perform a designated or determined task.
  • an apparatus or element thereof that is not operating is still ‘configured to’ perform a designated task if it is designed, coupled, and/or interconnected to perform said designated task.
  • a logic gate may provide a 0 or a 1 during operation.
  • a logic gate ‘configured to’ provide an enable signal to a clock does not include every potential logic gate that may provide a 1 or 0. Instead, the logic gate is one coupled in some manner that during operation the 1 or 0 output is to enable the clock.
  • use of the phrases ‘to,’ ‘capable of/to,’ and or ‘operable to,’ in one embodiment refers to some apparatus, logic, hardware, and/or element designed in such a way to enable use of the apparatus, logic, hardware, and/or element in a specified manner.
  • use of to, capable to, or operable to, in one embodiment refers to the latent state of an apparatus, logic, hardware, and/or element, where the apparatus, logic, hardware, and/or element is not operating but is designed in such a manner to enable use of an apparatus in a specified manner.
  • a value includes any known representation of a number, a state, a logical state, or a binary logical state. Often, the use of logic levels, logic values, or logical values is also referred to as 1's and 0's, which simply represents binary logic states. For example, a 1 refers to a high logic level and 0 refers to a low logic level.
  • a storage cell such as a transistor or flash cell, may be capable of holding a single logical value or multiple logical values.
  • the decimal number ten may also be represented as a binary value of 2110 and a hexadecimal letter A. Therefore, a value includes any representation of information capable of being held in a computer system.
  • states may be represented by values or portions of values.
  • a first value such as a logical one
  • a second value such as a logical zero
  • reset and set in one embodiment, refer to a default and an updated value or state, respectively.
  • a default value potentially includes a high logical value, i.e. reset
  • an updated value potentially includes a low logical value, i.e. set.
  • any combination of values may be utilized to represent any number of states.
  • a non-transitory machine-accessible/readable medium includes any mechanism that provides (i.e., stores and/or transmits) information in a form readable by a machine, such as a computer or electronic system.
  • a non-transitory machine-accessible medium includes random-access memory (RAM), such as static RAM (SRAM) or dynamic RAM (DRAM); ROM; magnetic or optical storage medium; flash memory devices; electrical storage devices; optical storage devices; acoustical storage devices; other form of storage devices for holding information received from transitory (propagated) signals (e.g., carrier waves, infrared signals, digital signals); etc, which are to be distinguished from the non-transitory mediums that may receive information there from.
  • RAM random-access memory
  • SRAM static RAM
  • DRAM dynamic RAM
  • ROM magnetic or optical storage medium
  • flash memory devices electrical storage devices
  • optical storage devices e.g., optical storage devices
  • acoustical storage devices other form of storage devices for holding information received from transitory (propagated) signals (e.g., carrier waves, infrared signals, digital signals); etc, which are to be distinguished from the non-transitory mediums that may receive information there from.
  • a machine-readable medium may include any mechanism for storing or transmitting information in a form readable by a machine (e.g., a computer), but is not limited to, floppy diskettes, optical disks, Compact Disc, Read-Only Memory (CD-ROMs), and magneto-optical disks, Read-Only Memory (ROMs), Random Access Memory (RAM), Erasable Programmable Read-Only Memory (EPROM), Electrically Erasable Programmable Read-Only Memory (EEPROM), magnetic or optical cards, flash memory, or a tangible, machine-readable storage used in the transmission of information over the Internet via electrical, optical, acoustical or other forms of propagated signals (e.g., carrier waves, infrared signals, digital signals, etc.). Accordingly, the computer-
  • One or more embodiments may provide an apparatus, a system, a machine readable storage, a machine readable medium, and a method to receive a request that is to reference a first agent and to request a particular line of memory to be cached in an exclusive state, send a snoop request intended for one or more other agents, receive a snoop response that is to reference a second agent, the snoop response to include a writeback to memory of a modified cache line that is to correspond to the particular line of memory, and send a complete to be addressed to the first agent, wherein the complete is to include data of the particular line of memory based on the writeback.
  • the modified cache line is written to the particular line of memory.
  • the cache line of the second agent is a modified cache line.
  • the complete can be to be sent prior to receiving responses to all of the snoop requests corresponding to the request from the first agent based on determining that the cache line of the second agent is a modified cache line.
  • the snoop request comprises a snoop invalidate request.
  • the snoop invalidate request can be to invalidate the cache of the receiving other agent corresponding to the particular line or memory.
  • the snoop invalidate request can identify the particular line of memory and a command included in the request from the first agent.
  • a directory state can be transitioned to indicate that the particular line of memory is associated with an exclusive state.
  • One or more embodiments may provide an apparatus, a system, a machine readable storage, a machine readable medium, and a method to receive a request that is to send a request for a particular cache line in an exclusive state, and receive data from memory corresponding to the particular cache line, wherein the particular data comprises data written-back to memory by another agent following the request.
  • the particular cache line is in an invalid state prior to the request.
  • the exclusive state is an E-state indicating that a copy of the data in the particular cache line matches the memory and is an exclusive copy.
  • the particular data is copied to the particular cache line.
  • the particular cache line can be transitioned to an exclusive state based on receiving the particular data.
  • the data written-back to memory by another agent comprises data returned in response to a snoop corresponding to the request for the particular cache line in an exclusive state.
  • the snoop is one of a plurality of snoops and the particular data is to be received prior to responses being returned for each of the snoop requests.
  • One or more embodiments may provide an apparatus, a system, a machine readable storage, a machine readable medium, and a method to receive a request that is to receive an explicit writeback request, wherein the explicit writeback request is to correspond to a modified cache line that is to correspond to a particular line of memory, and the explicit writeback request is to include a hint to indicate that another cache is to request the particular line of memory, determine whether to push data of the modified cache line to the other cache prior to writing the data of the modified cache line to the particular line of memory, and send a complete to correspond to the explicit writeback request.
  • determining not to push the data is to cause the data of the modified cache line to be written to the particular line of memory.
  • the data of the modified cache line is not to be pushed to the other cache.
  • a directory state corresponding to the particular line of memory can be transitioned from an exclusive state to an invalid state.
  • determining to push the data is to cause the data of the modified cache line to be sent to a first cache agent corresponding to the other cache to write the data of the modified cache line to be written to a corresponding cache line of the other cache.
  • a directory state corresponding to the particular line of memory is to transition to a state indicating that the other cache has an exclusive copy of the particular line of memory.
  • the explicit writeback request comprises a single coherence protocol request from a different, second cache agent corresponding to the modified cache line.
  • determining to push the data comprises determining whether the other cache is a local cache.
  • determining to push the data comprises determining whether there are other outstanding requests for the particular line of memory.
  • One or more embodiments may provide an apparatus, a system, a machine readable storage, a machine readable medium, and a method to receive a request that is to send an explicit writeback request to a home agent, wherein the explicit writeback request is to correspond to a modified cache line that is to correspond to a particular line of memory, the explicit writeback request is to include a hint to indicate that another cache is to request the particular line of memory, and receive a completion from the home agent for the explicit writeback request.
  • the modified cache line is to transition from a modified state to an invalid state following the sending of the explicit writeback request.
  • the explicit writeback request is to cause data of the modified cache line to be written to the other cache without being written to the particular line of memory.
  • the explicit writeback request comprises a single coherence protocol request.
  • the explicit writeback request is to identify the other cache.
  • One or more embodiments may provide an apparatus, a system, a machine readable storage, a machine readable medium, and a method to receive a request that is to receive a writeback flush message, identify a set of pending writes of the memory controller to a particular persistent memory, and write all of the set of pending writes to the particular memory based on the writeback flush message.
  • the writeback flush message comprises a coherence protocol message.
  • the writeback flush message generated by a cache agent.
  • the set of pending writes comprises all pending writes of the memory controller.
  • the writeback flush message is to identify a memory address corresponding to the particular memory.
  • the writeback flush message corresponds to a power failure management activity.
  • One or more embodiments may provide an apparatus, a system, a machine readable storage, a machine readable medium, and a method to receive a request that is to identify that a particular line of a cache is in a forward state, receive a request that corresponds to the particular line of the cache, determine whether to retain the forward state following a response to the request, and respond to the request.
  • determining whether to retain the forward state includes determining a value of a configuration parameter for the agent, wherein a value of the configuration parameter identifies whether or not the forward state is to be retained.
  • the request comprises a snoop.
  • Responding to the request can include forwarding data from the particular line of cache to another agent.
  • One or more embodiments may provide an apparatus, a system, a machine readable storage, a machine readable medium, and a method to receive a request that is to provide an agent including protocol layer logic to generate a fanout snoop request, and routing layer logic to identify a plurality of agents to receive a snoop according to the fanout snoop request, and send snoop requests to each of the plurality of agents.
  • the plurality of agents is identified from a configuration parameter identifying each agent in a corresponding fanouot cone.
  • the configuration parameter is to identify each agent by address.
  • the agent is a home agent and the snoop requests can each comprise a snoop to obtain cache data in anyone of a forward or shared state.
  • the snoop requests each comprise a snoop to obtain cache data in anyone of a modified, exclusive, forward, or shared state.
  • the snoop requests each comprise a snoop to obtain cache data in anyone of a modified or exclusive state.
  • the snoop requests each comprise a snoop to the cache of the respective agent, wherein data in modified state is to be flushed to memory.
  • One or more examples can further provide an agent including a layered protocol stack including a protocol layer, wherein the protocol layer is to initiate a read invalidate request that is to accept exclusive coherency state data.
  • One or more examples can further provide an agent including a layered protocol stack including a protocol layer, wherein the protocol layer is to initiate an invalidate that is to request exclusive ownership of a cache line without receiving data and with an indication of writing back the cache line.
  • writing back the cache line is within a near time frame.
  • One or more examples can further provide an agent including a layered protocol stack including a protocol layer, wherein the protocol layer is to initiate a write-back flush request that is to cause a flush of data to persistent memory.
  • One or more examples can further provide an agent including a layered protocol stack including a protocol layer, wherein the protocol layer is to initiate a single fanout snoop request that is to cause a snoop request to be generated to peer agents within a fanout cone.
  • One or more examples can further provide an agent including a layered protocol stack including a protocol layer, wherein the protocol layer is to initiate an explicit writeback request with cache-push hint to a home agent that a referenced cache line may be pushed to a local cache without writing the data to memory.
  • the cache line may be storing in M state.
  • One or more examples can further provide an agent including a layered protocol stack including a protocol layer, wherein the protocol layer is to initiate a forward of shared data, while maintaining a forward state to be associated with the shared data.

Abstract

A request is received that is to reference a first agent and to request a particular line of memory to be cached in an exclusive state. A snoop request is sent intended for one or more other agents. A snoop response is received that is to reference a second agent, the snoop response to include a writeback to memory of a modified cache line that is to correspond to the particular line of memory. A complete is sent to be addressed to the first agent, wherein the complete is to include data of the particular line of memory based on the writeback.

Description

    FIELD
  • The present disclosure relates in general to the field of computer development, and more specifically, to software development involving coordination of mutually-dependent constrained systems.
  • BACKGROUND
  • Advances in semi-conductor processing and logic design have permitted an increase in the amount of logic that may be present on integrated circuit devices. As a corollary, computer system configurations have evolved from a single or multiple integrated circuits in a system to multiple cores, multiple hardware threads, and multiple logical processors present on individual integrated circuits, as well as other interfaces integrated within such processors. A processor or integrated circuit typically comprises a single physical processor die, where the processor die may include any number of cores, hardware threads, logical processors, interfaces, memory, controller hubs, etc.
  • As a result of the greater ability to fit more processing power in smaller packages, smaller computing devices have increased in popularity. Smartphones, tablets, ultrathin notebooks, and other user equipment have grown exponentially. However, these smaller devices are reliant on servers both for data storage and complex processing that exceeds the form factor. Consequently, the demand in the high-performance computing market (i.e. server space) has also increased. For instance, in modern servers, there is typically not only a single processor with multiple cores, but also multiple physical processors (also referred to as multiple sockets) to increase the computing power. But as the processing power grows along with the number of devices in a computing system, the communication between sockets and other devices becomes more critical.
  • In fact, interconnects have grown from more traditional multi-drop buses that primarily handled electrical communications to full blown interconnect architectures that facilitate fast communication. Unfortunately, as the demand for future processors to consume at even higher-rates corresponding demand is placed on the capabilities of existing interconnect architectures.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 illustrates a simplified block diagram of a system including a serial point-to-point interconnect to connect I/O devices in a computer system in accordance with one embodiment;
  • FIG. 2 illustrates a simplified block diagram of a layered protocol stack in accordance with one embodiment;
  • FIG. 3 illustrates an embodiment of a transaction descriptor.
  • FIG. 4 illustrates an embodiment of a serial point-to-point link.
  • FIG. 5 illustrates embodiments of potential High Performance Interconnect (HPI) system configurations.
  • FIG. 6 illustrates an embodiment of a layered protocol stack associated with HPI.
  • FIG. 7 illustrates a flow diagram of example coherence protocol conflict management.
  • FIG. 8 illustrates a flow diagram of another example coherence protocol conflict management.
  • FIG. 9 illustrates a flow diagram of another example coherence protocol conflict management.
  • FIG. 10 illustrates a flow diagram of an example snoop response with writeback to memory.
  • FIG. 11 illustrates a flow diagram of another example of a snoop response with writeback to memory.
  • FIG. 12 illustrates a flow diagram of an example writeback push operation.
  • FIG. 13 illustrates a flow diagram of an example writeback to memory.
  • FIG. 14 illustrates a flow diagram of an example memory controller flush operation.
  • FIGS. 15-17 illustrate representations of example protocol state tables.
  • FIG. 18 illustrates a representation of an example nesting of protocol state tables.
  • FIG. 19 illustrates a representation of use of a set of protocol state tables by an example testing engine.
  • FIG. 20 illustrates a representation of use of a set of protocol state tables by an example testing engine.
  • FIG. 21 illustrates an embodiment of a block diagram for a computing system including a multicore processor.
  • Like reference numbers and designations in the various drawings indicate like elements.
  • DETAILED DESCRIPTION
  • In the following description, numerous specific details are set forth, such as examples of specific types of processors and system configurations, specific hardware structures, specific architectural and micro architectural details, specific register configurations, specific instruction types, specific system components, specific processor pipeline stages, specific interconnect layers, specific packet/transaction configurations, specific transaction names, specific protocol exchanges, specific link widths, specific implementations, and operation etc. in order to provide a thorough understanding of the present invention. It may be apparent, however, to one skilled in the art that these specific details need not necessarily be employed to practice the subject matter of the present disclosure. In other instances, well detailed description of known components or methods has been avoided, such as specific and alternative processor architectures, specific logic circuits/code for described algorithms, specific firmware code, low-level interconnect operation, specific logic configurations, specific manufacturing techniques and materials, specific compiler implementations, specific expression of algorithms in code, specific power down and gating techniques/logic and other specific operational details of computer system in order to avoid unnecessarily obscuring the present disclosure.
  • Although the following embodiments may be described with reference to energy conservation, energy efficiency, processing efficiency, and so on in specific integrated circuits, such as in computing platforms or microprocessors, other embodiments are applicable to other types of integrated circuits and logic devices. Similar techniques and teachings of embodiments described herein may be applied to other types of circuits or semiconductor devices that may also benefit from such features. For example, the disclosed embodiments are not limited to server computer system, desktop computer systems, laptops, Ultrabooks™, but may be also used in other devices, such as handheld devices, smartphones, tablets, other thin notebooks, systems on a chip (SOC) devices, and embedded applications. Some examples of handheld devices include cellular phones, Internet protocol devices, digital cameras, personal digital assistants (PDAs), and handheld PCs. Here, similar techniques for a high-performance interconnect may be applied to increase performance (or even save power) in a low power interconnect. Embedded applications typically include a microcontroller, a digital signal processor (DSP), a system on a chip, network computers (NetPC), set-top boxes, network hubs, wide area network (WAN) switches, or any other system that can perform the functions and operations taught below. Moreover, the apparatus′, methods, and systems described herein are not limited to physical computing devices, but may also relate to software optimizations for energy conservation and efficiency. As may become readily apparent in the description below, the embodiments of methods, apparatus’, and systems described herein (whether in reference to hardware, firmware, software, or a combination thereof) may be considered vital to a “green technology” future balanced with performance considerations.
  • As computing systems are advancing, the components therein are becoming more complex. The interconnect architecture to couple and communicate between the components has also increased in complexity to ensure bandwidth demand is met for optimal component operation. Furthermore, different market segments demand different aspects of interconnect architectures to suit the respective market. For example, servers require higher performance, while the mobile ecosystem is sometimes able to sacrifice overall performance for power savings. Yet, it is a singular purpose of most fabrics to provide highest possible performance with maximum power saving. Further, a variety of different interconnects can potentially benefit from subject matter described herein. For instance, the Peripheral Component Interconnect (PCI) Express (PCIe) interconnect fabric architecture and QuickPath Interconnect (QPI) fabric architecture, among other examples, can potentially be improved according to one or more principles described herein, among other examples.
  • FIG. 1 illustrates one embodiment of a fabric composed of point-to-point Links that interconnect a set of components is illustrated. System 100 includes processor 105 and system memory 110 coupled to controller hub 115. Processor 105 can include any processing element, such as a microprocessor, a host processor, an embedded processor, a co-processor, or other processor. Processor 105 is coupled to controller hub 115 through front-side bus (FSB) 106. In one embodiment, FSB 106 is a serial point-to-point interconnect as described below. In another embodiment, link 106 includes a serial, differential interconnect architecture that is compliant with different interconnect standard.
  • System memory 110 includes any memory device, such as random access memory (RAM), non-volatile (NV) memory, or other memory accessible by devices in system 100. System memory 110 is coupled to controller hub 115 through memory interface 116. Examples of a memory interface include a double-data rate (DDR) memory interface, a dual-channel DDR memory interface, and a dynamic RAM (DRAM) memory interface.
  • In one embodiment, controller hub 115 can include a root hub, root complex, or root controller, such as in a PCIe interconnection hierarchy. Examples of controller hub 115 include a chipset, a memory controller hub (MCH), a northbridge, an interconnect controller hub (ICH) a southbridge, and a root controller/hub. Often the term chipset refers to two physically separate controller hubs, e.g., a memory controller hub (MCH) coupled to an interconnect controller hub (ICH). Note that current systems often include the MCH integrated with processor 105, while controller 115 is to communicate with I/O devices, in a similar manner as described below. In some embodiments, peer-to-peer routing is optionally supported through root complex 115.
  • Here, controller hub 115 is coupled to switch/bridge 120 through serial link 119. Input/ output modules 117 and 121, which may also be referred to as interfaces/ ports 117 and 121, can include/implement a layered protocol stack to provide communication between controller hub 115 and switch 120. In one embodiment, multiple devices are capable of being coupled to switch 120.
  • Switch/bridge 120 routes packets/messages from device 125 upstream, i.e. up a hierarchy towards a root complex, to controller hub 115 and downstream, i.e. down a hierarchy away from a root controller, from processor 105 or system memory 110 to device 125. Switch 120, in one embodiment, is referred to as a logical assembly of multiple virtual PCI-to-PCI bridge devices. Device 125 includes any internal or external device or component to be coupled to an electronic system, such as an I/O device, a Network Interface Controller (NIC), an add-in card, an audio processor, a network processor, a hard-drive, a storage device, a CD/DVD ROM, a monitor, a printer, a mouse, a keyboard, a router, a portable storage device, a Firewire device, a Universal Serial Bus (USB) device, a scanner, and other input/output devices. Often in the PCIe vernacular, such as device, is referred to as an endpoint. Although not specifically shown, device 125 may include a bridge (e.g., a PCIe to PCI/PCI-X bridge) to support legacy or other versions of devices or interconnect fabrics supported by such devices.
  • Graphics accelerator 130 can also be coupled to controller hub 115 through serial link 132. In one embodiment, graphics accelerator 130 is coupled to an MCH, which is coupled to an ICH. Switch 120, and accordingly I/O device 125, is then coupled to the ICH. I/ O modules 131 and 118 are also to implement a layered protocol stack and associated logic to communicate between graphics accelerator 130 and controller hub 115. Similar to the MCH discussion above, a graphics controller or the graphics accelerator 130 itself may be integrated in processor 105.
  • Turning to FIG. 2 an embodiment of a layered protocol stack is illustrated. Layered protocol stack 200 can includes any form of a layered communication stack, such as a QPI stack, a PCIe stack, a next generation high performance computing interconnect (HPI) stack, or other layered stack. In one embodiment, protocol stack 200 can include transaction layer 205, link layer 210, and physical layer 220. An interface, such as interfaces 117, 118, 121, 122, 126, and 131 in FIG. 1, may be represented as communication protocol stack 200. Representation as a communication protocol stack may also be referred to as a module or interface implementing/including a protocol stack.
  • Packets can be used to communicate information between components. Packets can be formed in the Transaction Layer 205 and Data Link Layer 210 to carry the information from the transmitting component to the receiving component. As the transmitted packets flow through the other layers, they are extended with additional information used to handle packets at those layers. At the receiving side the reverse process occurs and packets get transformed from their Physical Layer 220 representation to the Data Link Layer 210 representation and finally (for Transaction Layer Packets) to the form that can be processed by the Transaction Layer 205 of the receiving device.
  • In one embodiment, transaction layer 205 can provide an interface between a device's processing core and the interconnect architecture, such as Data Link Layer 210 and Physical Layer 220. In this regard, a primary responsibility of the transaction layer 205 can include the assembly and disassembly of packets (i.e., transaction layer packets, or TLPs). The translation layer 205 can also manage credit-based flow control for TLPs. In some implementations, split transactions can be utilized, i.e., transactions with request and response separated by time, allowing a link to carry other traffic while the target device gathers data for the response, among other examples.
  • Credit-based flow control can be used to realize virtual channels and networks utilizing the interconnect fabric. In one example, a device can advertise an initial amount of credits for each of the receive buffers in Transaction Layer 205. An external device at the opposite end of the link, such as controller hub 115 in FIG. 1, can count the number of credits consumed by each TLP. A transaction may be transmitted if the transaction does not exceed a credit limit. Upon receiving a response an amount of credit is restored. One example of an advantage of such a credit scheme is that the latency of credit return does not affect performance, provided that the credit limit is not encountered, among other potential advantages.
  • In one embodiment, four transaction address spaces can include a configuration address space, a memory address space, an input/output address space, and a message address space. Memory space transactions include one or more of read requests and write requests to transfer data to/from a memory-mapped location. In one embodiment, memory space transactions are capable of using two different address formats, e.g., a short address format, such as a 32-bit address, or a long address format, such as 64-bit address. Configuration space transactions can be used to access configuration space of various devices connected to the interconnect. Transactions to the configuration space can include read requests and write requests. Message space transactions (or, simply messages) can also be defined to support in-band communication between interconnect agents. Therefore, in one example embodiment, transaction layer 205 can assemble packet header/payload 206.
  • Quickly referring to FIG. 3, an example embodiment of a transaction layer packet descriptor is illustrated. In one embodiment, transaction descriptor 300 can be a mechanism for carrying transaction information. In this regard, transaction descriptor 300 supports identification of transactions in a system. Other potential uses include tracking modifications of default transaction ordering and association of transaction with channels. For instance, transaction descriptor 300 can include global identifier field 302, attributes field 304 and channel identifier field 306. In the illustrated example, global identifier field 302 is depicted comprising local transaction identifier field 308 and source identifier field 310. In one embodiment, global transaction identifier 302 is unique for all outstanding requests.
  • According to one implementation, local transaction identifier field 308 is a field generated by a requesting agent, and can be unique for all outstanding requests that require a completion for that requesting agent. Furthermore, in this example, source identifier 310 uniquely identifies the requestor agent within an interconnect hierarchy. Accordingly, together with source ID 310, local transaction identifier 308 field provides global identification of a transaction within a hierarchy domain.
  • Attributes field 304 specifies characteristics and relationships of the transaction. In this regard, attributes field 304 is potentially used to provide additional information that allows modification of the default handling of transactions. In one embodiment, attributes field 304 includes priority field 312, reserved field 314, ordering field 316, and no-snoop field 318. Here, priority sub-field 312 may be modified by an initiator to assign a priority to the transaction. Reserved attribute field 314 is left reserved for future, or vendor-defined usage. Possible usage models using priority or security attributes may be implemented using the reserved attribute field.
  • In this example, ordering attribute field 316 is used to supply optional information conveying the type of ordering that may modify default ordering rules. According to one example implementation, an ordering attribute of “0” denotes default ordering rules are to apply, wherein an ordering attribute of “1” denotes relaxed ordering, wherein writes can pass writes in the same direction, and read completions can pass writes in the same direction. Snoop attribute field 318 is utilized to determine if transactions are snooped. As shown, channel ID Field 306 identifies a channel that a transaction is associated with.
  • Returning to the discussion of FIG. 2, a Link layer 210, also referred to as data link layer 210, can act as an intermediate stage between transaction layer 205 and the physical layer 220. In one embodiment, a responsibility of the data link layer 210 is providing a reliable mechanism for exchanging Transaction Layer Packets (TLPs) between two components on a link. One side of the Data Link Layer 210 accepts TLPs assembled by the Transaction Layer 205, applies packet sequence identifier 211, i.e. an identification number or packet number, calculates and applies an error detection code, i.e. CRC 212, and submits the modified TLPs to the Physical Layer 220 for transmission across a physical to an external device.
  • In one example, physical layer 220 includes logical sub block 221 and electrical sub-block 222 to physically transmit a packet to an external device. Here, logical sub-block 221 is responsible for the “digital” functions of Physical Layer 221. In this regard, the logical sub-block can include a transmit section to prepare outgoing information for transmission by physical sub-block 222, and a receiver section to identify and prepare received information before passing it to the Link Layer 210.
  • Physical block 222 includes a transmitter and a receiver. The transmitter is supplied by logical sub-block 221 with symbols, which the transmitter serializes and transmits onto to an external device. The receiver is supplied with serialized symbols from an external device and transforms the received signals into a bit-stream. The bit-stream is de-serialized and supplied to logical sub-block 221. In one example embodiment, an 8b/10b transmission code is employed, where ten-bit symbols are transmitted/received. Here, special symbols are used to frame a packet with frames 223. In addition, in one example, the receiver also provides a symbol clock recovered from the incoming serial stream.
  • As stated above, although transaction layer 205, link layer 210, and physical layer 220 are discussed in reference to a specific embodiment of a protocol stack (such as a PCIe protocol stack), a layered protocol stack is not so limited. In fact, any layered protocol may be included/implemented and adopt features discussed herein. As an example, a port/interface that is represented as a layered protocol can include: (1) a first layer to assemble packets, i.e. a transaction layer; a second layer to sequence packets, i.e. a link layer; and a third layer to transmit the packets, i.e. a physical layer. As a specific example, a high performance interconnect layered protocol, as described herein, is utilized.
  • Referring next to FIG. 4, an example embodiment of a serial point to point fabric is illustrated. A serial point-to-point link can include any transmission path for transmitting serial data. In the embodiment shown, a link can include two, low-voltage, differentially driven signal pairs: a transmit pair 406/411 and a receive pair 412/407. Accordingly, device 405 includes transmission logic 406 to transmit data to device 410 and receiving logic 407 to receive data from device 410. In other words, two transmitting paths, i.e. paths 416 and 417, and two receiving paths, i.e. paths 418 and 419, are included in some implementations of a link.
  • A transmission path refers to any path for transmitting data, such as a transmission line, a copper line, an optical line, a wireless communication channel, an infrared communication link, or other communication path. A connection between two devices, such as device 405 and device 410, is referred to as a link, such as link 415. A link may support one lane—each lane representing a set of differential signal pairs (one pair for transmission, one pair for reception). To scale bandwidth, a link may aggregate multiple lanes denoted by xN, where N is any supported link width, such as 1, 2, 4, 8, 12, 16, 32, 64, or wider.
  • A differential pair can refer to two transmission paths, such as lines 416 and 417, to transmit differential signals. As an example, when line 416 toggles from a low voltage level to a high voltage level, i.e. a rising edge, line 417 drives from a high logic level to a low logic level, i.e. a falling edge. Differential signals potentially demonstrate better electrical characteristics, such as better signal integrity, i.e. cross-coupling, voltage overshoot/undershoot, ringing, among other example advantages. This allows for a better timing window, which enables faster transmission frequencies.
  • In one embodiment, a new High Performance Interconnect (HPI) is provided. HPI can include a next-generation cache-coherent, link-based interconnect. As one example, HPI may be utilized in high performance computing platforms, such as workstations or servers, including in systems where PCIe or another interconnect protocol is typically used to connect processors, accelerators, I/O devices, and the like. However, HPI is not so limited. Instead, HPI may be utilized in any of the systems or platforms described herein. Furthermore, the individual ideas developed may be applied to other interconnects and platforms, such as PCIe, MIPI, QPI, etc.
  • To support multiple devices, in one example implementation, HPI can include an Instruction Set Architecture (ISA) agnostic (i.e. HPI is able to be implemented in multiple different devices). In another scenario, HPI may also be utilized to connect high performance I/O devices, not just processors or accelerators. For example, a high performance PCIe device may be coupled to HPI through an appropriate translation bridge (i.e. HPI to PCIe). Moreover, the HPI links may be utilized by many HPI based devices, such as processors, in various ways (e.g. stars, rings, meshes, etc.). FIG. 5 illustrates example implementations of multiple potential multi-socket configurations. A two-socket configuration 505, as depicted, can include two HPI links; however, in other implementations, one HPI link may be utilized. For larger topologies, any configuration may be utilized as long as an identifier (ID) is assignable and there is some form of virtual path, among other additional or substitute features. As shown, in one example, a four socket configuration 510 has an HPI link from each processor to another. But in the eight socket implementation shown in configuration 515, not every socket is directly connected to each other through an HPI link. However, if a virtual path or channel exists between the processors, the configuration is supported. A range of supported processors includes 2-32 in a native domain. Higher numbers of processors may be reached through use of multiple domains or other interconnects between node controllers, among other examples.
  • The HPI architecture includes a definition of a layered protocol architecture, including in some examples, protocol layers (coherent, non-coherent, and, optionally, other memory based protocols), a routing layer, a link layer, and a physical layer including associated I/O logic. Furthermore, HPI can further include enhancements related to power managers (such as power control units (PCUs)), design for test and debug (DFT), fault handling, registers, security, among other examples. FIG. 6 illustrates an embodiment of an example HPI layered protocol stack. In some implementations, at least some of the layers illustrated in FIG. 6 may be optional. Each layer deals with its own level of granularity or quantum of information (the protocol layer 605 a,b with packets 630, link layer 610 a,b with flits 635, and physical layer 605 a,b with phits 640). Note that a packet, in some embodiments, may include partial flits, a single flit, or multiple flits based on the implementation.
  • As a first example, a width of a phit 640 includes a 1 to 1 mapping of link width to bits (e.g. 20 bit link width includes a phit of 20 bits, etc.). Flits may have a greater size, such as 184, 192, or 200 bits. Note that if phit 640 is 20 bits wide and the size of flit 635 is 184 bits then it takes a fractional number of phits 640 to transmit one flit 635 (e.g. 9.2 phits at 20 bits to transmit an 184 bit flit 635 or 9.6 at 20 bits to transmit a 192 bit flit, among other examples). Note that widths of the fundamental link at the physical layer may vary. For example, the number of lanes per direction may include 2, 4, 6, 8, 10, 12, 14, 16, 18, 20, 22, 24, etc. In one embodiment, link layer 610 a,b is capable of embedding multiple pieces of different transactions in a single flit, and one or multiple headers (e.g. 1, 2, 3, 4) may be embedded within the flit. In one example, HPI splits the headers into corresponding slots to enable multiple messages in the flit destined for different nodes.
  • Physical layer 605 a,b, in one embodiment, can be responsible for the fast transfer of information on the physical medium (electrical or optical etc.). The physical link can be point-to-point between two Link layer entities, such as layer 605 a and 605 b. The Link layer 610 a,b can abstract the Physical layer 605 a,b from the upper layers and provides the capability to reliably transfer data (as well as requests) and manage flow control between two directly connected entities. The Link Layer can also be responsible for virtualizing the physical channel into multiple virtual channels and message classes. The Protocol layer 620 a,b relies on the Link layer 610 a,b to map protocol messages into the appropriate message classes and virtual channels before handing them to the Physical layer 605 a,b for transfer across the physical links. Link layer 610 a,b may support multiple messages, such as a request, snoop, response, writeback, non-coherent data, among other examples.
  • The Physical layer 605 a,b (or PHY) of HPI can be implemented above the electrical layer (i.e. electrical conductors connecting two components) and below the link layer 610 a,b, as illustrated in FIG. 6. The Physical layer and corresponding logic can reside on each agent and connects the link layers on two agents (A and B) separated from each other (e.g. on devices on either side of a link). The local and remote electrical layers are connected by physical media (e.g. wires, conductors, optical, etc.). The Physical layer 605 a,b, in one embodiment, has two major phases, initialization and operation. During initialization, the connection is opaque to the link layer and signaling may involve a combination of timed states and handshake events. During operation, the connection is transparent to the link layer and signaling is at a speed, with all lanes operating together as a single link. During the operation phase, the Physical layer transports flits from agent A to agent B and from agent B to agent A. The connection is also referred to as a link and abstracts some physical aspects including media, width and speed from the link layers while exchanging flits and control/status of current configuration (e.g. width) with the link layer. The initialization phase includes minor phases e.g. Polling, Configuration. The operation phase also includes minor phases (e.g. link power management states).
  • In one embodiment, Link layer 610 a,b can be implemented so as to provide reliable data transfer between two protocol or routing entities. The Link layer can abstract Physical layer 605 a,b from the Protocol layer 620 a,b, and can be responsible for the flow control between two protocol agents (A, B), and provide virtual channel services to the Protocol layer (Message Classes) and Routing layer (Virtual Networks). The interface between the Protocol layer 620 a,b and the Link Layer 610 a,b can typically be at the packet level. In one embodiment, the smallest transfer unit at the Link Layer is referred to as a flit which a specified number of bits, such as 192 bits or some other denomination. The Link Layer 610 a,b relies on the Physical layer 605 a,b to frame the Physical layer's 605 a,b unit of transfer (phit) into the Link Layer's 610 a,b unit of transfer (flit). In addition, the Link Layer 610 a,b may be logically broken into two parts, a sender and a receiver. A sender/receiver pair on one entity may be connected to a receiver/sender pair on another entity. Flow Control is often performed on both a flit and a packet basis. Error detection and correction is also potentially performed on a flit level basis.
  • In one embodiment, Routing layer 615 a,b can provide a flexible and distributed method to route HPI transactions from a source to a destination. The scheme is flexible since routing algorithms for multiple topologies may be specified through programmable routing tables at each router (the programming in one embodiment is performed by firmware, software, or a combination thereof). The routing functionality may be distributed; the routing may be done through a series of routing steps, with each routing step being defined through a lookup of a table at either the source, intermediate, or destination routers. The lookup at a source may be used to inject a HPI packet into the HPI fabric. The lookup at an intermediate router may be used to route an HPI packet from an input port to an output port. The lookup at a destination port may be used to target the destination HPI protocol agent. Note that the Routing layer, in some implementations, can be thin since the routing tables, and, hence the routing algorithms, are not specifically defined by specification. This allows for flexibility and a variety of usage models, including flexible platform architectural topologies to be defined by the system implementation. The Routing layer 615 a,b relies on the Link layer 610 a,b for providing the use of up to three (or more) virtual networks (VNs)—in one example, two deadlock-free VNs, VN0 and VN1 with several message classes defined in each virtual network. A shared adaptive virtual network (VNA) may be defined in the Link layer, but this adaptive network may not be exposed directly in routing concepts, since each message class and virtual network may have dedicated resources and guaranteed forward progress, among other features and examples.
  • In one embodiment, HPI can include a Coherence Protocol layer 620 a,b to support agents caching lines of data from memory. An agent wishing to cache memory data may use the coherence protocol to read the line of data to load into its cache. An agent wishing to modify a line of data in its cache may use the coherence protocol to acquire ownership of the line before modifying the data. After modifying a line, an agent may follow protocol requirements of keeping it in its cache until it either writes the line back to memory or includes the line in a response to an external request. Lastly, an agent may fulfill external requests to invalidate a line in its cache. The protocol ensures coherency of the data by dictating the rules all caching agents may follow. It also provides the means for agents without caches to coherently read and write memory data.
  • Two conditions may be enforced to support transactions utilizing the HPI Coherence Protocol. First, the protocol can maintain data consistency, as an example, on a per-address basis, among data in agents' caches and between those data and the data in memory. Informally, data consistency may refer to each valid line of data in an agent's cache representing a most up-to-date value of the data and data transmitted in a coherence protocol packet can represent the most up-to-date value of the data at the time it was sent. When no valid copy of the data exists in caches or in transmission, the protocol may ensure the most up-to-date value of the data resides in memory. Second, the protocol can provide well-defined commitment points for requests. Commitment points for reads may indicate when the data is usable; and for writes they may indicate when the written data is globally observable and will be loaded by subsequent reads. The protocol may support these commitment points for both cacheable and uncacheable (UC) requests in the coherent memory space.
  • The HPI Coherence Protocol also may ensure the forward progress of coherence requests made by an agent to an address in the coherent memory space. Certainly, transactions may eventually be satisfied and retired for proper system operation. The HPI Coherence Protocol, in some embodiments, may have no notion of retry for resolving resource allocation conflicts. Thus, the protocol itself may be defined to contain no circular resource dependencies, and implementations may take care in their designs not to introduce dependencies that can result in deadlocks. Additionally, the protocol may indicate where designs are able to provide fair access to protocol resources.
  • Logically, the HPI Coherence Protocol, in one embodiment, can include three items: coherence (or caching) agents, home agents, and the HPI interconnect fabric connecting the agents. Coherence agents and home agents can work together to achieve data consistency by exchanging messages over the interconnect. The link layer 610 a,b and its related description can provide the details of the interconnect fabric including how it adheres to the coherence protocol's requirements, discussed herein. (It may be noted that the division into coherence agents and home agents is for clarity. A design may contain multiple agents of both types within a socket or even combine agents behaviors into a single design unit, among other examples.)
  • In one embodiment, home agents can be configured to guard physical memory. Each home agent can be responsible for a region of the coherent memory space. Regions may be non-overlapping, in that a single address is guarded by one home agent, and together the home agent regions in a system cover the coherent memory space. For instance, each address can be guarded by at least one home agent. Therefore, in one embodiment, each address in a HPI system's coherent memory space can map to exactly one home agent.
  • Home agents in the HPI Coherence Protocol, in one embodiment, can be responsible for servicing requests to the coherent memory space. For read (Rd) requests, home agents may generate snoops (Snp), process their responses, send a data response, and send a completion response. For invalidation (Inv) requests, home agents may generate necessary snoops, process their responses, and send a completion response. For write requests, home agents may commit the data to memory and send a completion response.
  • Home agents may provide snoops in the HPI Coherence Protocol and process snoop responses from coherence agents. Home agents can also process forward requests, which are a special snoop response, from coherence agents for conflict resolution. When a home agent receives a forward request, it may send a forward response to the coherence agent that generated the forward request (i.e., the agent that detected a conflicting snoop request). Coherence agents can use the ordering of these forward responses and completion responses from the home agent to resolve conflicts.
  • A coherence agent may issue supported coherence protocol requests. Requests may be issued to an address in the coherent memory space. Data received for read requests (Rd) except RdCur may be consistent. Data for RdCur requests may have been consistent when the data packet was generated (although it may have become out of date during delivery). Table 1 shows an exemplary, non-exhaustive list of potential supported requests:
  • TABLE 1
    Name Semantics Cache State
    RdCode Request a cache line in F or S state. F or S
    RdData Request a cache line in E, F, or S state. F or S
    RdMigr Request a cache line in M, E, F, or S M and
    state. (F or S)
    RdInv Request a cache line in E state. If line E
    was previously cached in M state, the line
    will be written to memory before E data is
    delivered.
    RdInvOwn Request a cache line in M or E state. M
    RdCur Request an uncacheable snapshot of a
    cache line.
    InvItoE Request exclusive ownership of a cache M or E
    line without receiving data.
    InvItoM Request exclusive ownership of a cache M or E
    line without receiving data and with the
    intent of performing a writeback soon
    afterward.
    InvXtoI Flush a cache line from all caches.
    Requesting agent is to invalidate the
    line in its cache before issuing this
    request.
    WbMtoI Write a cache line in M state back to M
    memory and invalidate the line in the
    cache.
    WbMtoS Write a cache line in M state back to M and S
    memory and transition line to S state.
    WbMtoE Write a cache line in M state back to M and E
    memory and transition line to E state.
    WbMtoIPtl Write a cache line in M state back to M
    memory, according to a byte-enable mask,
    and transition line to I state.
    WbMtoEPtl Write a cache line in M state back to M and E
    memory, according to a byte-enable mask,
    transition line to E state, and clear
    the line's mask in the cache.
    EvctCln Notification to home agent that a cache E
    line in E state was invalidated in the
    cache.
    WbPushMtoI Send a line in M state to home agent and M
    invalidate the line in the cache; home
    agent may either write the line back to
    memory or send it to a local cache agent
    with M state.
    WbFlush Request that home flush writes to
    implementation-specific addresses in its
    memory hierarchy. No data is sent with
    the request.
  • HPI can support a Coherency protocol making use of principles of the MESI protocol. Each cache line can be marked with one or more supported states (e.g., coded in the cache line). A “M” or “Modified” state can indicate that the cache line value has been modified from that value which is in main memory. A line in the M-state is only present in the particular and the corresponding cache agent can be required to write the modified data back to memory at some time in the future, for instance, before permitting any other read of the (no longer valid) maing memory state. A writeback can transition the line from the M-state to the E-state. The “E” or “Exclusive” state can indicate that the cache line is only present in the current cache but that its value matches that in main memory. The cache line in E-state can transition to the S-state at any time in response to a read request or may be changed to the M-state by writing to the line. The “S” or “Shared” state can indicates that the cache line may be stored in other caches of the machine and has a value that matches that of the main memory. The line may be discarded (changed to the I-state) at any time. The “I” or “Invalid” state can indicate that a cache line is invalid or unused. Other state can also supported in HPI, such as an “F” or “Forward” shared state that indicates that the particular shared line value is to be forwarded to other caches that are to also share the line, among other examples.
  • Table 2 include exemplary information that can be included in some Coherence protocol messages, including snoop, read, and write requests, among other examples:
  • TABLE 2
    Field Usage
    cmd Message command (or name or opcode).
    addr Address of a coherent cache line.
    destNID Node ID (NID) of destination (home or coherence) agent.
    reqNID NID of requesting coherence agent.
    peerNID NID of coherence agent that sent the (forward request)
    message.
    reqTID ID of the resource allocated by the requesting agent
    for the transaction, also known as RTID (or requesting
    transaction identifier).
    homeTID ID of the resource allocated by the home agent to
    process the request, also known as HTID (or home
    transaction identifier).
    data A cache line of data.
    mask Byte mask to qualify the data.
  • Snoop messages may be generated by home agents and directed toward coherence agents. A snoop (SNP) virtual channel can be used for snoops and, in one embodiment, are the only messages that use the SNP virtual channel. Snoops can include the requesting agent's NID and the RTID it allocated for the request in case the snoop results in data being sent directly to the requesting agent. Snoops, in one embodiment, can also include the HTID allocated by the home agent to process the request. The coherence agent processing the snoop may include the HTID in the snoop response it sends back to the home agent. Snoops may, in some instance, not include the home agent's NID because it may be derived from the included address, which the targeted coherence agent does when sending its response. Fanout snoops (those with “SnpF” prefix) may not include a destination NID because the Routing Layer is responsible for generating the appropriate snoop messages to all peers in the fanout region. An exemplary list of snoop channel messages is listed Table 3:
  • TABLE 3
    Command Semantics Fields
    SnpCode Snoop to get data in F or S state. cmd,
    SnpData Snoop to get data in E, F, or S state. addr,
    SnpMigr Snoop to get data in M, E, F, or S state. destNID,
    SnpInv Snoop to invalidate the peer's cache, reqNID,
    flushing any M copy to memory. reqTID,
    SnpInvOwn Snoop to get data in M or E state. homeTID
    SnpCur Snoop to get an uncacheable snapshot of a
    cache line.
    SnpFCode Snoop to get data in F or S state; Routing cmd,
    layer to handle distribution to all fanout addr,
    peers reqNID,
    SnpFData Snoop to get data in E, F, or S state; reqTID,
    Routing layer to handle distribution to homeTID
    all fanout peers
    SnpFMigr Snoop to get data in M, E, F, or S state;
    Routing layer to handle distribution to
    all fanout peers
    SnpFInvOwn Snoop to get data in M or E state; Routing
    layer to handle distribution to all fanout
    peers.
    SnpFInv Snoop to invalidate the peer's cache,
    flushing any M copy to memory; Routing
    layer to handle distribution to all fanout
    peers.
    SnpCur Snoop to get an uncacheable snapshot of a
    cache line; Routing layer to handle
    distribution to all fanout peers.
  • HPI may also support non snoop requests that they may issue to an address, such as those implemented as non-coherent requests. Examples of such requests can include a non-snoop read to request a read-only line form memory, a non-snoop write to write a line to memory, and a write a line to memory according to a mask, among other potential examples.
  • In one example, four general types of response messages can be defined in the HPI Coherence Protocol: data, completion, snoop, and forward. Certain data messages can carry an additional completion indication and certain snoop responses can carry data. Response messages may use the RSP virtual channel, and the communication fabric may maintain proper message delivery ordering among ordered completion responses and forward responses.
  • Table 4 includes a listing of at least some potential response messages supported by an example HPI Coherence Protocol:
  • TABLE 4
    Name Semantics Fields
    Data_M Data is M state. cmd,
    Data_E Data is E state. destNID,
    Data_F Data is F state. reqTID,
    Data_SI Depending upon request, data in S state or data
    uncacheable “snapshot” data.
    Data_M Data is M state with an ordered completion
    response.
    Data_E Data is E state with an ordered completion
    response.
    Data_F Data is F state with an ordered completion
    response.
    Data_SI Depending upon request, data in S state or
    uncacheable “snapshot” data, with an
    ordered completion response.
    CmpU Completion message with no ordering cmd,
    requirements. destNID,
    CmpO Completion message to be ordered with reqTID
    forward responses.
    RspI Cache is in I state. cmd,
    RspS Cache is in S state. destNID,
    homeTID
    RspFwd Copy of cache line was sent to requesting
    agent, cache state did not change.
    RspFwdI Copy of cache line was sent to requesting
    agent, cache transitions to I state.
    RspFwdS Copy of cache line was sent to requesting
    agent, cache transitions to S state.
    RspIWb Modified line is being implicitly written cmd,
    back to memory, cache was transitioned destNID,
    to I state. homeTID,
    RspSWb Modified line is being implicitly written data
    back to memory, cache was transitioned
    to S state.
    RspFwdIWb Modified line is being implicitly written
    back to memory, copy of cache line was
    sent to requesting agent, cache was
    transitioned to I state.
    RspFwdSWb Modified line is being implicitly written
    back to memory, copy of cache line was
    sent to requesting agent, cache was
    transitioned to S state.
    RspCnflt Peer has an outstanding request to same cmd,
    address, is requesting an ordered forward destNID,
    response, and has allocated a resource homeTID,
    for the forward. peerNID
  • In one example, data responses can target a requesting coherence agent. A home agent may send any of the data responses. A coherence agent may send only data responses not containing an ordered completion indication. Additionally, coherence agents may be limited to sending data responses only as a result of processing a snoop request. Combined data and completion responses may always be of the ordered-completion type and can be kept ordered with forward responses by the communication fabric.
  • The HPI Coherence Protocol can uses the general unordered completion message and a coherence-specific ordered completion message. A home agent may send completion responses to coherent requests and completion responses can be typically destined for a coherence agent. The ordered completion response can be kept ordered with forward responses by the communication fabric.
  • Snoop responses may be sent by coherence agents, specifically in response to processing a snoop request, and target the home agent handling the snoop request. The destNlD is usually a home agent (determined from the address in the snoop request) and the included TID is for the home agent's resource allocated to process the request. Snoop responses with “Wb” in the command are for implicit writebacks of modified cache lines, and they carry the cache line data. (Implicit writebacks can include those a coherence agent makes due to another agent's request, whereas the other requests are made explicitly by the coherence agent using its request resources.)
  • Coherence agents can generate a forward request when a snoop request conflicts with an outstanding request. Forward requests target the home agent that generated the snoop, which is determined from the address in the snoop request. Thus, the destNlD is a home agent. The forward request can also include the TID for the home agent's resource allocated to process the original request and the NID of the coherence agent generating the forward request
  • The HPI Coherence Protocol can support a single forward response, FwdCnfltO. Home agents can send a forward response for every forward request received and to the coherence agent in the forward request's peerNID field. Forward responses carry the cache line address so the coherence agent can match the message to the forward resource it allocated. Forward response message can carry the requesting agent's NID but, in some cases, not the requesting agent's TID. If a coherence agent wants to support cache-to-cache transfers for forward responses, it can save the requesting agent's TID when processing the snoop and send a forward request. To support conflict resolution, the communication fabric may maintain ordering between the forward response and all ordered completions sent before it to the same destination coherence agent.
  • In some systems, home agent resources are pre-allocated in that “RTIDs” represent resources in the home agents and the caching agents allocate RTIDs from system-configured pools when generating new coherence requests. Such schemes can limit the number of active requests any particular caching agent can have to a home agent to the number of RTIDs it was given by the system, effectively slicing up home resources statically among caching agents. Such schemes can result inefficient allocation of resources and properly sizing a home agent to support request throughput can become impractical for large systems, among other potential issues. For instance, such schemes can force RTID pool management upon the caching agents. Additionally, in some systems, a caching agent may not reuse the RTID until the home agent has completely processed the transaction. Waiting until a home agent completes all processing, however, can unnecessarily throttle caching agents. Additionally, certain flows in the protocol can involve caching agents holding onto RTIDs beyond the home agent release notification, further throttling their performance, among other issues.
  • In one implementation, home agents can be allowed to allocate their resources as requests arrive from cache agents. In such instances, home agent resource management can be kept separate from coherence agent logic. In some implementations, home resource management and coherence agent logic can be at least partially intermingled. In some instances, coherence agents can have more outstanding requests to a home agent than the home agent can simultaneously handle. For instance, HPI can allow requests to queue up in the communication fabric. Further, to avoid deadlocks caused by the home agent blocking incoming requests until resources become available, the HPI Coherence protocol can be configured to ensure that other messages can make progress around blocked requests to ensure that active transactions reach completion.
  • In one example, resource management can be supported by allowing an agent receiving a request to allocate resources to process it, the agent sending the request allocating respective resources for all responses to the request The HTID can represent the resource that a home agent allocates for a given request included in some protocol messages. The HTID (along with RNID/RTID) in snoop requests and forward responses can be used to support responses to a home agent as well as data forwarding to a requesting agent, among other examples. Further, HPI can support the ability of an agent to send an ordered complete (CmpO) early, that is, before the home agent is finished processing the request, when it is determined to be safe for a requesting agent to reuse its RTID resource. General handling of snoops with similar RNID/RTID can also be defined by the protocol.
  • In one illustrative example, when a particular request's tracker state is busy, a directory state can be used to determine when the home agent may send a response. For instance, an Invalid directory state can allow a response to be sent, except for RdCur requests which indicates there are no outstanding snoop responses. An Unknown directory state can dictate that all peer agents have been snooped and all their responses gathered before a response can be sent. The Exclusive directory state can dictate that the owner be snooped and all responses gathered before a response is sent, or if the requesting agent is the owner then a response may immediately be sent. The Shared directory state can specify that an invalidating request (e.g., RdInv* or Inv*) has snooped all peer agents and gathered all snoop responses. When a given request's tracker state is writeback buffered (WbBuffered), the home agent may send a data response. When the request's tracker state is DataSent (indicating the home agent has already sent a data response) or DataXfrd (indicating a peer transferred a copy of the line), the home agent may send the completion response.
  • In instances such as those described above, a home agent may send data and completion responses before all snoop responses have been gathered. The HPI interface allows these “early” responses. When sending early data and completions, the home agent may gather all outstanding snoop responses before releasing the resource it allocated for the request. The home agent can also continue blocking further standard requests to the same address until all snoop responses have been gathered, then releasing the resource. A home agent sending a response message from a Busy or WbBuffered state can use a sub-action table (e.g., included in a set of protocol tables embodying the formal specification of the HPI Coherence protocol) for which message to send and use a sub action table for how to update the directory state, among other examples. In some cases, an early completion can be performed without pre-allocation by a home node.
  • In one embodiment, HPI Coherence protocol can omit the use of either or both pre-allocated home resources and ordered request channels. In such implementations, certain messages on the HPI RSP communication channel can be ordered. For instance, specifically “ordered completion” and “forward response” messages, can be provided, that can be sent from the home agent to the coherence agent. Home agents can send an ordered completion (CmpO or Data_*_CmpO) for all coherent read and invalidation requests (as well as other requests, such as a NonSnpRd requests, that are not involved in cache-coherence conflicts).
  • Home agents can send forward responses (FwdCnfltO) to coherence agents that send forward requests (RspCnflt) to indicate a conflict. A coherence agent can generate a forward request whenever it has an outstanding read or invalidation request and detects an incoming snoop request to the same cache line as the request. When the coherence agent receives the forward response, it checks the current state of the outstanding request to determine how to process the original snoop. The home agent can sent the forward response to be ordered with a complete (e.g., CmpO or Data_*_CmpO). The coherence agent can utilize information included in the snoop to aid the coherence agent in processing a forward response. For instance, a forward response may not include any “type” information and no RTID. The nature of the forward response can be derived from information obtained from the preceding snoop(s). Further, a coherence agent may block outstanding snoop requests when all of its “forward resources” are waiting for forward responses. In some implementations, each coherence agent can be designed to have at least one forward resource.
  • In some implementations, communication fabric requirements can be upon the Routing Layer. In one embodiment, the HPI Coherence protocol has one communication fabric requirement that is specific to the Routing Layer. The coherence protocol can depend upon the routing layer to convert a fanout snoop (SnpF* opcodes—Snoop (SNP) Channel Messages”) into the appropriate snoops for all of the request's peers in the fanout set of Coherence Agents. The fanout set is a configuration parameter of the Routing Layer that is shared by the Protocol Layer. In this coherence protocol specification it is described as a Home Agent configuration parameter.
  • In some implementations above, the HPI Coherence Protocol can utilizes four of the virtual channels: REQ, WB, SNP, and RSP. The virtual channels can be used to unwind dependency cycles and avoid deadlock. In one embodiment, every message can be delivered without duplication on all virtual channels and an ordering requirement upon the RSP virtual channel.
  • In some implementations, the communication fabric can be configured to preserve an ordering among certain completion messages and the FwdCnfltO message. The completion messages are the CmpO message and any data message with CmpO attached (Data_*_CmpO). Together, all of these messages are the “ordered completion responses.” The conceptual requirement between ordered completion responses and the FwdCnfltO message is that a FwdCnfltO does not “pass” an ordered completion. More specifically, if a home agent sends an ordered completion response followed by a FwdCnfltO message and both messages are destined for the same coherence agent, then the communication fabric delivers the ordered completion response before the FwdCnfltO, among other potential examples.
  • It should be appreciated that while some examples of the protocol flow are disclosed herein, the described examples are merely intended to give an intuitive feel for the protocol and do not necessarily cover all possible scenarios and behaviors the protocol may exhibit.
  • A conflict may occur when requests to the same cache-line address from more than one coherence agent occur around the same time. As a specific example, a conflict can occur when a snoop for a coherence agent's standard request arrives at a peer coherence agent with an outstanding request to the same address. Because each snoop may end up in a conflict, a single request can have multiple conflicts. Resolving conflicts may be a coordinated effort among the home agent, the coherence agents, and the communication fabric. However, the primary responsibility lies with the coherence agents detecting conflicting snoops.
  • In one embodiment, home agents, coherence agents, and communication fabric can be configured to assist in successfully resolving conflicts. For example, home agents may have outstanding snoops for only one request per address at a time, such that, for a given address, a home agent may have outstanding snoops for only one request. This can serve to exclude the possibility of race conditions involving two requests conflicting with each other. It can also ensure that a coherence agent will not see another snoop to the same address after it has detected a conflict but not yet resolved it.
  • In another example, when a coherence agent processes a snoop with an address matching an active standard request, it can allocates a forward resource and sends a forward request to the home agent. A coherence agent with an outstanding standard request that receives a snoop to the same address can responds with a RspCnflt snoop response. This response can be a forward request to the home agent. Because the message is a request, before sending it the coherence agent can allocate a resource to handle the response that the home agent will send. (The coherence protocol allows blocking conflicting snoops when the coherence agent has run out of forward resources, in some instances.) The coherence agent may store information about the conflicting snoop to use when processing the forward response. After detecting a conflict and until processing the forward response, a coherence agent may be guaranteed to not see another snoop to the same address.
  • In some examples, when a home agent receives a forward request, it does not record the snoop response. Instead, the home agent can send a forward response to the conflicting coherence agent. A forward request (RspCnflt), in one example, looks like a snoop response but the home agent does not treat it as one. It does not record the message as a snoop response, but instead sends a forward response. Specifically, for every forward request (RspCnflt) a home agent receives, it sends a forward response (FwdCnfltO) to the requesting coherence agent.
  • The HPI Communication Fabric orders forward responses and ordered completions between the home agent and the targeted coherence agent. The fabric can thereby serve to differentiate an early conflict from a late conflict at the conflicting coherence agent. From a system-level perspective, an early conflict occurs when a snoop encounters a request that the home agent has not yet processed, and a late conflict occurs when a snoop encounters a request that the home agent has already processed. From a home agent's perspective, an early conflict is when a snoop for the currently active request encounters a request that the home agent has not yet received or started processing, and a late conflict is when the snoop encounters a request it has already processed. In other words, a late conflict is with a request to which the home agent has already sent a completion response. Thus, when a home agent receives a forward request for a late conflict, it will have already sent the completion response to the conflicting agent's outstanding request. By ordering the forward responses and ordered completion responses from home agent to the coherence agent, the coherence agent can determine whether the conflict was early or late by the processing state of its conflicting request.
  • When a coherence agent receives a forward response, it uses the state of its conflicting request to determine whether the conflict was early or late and when to process the original snoop. Because of the communication fabric's ordering requirement, the state of the conflicting request indicates whether the conflict was early or late. If the request state indicates the completion has been received then it was a late conflict, otherwise it was an early conflict. Alternatively, if the request state indicates the request is still waiting for its response(s) then it was an early conflict, otherwise it was a late conflict. The type of conflict determines when to process the snoop: From a coherence agent's perspective, an early conflict means the snoop is for a request being processed before the agent's conflicting request, and a late conflict means the snoop is for a request being processed after the agent's conflicting request. Given that ordering, for an early conflict, the coherence agent immediately processes the original snoop; and for a late conflict, the coherence agent waits until the conflicting request has received its data (for reads) and its processor has had an opportunity to act upon the finished request before processing the snoop. When the conflicting snoop is processed, the coherence agent will generate a snoop response for the home agent to finally record.
  • All conflicts with writeback requests can be late conflicts. A late conflict from the coherence agent's perspective is when the agent's request is processed before the snoop's request. By this definition all conflicts with writeback requests can be treated as late conflicts because the writeback is processed first. Otherwise, data consistency and coherency could be violated if the home agent were to process the request before the writeback commits to memory. Because all conflicts with writebacks are deemed late conflicts, coherence agents can be configured to block conflicting snoops until an outstanding writeback request completes. Further, writebacks can also block the processing of forwards. Blocking forwards by an active writeback can also be implemented as a protocol requirement for supporting uncacheable stores, among other examples.
  • When a coherence agent receives a request to snoop its cache, it can first check if the coherence protocol will allow it, and then it may process the snoop and generate a response. One or more state tables can be defined within a set of state tables that defines the protocol specification. One or more state table can specify when a coherence agent may process a snoop and whether it will snoop the cache or instead generate a conflict forward request. In one example, there are two conditions under which a coherence agent processes a snoop. The first condition is when the coherence agent has a REQ request (Rd* or Inv*) to the snoop address and it has an available forward resource. In this case, the coherence agent must generate a forward request (RspCnflt). The second condition is when the coherence agent does not have a REQ, Wb*, or EvctCln request to the snoop address. A state table can define how a coherence agent is to process the snoop in accordance with such respective conditions. In one example, under other conditions, the coherence agent can block the snoop until either a forward resource becomes available (first condition) or the blocking Wb* or EvctCln receives its CmpU response (second condition). Note that NonSnp* requests may not affect snoop processing and a coherence agent can disregard NonSnp* entries when determining how to process or block a snoop.
  • When generating a forward request, a coherence agent can reserve a resource for the forward response. The HPI Coherence protocol, in one example, may not require a minimum number of forward response resources (beyond having at least one) and can allow a coherence agent to block snoops when it has no forward response resources available.
  • How a coherence agent processes a snoop in its cache can depend upon the snoop type and current cache state. For a given snoop type and cache state, however, there may be many allowed responses. For example, a coherence agent with a full modified line that receives a non-conflicting SnpMigr (or is processing a forward response after a SnpMigr) may do any of the following: Downgrade to S, send implicit writeback to Home and Data_F to requestor; Downgrade to S, send implicit writeback to Home; Downgrade to I, send Data_M to requestor; Downgrade to I, send implicit writeback to Home and Data_E to requestor; Downgrade to I, send implicit writeback to Home; among potentially other examples.
  • The HPI Coherence protocol allows a coherence agent to store modified lines with partial masks in its cache. However, all rows in for M copies can require a Full or Empty mask. The HPI Coherence protocol, in one example, may restrict implicit writeback of partial lines. A coherence agent wishing to evict a partial M line due to a snoop request (or forward response) can first initiate an explicit writeback and block the snoop (or forward) until the explicit writeback is completed.
  • Saving information for forward responses: The HPI Coherence Protocol, in one embodiment, allows a coherence agent to store forward response information separate from the outgoing request buffer (ORB). Separating the information allows the ORB to release ORB resources and RTID when all responses are gathered, regardless of the entry being involved in a conflict. State tables can be utilized to specify what information to store for forward responses and under what conditions.
  • Forward responses in the HPI Coherence protocol can contain the address, the requesting agent's NID, and the home TID. It does not contain the original snoop type or the RTID. A coherence agent may store the forward type and the RTID if it wishes to use them with the forward response, and it may use the address to match the incoming forward response with the proper forward entry (and to generate the home NID). Storing the forward type may be optional. If no type is stored, the coherence agent can treat a forward response as having Fwdlnv type. Likewise, storing the RTID can be optional and may only occur when the coherence agent is to support cache-to-cache transfers when processing forward responses.
  • As noted above, coherence agents can generate a forward request when a snoop request conflicts with an outstanding request. Forward requests target the home agent that generated the snoop, which can be determined from the address in the snoop request. Thus, the destNlD can identify a home agent. The forward request can also include the TID for the home agent's resource allocated to process the original request and the NID of the coherence agent generating the forward request.
  • In one embodiment, a coherence agent can block forwards for writeback requests to maintain data consistency. Coherence agents can also use a writeback request to commit uncacheable (UC) data before processing a forward and can allow the coherence agent to writeback partial cache lines instead of protocol supporting a partial implicit writeback for forwards. Indeed, in one embodiment, a coherence agent can be allowed to store modified lines with partial masks in its cache (although M copies are to include a Full or Empty mask).
  • In one example, early conflicts may be resolved by a forward response encountering an outstanding standard request before it has received any response. A corresponding protocol state table can specify, in one example, that a forward response can be processed as long as the standard request entry is still in ReqSent state. Late conflicts can be resolved by a forward response arriving after the outstanding request has received its completion response. When this occurs either the request will have finished (already received its data or was an Inv* request) or the entry is in its RcvdCmp state. If the request is still waiting for its data, then the coherence agent must block the forward until the data is received (and used). If the conflicting Rd* or Inv* request has finished, then the forward response may be processed as long as the coherence agent has not initiated an explicit writeback of the cache line. It can be permissible for a coherence agent to initiate an explicit writeback while it has a forward response (or snoop request) to the same address, thus allowing partial lines (e.g. Snoop Requests to Partially Modified Lines”) or uncacheable stores to be properly committed to memory.
  • Turning to FIG. 7, a first example is illustrated of an example conflict management scheme. A first cache (or coherence) agent 705 can send a read request for a particular line of data to home agent 710 resulting in a read of memory 715. Shortly after the read request by cache agent 705, another cache agent 720 makes a request for ownership (RFO) of the same line. However, the home agent 710 has sent the Data_S_CmpO to the first cache agent 705 prior to receiving the RFO from cache agent 720. The RFO can result in a snoop (SnpFO) being sent to the cache agent 705 (as well as other cache agents), the snoop being received by the first cache agent 705 prior to receiving the complete Data_S_CmpO. The cache agent 705, upon receiving the snoop SnpO can identify a potential conflict involving the line of memory requested in its original read request and can notify the home agent 710 of the conflict by responding to the SnpO with a forward responses conflict message (RspCnflt). The home agent 710 can respond to the forward response RspCnflt by sending a forward response (FwdCnfltO). The cache agent 705 can then receive the shared data complete Data_S_CmpO and transition from an I state to S state. The forward response FwdCnfltO can then be received by the cache agent 705 and cache agent 705 can determine how to respond to the forward response message FwdClfltO based on the snoop SnpFO that triggered the sending of the forward response RspCnflt. In this example, the cache agent 705 can consult a protocol state table, for instance, to determine a response to the forward response message FwdClfltO. In the particular example of FIG. 7, the cache agent 705 can transition to an F-state and send the S-copy of the data it received from the home agent 710 in the Data_S_CmpO message to the second cache agent 720 in a Data_F message. The first cache agent 705 can also send a response message RspFwdS to the home agent 710 notifying the home agent 710 that the first cache agent has shared its copy of the data with the second cache agent.
  • In another illustrative example, shown in the simplified flow diagram of FIG. 8, the first cache agent 705 can send a request for ownership (RFO) of a particular line of memory to the home agent 710. Shortly thereafter, a second cache agent can send a RdInvOwn message to the home agent 710 as a request for the same line of memory in an M state. In connection with the RFO message from the first cache agent 705, the home agent 710 can send a snoop (SnpFO) to the second cache agent 720 which the second cache agent 720 can identify as a potential conflict involving the line of memory subject to both the RFO and RdInvOwn requests. Accordingly, the second cache agent 720 can send a forward request RspCnflt to the home agent 720. The home agent 720 responds to the second cache agent's 720 forward request with a forward response. The second cache agent 720 determines a response to the forward response based on information contained in the original snoop SnpFO. In this example, the second cache agent 720 responds with a snoop response RspI indicating that the second cache agent 720 is in an I-state. The home agent 710 receives the snoop response RspI and determines that it is appropriate to send the data complete exclusive (Data_E_CmpO) to the first cache agent 705, which causes the first cache agent to transition to an E state. With the complete sent, the home agent 710 can then begin responding to the second cache agent's RdInvOwn request, beginning with a snoop request SnpinvO of the first cache agent 705. The first cache agent 705 can identify that the snoop results in a request by the second cache agent 720 to obtain an exclusive M-state copy of the line. Consequently, the first cache agent 705 transitions to the M state to send its copy of the line as an M-state copy (with Data_M message) to the second cache agent 720. Additionally, the first cache agent 705 also sends a response message RspFwdI to indicate that the copy of the line has been sent to the second cache agent 720 and that the first cache agent has transitioned to an I-state (having given up ownership of the copy to the second cache agent 720).
  • Turning next to the example of FIG. 9, another simplified flowchart is shown. In this example, a cache agent 720 attempts to request exclusive ownership of an uncacheable (UC) line without receiving data (e.g., through a InvItoE message). A first cache agent 705 send a competing message (RdInv) for the cache line in E state. The HPI Coherence protocol can specify that if the requested line was previously cached in M state, the line will be written to memory before E data is delivered in response to the RdInv of the first cache agent 705. The home agent 710 can send a complete (CmpO) to the InvItoE request and send a snoop (SnpInv) to cache agent 720 based on the RdInv request. If the cache agent 720 receives the snoop before the complete, the cache agent 720 can identify that the snoop pertains to the same cache line as its exclusive ownership request and indicate a conflict through a forward requests RspCnflt. As in previous examples, the home agent 710 can be configured to respond to the forward request with a forward response (FwdCnfltO). Multiple permissible responses may be allowed to the forward response. For instance, the cache agent 720 can initiate an explicit writeback (e.g., WbMtoI) and block the snoop (or forward) until the explicit writeback is completed (e.g., CmpU), as shown in the example of FIG. 9. The cache agent can then complete the snoop response (RspI). The home agent 710 can then process the RdInv request of the first cache agent 705 and return a complete Data_E_CmpO, among other examples.
  • In examples, such as the example of FIG. 9, where a cache agent receives a snoop when the agent has an outstanding read or invalidation request to the same address and it has cached a partial modified line (often referred to as a “buried-M”), the HPI Coherence protocol, in one implementation, allows the agent to either 1) perform an explicit writeback (partial) of the line while blocking the snoop or 2) send a forward request (RspCnflt) to the home agent. If (1) is chosen, the agent processes the snoop after receiving the complete for the writeback. If (2) is chosen, it is possible that the agent will receive forward response (FwdCnfltO) while its outstanding read or invalidation request is still waiting for responses and the agent still has a partial modified line. If that is the case, the protocol allows the agent to block the forward while performing an explicit writeback (partial) of the line. During the writeback, the protocol guarantees the agent will not receive responses for the outstanding read or invalidation request. The mechanism described above (allowing coherence agents to issue explicit writebacks and block snoops and forwards, even when the agent has an outstanding read or invalidation request) is also used to ensure partial or UC writes are posted to memory before the writer acquires global observability.
  • Coherence agents use a two-step process for partial/UC writes. First, they check if they have ownership of the cacheline and issue an ownership (invalidation) request in the protocol if they do not. Second, they perform the write. In the first step, if they performed an ownership request, it is possible that the request will conflict with other agents' requests for the line, meaning the agent might receive a snoop while the ownership request is outstanding. Per coherence protocol requirements, the agent will issue a forward request for the conflicting snoop. While waiting for the forward response, the agent may receive the ownership request's completion, which grants ownership of the line to the agent and allows the agent to initiate the writeback for the partial/UC write. While this is occurring, the agent might receive the forward response, which it is obligated to process also. The coherence agent may not combine the two activities. The coherence agent is to instead writeback the partial/UC write data separately from processing the forward, and perform the writeback first. For instance, a cache agent may use a writeback request to commit UC data before processing forward and writeback partial cache lines, among other examples and features.
  • In one embodiment, the HPI Coherence protocol can support a read invalidate (RdInv) request accepting Exclusive-state data. Semantics of uncacheable (UC) reads include flushing modified data to memory. Some architectures, however, allow forwarding M data to invalidating reads, which forced the requesting agent to clean the line if it received M data. The RdInv simplifies the flow and does not allow E data to be forwarded. For instance, as shown in the example of FIG. 10, the directory state of a home agent 710 can indicate that no agent (e.g., 705, 710) has a copy of the line. In such instances, the home agent 710 may immediately send the data and completion response(s). HPI allows the same if the effective directory state indicates no peer can have a copy of the line.
  • As shown in the example of FIG. 10, in some implementations an agent can respond to a snoop with a RspIWb message, indicating that the cache agent (e.g., 705) is in (or has transitioned to) an I-state while requesting a write to memory. A RspIWb can set the effective directory state to Invalid and allows a home agent 710 to send a response without snooping all peers. In the example of FIG. 10, a second cache agent 720 send a RdInv request while the home agent directory is in an Unknown state. In response, the home agent 710 initially snoops only first cache agent 705. In this example, cache agent 705 has a modified copy of the line and responds with an implicit writeback (e.g., RspIWb). When Home receives the RspIWb message, it can determined that no other agent could have had a copy of the line and identified further that cache agent 705 has invalidated its cache through the RspIWb. In response, the home agent 710 can set the directory state to Invalid. Because the directory state is Invalid, the home agent 710 waits until the write to memory 715 completes and then sends the data and completion response(s) (e.g., Data_E_CmpO) and releases the resource it allocated for the request from cache agent 720. In this example, the home agent may skip the snooping of other cache agents in the system. Indeed, in such examples, a home agent (e.g., 710) can send data and a completion response prior to receiving all snoop responses (e.g., due to the identification of an M-copy at agent 705), as illustrated in the example illustrated in FIG. 11 (with cache agent 1105).
  • In the examples of FIGS. 10 and 11, when the second cache agent 720 receives the Data_E_CmpO response from the home agent 710, the cache agent 720 can load the data into its cache, set its cache state to E, and release the resource RTID it allocated for the request. After releasing the RTID, cache agent 720 may reuse it for a new request. In the meantime, the home agent 710 can wait for snoop responses for snoops to the request originally using the RTID. Snoop messages can contain the request's RTID and requesting agent's NID. Thus, because cache agent 720 could reuse the RTID for a new request to the same or a different home agent, and that home agent could generate snoops for the new request while snoops for the original request are outstanding, it is possible that the same “unique” transaction ID will exist in snoops to the same coherence agents. From a coherency perspective this duplication of transaction ID (TID) can nonetheless be acceptable because snoops for the original request will only find I states.
  • A home agent may generate a snoop when the request's Tracker state is Wait, Busy or DataXfrd, meaning either the home agent has not yet sent a data response or a snoop response indicated some peer forwarded the data to the requesting agent. A home agent may also check the request's Snoop field to ensure it has not yet sent a snoop to a Peer. When sending a snoop, a home agent may add Peer (or all fanout Peers) to Snoop (to prevent sending a second snoop) and track outstanding snoop responses.
  • As noted above, some implementations of HPI can support fanout snoops. Additionally, in some examples, HPI can support an explicit fanout snoop operation, SnpF, for fanout snoops generated by the Routing layer. An HPI home agent (e.g., 710) can utilize SnpF to generate a single fanout snoop request (e.g., with a single command and message) and, in response, the Routing layer can generate snoops to all peer agents in the respective fanout cone based on the SnpF request. The home agent may accordingly expect snoop responses from each of the agent sections. While other snoop messages may include a destination node ID, fanout snoops may omit a destination NID because the Routing layer is responsible for generating the appropriate snoop messages to all peers in the fanout region.
  • As the Routing layer is immediately below the Protocol layer, in some implementations, communication fabric requirements are upon the Routing Layer. In one embodiment, the HPI Coherence protocol can have has one communication fabric requirement that is specific to the Routing layer. For instance, the Coherence protocol can depend upon the Routing layer to convert a fanout snoop (SnpF* opcodes—Snoop (SNP) Channel Messages) into the appropriate snoops for all of the request's peers in the fanout set of cache agents. The fanout set is a configuration parameter of the Routing layer that is shared by the Protocol layer, or a home agent configuration parameter.
  • In some implementations, a home agent may send a fanout snoop for an active standard request. The HPI Routing layer can convert the fanout snoop request of the home agent into regular snoops to each of the peers in the fanout cone defined by the Routing layer. The HPI Coherence protocol home agent is made aware of which coherence agents are covered by the Routing layer fanout via a HAFanoutAgent configuration parameter identifying the respective cache agents that are included in the fanout cone by address. The Routing layer can receive the fanout snoop SnpF and convert it into a snoops of every cache agent included in the fanout cone (excepting the requesting agent). In one implementation, the Routing layer can convert the fanout snoop into corresponding non-fanout snoops (with appropriate non-fanout opcodes, such as those in Table 3), among other examples.
  • Similar to regular snoops, a home agent may be limited to sending a fanout snoop only before it sends a completion response to a coherence protocol request by a cache agent. Further, additional conditions can be placed on the fanout snoops. As examples, a home agent may send a fanout snoop if it has not individually snooped any of the peers in the fanout cone. In other words, a home agent may not initiate a fanout snoop, in some implementations, if the fanout cone is empty or if the requesting cache agent is the only agent in the fanout cone, among other examples
  • In one embodiment, HPI can support an explicit writeback with cache-push hint (WbPushMtoI). Generally, in some examples, modified data can be transferred by either explicitly writing the data back to memory or transferring the modified data in response to a snoop request. Transferring modified data in connection with a snoop response can be considered a “pull” transfer. In some implementations, a “push” mechanism can also be supported, whereby a cache agent with the modified data sends the modified data directly to another caching agent for storage in the target agent's cache (along with the Modified cache state).
  • In one embodiment, a cache agent can write back modified data with a hint to the home agent that it may push the modified data to a “local” cache, storing the data in M state in the local cache, without writing the data to memory. In one implementation, a home agent 710 can receive a WbPushMtoI message from a cache agent 705 and identify the hint that another cache agent (e.g., 720) is likely to utilize or desire ownership of a particular line in the near future, as shown in the example of FIG. 12. The home agent 710 can process the WbPushMtoI message and effectively accept the hint and push the written-back data to the other cache agent 720 without writing the data to memory 715, thereby causing the other cache agent 720 to transition to an M state. In some implementations, the home agent 710 can alternatively process the WbPushMtoI message and opt to write the data back to memory, as in a WbMtoI request (such as illustrated in FIG. 13) and not push the written-back data directly to the other cache agent 720.
  • In one example implementation, a home agent (e.g., 710) can process a WbPushMtoI message by checking that the tracker state is WbBuffered, which can indicate that the home agent has not yet processed the data. In some instances, a “push” of the data can be conditioned on the home agent determining that the home agent is not already processing a standard request to the same address. In some implementations, the push can be further conditioned on the home agent determining that the targeted cache agent (e.g., 720, in the example of FIG. 12) is “local.” If the targeted cache agent is not covered by the home agent directory, then the home agent may transfer the data to the target cache agent's cache and update the directory to Invalid. If the targeted cache agent is covered by the directory, then the data transfer to the cache agent's cache may only be allowed only if the targeted cache agent does not have an active InvXtoI, and when transferred the home agent can update the directory to Exclusive with the target cache agent as the owner. Other conditions can be defined (e.g., in a corresponding protocol state table) for a home agent in determining whether to accept the hint of the WbPushMtoI message and push data to a targeted cache agent, or instead process the WbPushMtoI message as a WbMtoI request by first writing the data to memory, among other potential examples.
  • In some implementations, HPI Can support an InvItoM message to pre-allocate to a directory cache of a home agent, such as an I/O directory cache (IODC). An InvItoM can request exclusive ownership of a cache line without receiving data while indicating an the intent of performing a writeback soon afterward. A required cache state may be an M state, and E state, or either. A home agent can process an InvItoM message to pre-allocate a resource for the writeback hinted at through the InvItoM message (including the InvItoM opcode).
  • In some implementations, an opcode can be provided through HPI Coherence protocol to trigger a memory flush of a memory controller with which one or more home agents interact. For instance, an opcode, WbFlush, can be defined for persistent memory flush. As shown in the example of FIG. 14, a host (e.g., 1405) can send a WbFlush message directed to a particular memory controller 1410. In some instances, the WbFlush can indicate a particular address and the WbFlush command can be sent to the specific memory controller targeted by the address. In another example, a WbFlush message can be broadcast to multiple memory controllers. In one example, the t may be sent as a result of a persistent commit in a CPU. Each respective memory controller (e.g., 1410) receiving a WbFlush command can process the message to all pending writes at the memory controller to a persistent memory device (or memory location) managed by the memory controller. The purpose of the command can be to commit all previous writes to persistent memory. For example, a WbFlush command can be triggered in connection with a power failure management controller or process, so as to ensure that pending writes are flushed to non-volatile memory and preserved in the event of a power failure of the system. Further, as shown in the example of FIG. 14, upon flushing (or initiating the flushing of) all pending writes to memory (e.g., 1415), the memory controller 1410 can respond to the requesting host (or agent) (e.g., 1405) with a completion indicating the flush. The completion should not be sent to the host until the memory controller has assured that the data will make it to persistent memory. The WbFlush message or corresponding completion can serve as a check point for other processes and controllers dependent on or driving the flushing of pending writes to memory, among other uses and examples.
  • Some traditional architectures can require for Data_M and corresponding completes to be sent separately. HPI may be extended to have coherence agents support accepting a combined Data_M_CmpO. Further, home agents can be configured to generate a combined Data_M_Cmp0 message via buffering implicit writeback data. Indeed, in some implementations, an agent can be provided with logic that combines cache and home agent behaviors, such that when the agent receives a request and find M data in its cache, it can directly generate the Data_M_CmpO. In such instances, the Data_M_CmpO response can be generated without generating a RspIWb or buffering writeback data, among other examples.
  • In another example, as shown in the example protocol state table 1500 illustrated in FIG. 15, a state machine (embodied by a machine readable state table (e.g., 1500)) can define a variety of potential response messages a home agent may send when the standard request's tracker entry is identified as in Busy or WbBuffered state. As shown in table 1500, in one example, a home agent may not be allowed to send a CmpO completion message to a read Rd* request from either state, effectively meaning a home agent is to send a data response before or with a completion response. In cases where a Data_X response may be sent in the home agent response message, the home agent may combine the data response with a completion and send it instead.
  • The state of the data response can be fixed for invalidating requests and RdCur. For RdMigr and RdData, non-shared directory states can allow E data to be sent. For RdMigr, RdData, and RdCode, a Shared directory state can involve checking if all peers that might have F state were snooped. If they were, then the data can be sent with F state; otherwise, the data can be sent in S state in case an unsnooped peer has an F copy, among other potential examples. Further, a home agent may send a Data_M or Data_M_CmpO response, in some implementations, only if it buffered the data from a RspIWb snoop response. When a home agent buffers RspIWb data, it can store the data in the tracker entry and change the entry's state to WbBuffered. Note that if a home agent buffers the RspIWb data instead of writing it to memory, it sends a Data_M or Data_M_CmpO response in this example.
  • In one embodiment, as noted above, HPI Coherence protocol can support an F state that allows a cache agent to keep F state when forwarding shared data. In some systems, or instances, the F (forward) cache state can be itself forwardable. When a cache holds a line in F state and receives a snoop which allows transferring shared data, the cache may forward the data, and when it does it can send the F state with the data and transition its cache state to S (or I). In some circumstances, it is desirable for the cache to instead keep the F state when forwarding data, in which case it will send S state with the forwarded data.
  • In one example, the ability of a cache agent to keep or pass an F state on a shared transfer can be controllable. In one example, a configuration parameter, per coherence agent, can indicate whether a coherence agent will transfer or hold onto a F state. Regardless of the parameter setting, the coherence agent can use the same snoop response (e.g., RspFwdS). In the additional case of an agent having the line in E state when the snoop arrives, the cache agent can transition its cache state to F when forwarding the S data and sending the RspFwdS response (when the parameter is set to hold F state). In the additional case of an agent having the line in M (full) state when the snoop arrives, the cache agent can downgrade its cache state to F when forwarding the S data, writing back the data to memory, and sending the RspFwdSWb response (when the parameter is set to hold F state). Further, a coherence agent with F state that receives a “sharing” snoop or forward after such a snoop may keep the F state while sending S state to the requesting agent. In other instances, the configuration parameter can be toggled to allow the F state to be transferred in a transfer of shared data and transition to an S (or I) state, among other examples. Indeed, as shown in the example state table 1600 of FIG. 16, a cache agent in F state can respond in a variety of ways, including a SnpMigr/FwdMigr, F, F, RspFwdS, Data_S, among other examples.
  • As noted above, in some implementations, state transitions of a cache line and agents can be managed using a state machine. In one implementation, the state machine can be further embodied by a set or library of state tables that have been defined to detail all of the various combinations of commands, attributes, previous states, and other conditions that can influence how state transitions are to take place, as well as the types of messages, data operations, masks, and so on, that can be associated with the state transition (such as illustrated in the particular examples of FIGS. 15 and 16). Each state table can correspond to a particular action or category of actions or states. The set of tables can include multiple tables, each table corresponding to a particular action or sub-action. The set of tables can embody a formal specification of a protocol, such as the Coherence Protocol or another protocol (at any of the stack layers) of HPI.
  • State tables can be human-readable files, such as table structures that can be readily interpreted and modified and developed by a human user interacting with the state table structure using an endpoint computer device. Other users can utilize the state table to readily interpret state transitions within the Coherence Protocol (or any other protocol of HPI). Further, state tables can be machine-readable and parsable structures that can be read and interpreted by a computer to identify how states are to transition according to a particular protocol specification.
  • FIG. 17 illustrates a simplified representation of a generalized state table for an action “Action A”. A protocol state table 1700, in one example, can include columns (e.g., 1705) pertaining to current states (or the states from which a transition is to be made) and other columns (e.g., 1710) pertaining to next states (or the states that are to be transitioned to). Columns in the current state columns can correspond to various characteristics of the state, such as commands received in a response message, snoop message, or other message, a cache line state, outgoing request buffer (ORB) condition, credits or resources to apply/reserve, whether the cache line is partially modified, a forwarding condition, and so on. Each row in the table 1700 can correspond to a detected set of conditions for a cache line in a particular state. Further, the cells in the row within the next state columns (e.g., 1710) can indicate the next state and conditions of the next state that is to be entered into based on the current state conditions specified in the row cells in the current state columns (e.g., 1705). The next state columns (e.g., 1710) can correspond to conditions in the next state such as the messages that are to be sent (e.g., to a corresponding home node (HNID), requesting node (RNID), peer node, etc.), the next cache line state, forward state, and so on.
  • In one embodiment, protocol state tables can use row spanning to indicate that multiple behaviors or states (rows) are equally permissible for a certain set of current state conditions. For instance, in the example of FIG. 17, when the Command is Cmdl, a first condition is false, the cache line is in a second state, and a second condition is also false (as indicated by rows 1715), multiple potential next state conditions are possible and may be equally permissible, each indicated by a respective row. In other word, any one of such equally permissible transitions can be triggered based on the corresponding current state conditions. In some implementations, additional agent logic can select which of the multiple next state to select, among other example implementations. In one illustrative example, a current state section of a state table corresponding to home agent send request responses can include multiple conditions (or input and state guards) including all valid behaviors for a coherence agent to perform when the agent holds a full M-line in its cache and is processing a SnpMigr to the same cacheline. The table rows may correspond to five different, and equally permissible, next state behaviors the coherence agent can take in response to the current state conditions, among other examples.
  • In other systems, a bias bit may be included in protocol state tables where multiple potential next states or conditions are possible for a particular current state, In QPI, for instance, a “bias” bit is included in tables as a mechanism to select among behaviors. Such bias bits may be primarily used during validation of a protocol's state machine, but such bias bits introduce additional complexity and, in some cases, confusion unfamiliar with the utility of the bias bit. In some respects, a bias bit may be nothing more than an artifact of a validation exercise. In one example of HPI, through protocol tables using rows that potentially span multiple rows, bias bits and other features can be excluded. In such instances, HPI protocol tables can emphasize explicit non-determinism.
  • Turning to the example of FIG. 18, in one embodiment, protocol tables may be nested by having one table refer to another sub-table in the “next state” columns, and the nested table can have additional or finer-grained guards to specify which rows (behaviors) are permitted. As shown in FIG. 18, an example protocol state table 1700 can include an embedded reference 1805 to another table 1800 included in the set of tables embodying a protocol specification, such as a state table pertaining to a sub-action related to the action or behavior included in the next state designated for certain rows of table 1700. Multiple tables (e.g., 1700, 1810) can reference a nested table (e.g., 1800). As an example, an agent processing incoming responses to protocol responses may follow an action table (e.g., 1700, 1810) and a subaction table 1800. Here, action table 1700 can include a next state with a subaction table nested under one or more other protocol tables. This type of nesting can apply beyond coherence protocol and protocol layer state tables, but can also be applied to any known or future protocol response/tables.
  • In one example, an agent can make use of protocol tables (or another parsable structure constructed from the protocol tables) and can identify a particular state table corresponding to a particular action or event. Further, the agent can identify the row that applies to the cache line handled or targeted by the agent and identify, from the table, the next state information for the cache line. This determination can include the identification of a reference to a nested table of a sub-action. Accordingly, the agent can identify the corresponding structure of the linked-to nested table and further reference the nested table to determine the state transition.
  • In one particular example, a collective set of protocol tables can be defined and represent all of the possible, defined state transitions in a protocol. Further, each table can specify a set of transitions covering a set of related behaviors within the protocol (e.g. one table covers all the behaviors involved in snooping and updating cache state, one covers all behaviors generating new requests, etc.). When an agent is to perform a behavior, process an event, or check if some other action should be taken the agent can identify the particular state table covering that particular behavior within the set of state tables. The agent can then identify the current state of the system and reference the selected state table to identify the row or group of rows matching the current state, if any. If no rows match, the agent may, in some instances, refrain from taking any action for the given current state and wait for some other event/behavior to change the state before trying again. Further, in some instances, as introduced above, if more than one row matches the identified system state, the agent can selects any of them to perform, as all can be regarded as equally permissible. Further, in the case of nesting, if a row refers to a nested table, the agent can access the nested table and use the identified current state of the system to search for allowed rows in the nested table.
  • In some examples, upon traversing any primary and nested tables to determine a response to a particular identified system (or protocol) state, the agent can cause the corresponding actions to be performed and the state of the system to be updated in accordance with the “next states” designated in the corresponding state tables.
  • In some instances, it can be possible that more than one state table relates to or covers a set of behaviors. For instance, as an illustrative example, two tables may be provided for processing snoops, the first for the case when there was a conflicting active request, the second table was for when there was not. Accordingly, in some implementations, an agent may survey multiple tables to determine which table includes rows relevant to the particular conditions and states identified by the agent. Further, in some cases, an agent may handle two unrelated or distinct events occurring simultaneously, such as an example where a home agent receives a snoop response and a new request at the same time. In instances where multiple events are being processes, an agent can identify and use multiple corresponding tables simultaneously to determine how to process the events.
  • Turning now to FIGS. 19 and 20, simplified block diagrams 1900, 2000 are shown of examples of a testing or validation environment for use in validating at least a portion of a protocol. For instance, in the example of FIG. 19, a test engine 1900 is provided adapted to validate a state machine of a protocol. For instance, in one example, test engine 1900 can include or be based upon principles of a Murphi tool or another enumerative (explicit state) model checker, among other examples. For instance, other specification languages can be utilized in lieu of the Murphi examples described, including, as another example, TLA+ or another suitable language or format. In traditional systems, state model checkers have been constructed by human developers who attempt to translate state machines (e.g., from accompanying state tables, etc.) into a set of requirements that are then used to generate a checker capable of checking the state machine. This is not only a typically labor- and resource-intensive process, but also introduces human error as the states and state transitions of a state table are transcribed and interpreted by human users.
  • In one implementation, a test engine 1900 can utilize a set of state tables (e.g., 1905) to automatically generate, from the set of state tables, resources to model behaviors of agents in a test environment. For instance, in the example of FIG. 19, a test engine 1900 can utilize the state tables 1905 as a functionality engine for modeling a cache agent or other agent (e.g., 1910) that can be used to validate various state transitions by simulating requests and responses (e.g., 1912) with other real or simulated agents, including a home agent 1915. Similarly, as shown in the example of FIG. 20, test engine 1900 can utilize state tables 1905 to simulate requests and responses (e.g., 1918) of a home agent (e.g., 1920) and interface with other real or simulated agents (e.g., 1925) to further validate and enumerate states of the protocol. As an example, test engine 1900 can model an agent and receive real or modeled protocol messages, such as HPI Coherence protocol messages, and reference state tables 1905 (or another parsable structure generated from the state tables 1905) to automatically generate an appropriate response, perform corresponding state transitions, and so on, based on the state tables 1905.
  • In one particular implementation, a test engine or other software- or hardware-based utility can be used to utilize state tables (e.g., 1905) to generate code to drive and react to designs that employ a particular protocol, such as HPI Coherence protocol. In this particular example, state tables can be utilized as an input of the test engine by converting tables or included pseudocode along with Murphi mappings for table values and pseudocode elements into appropriate Murphi rule and procedure format. The test engine can be used to further generate Murphi code for type definitions and supporting functionality. The Murphi rule, procedure, type and support code can be used to generate a Murphi model. The Murphi model can be translated, for instance, using a converter, to a C++ or other class definition. Indeed, any suitable programming language can be utilized. Sub-classes of the model class can be further generated and these modules can be used to behave as a simulated or testbench version of an agent employing and aligned to the protocol specification embodied in the state tables. Further, an internal API can be generated or otherwise provided that is aligned to message generation and message reception as defined in the protocol state tables. For instance, a message generation API can be tied to link packet types and message reception can be unified under single interface point. In this example, an entire formal protocol specification can be converted into a C++ (or other object-oriented programming language) class. Inheritance can be used to intercept messages generated, and instances of the inheriting class can be created as functional testbench agent(s). Generally, formal specification tables can be used as a functionality engine for a validation or testing environment tool rather than having developers separately create their own tools based upon their interpretation of the specification.
  • HPI can incorporated in any variety of computing devices and systems, including mainframes, server systems, personal computers, mobile computers (such as tablets, smartphones, personal digital systems, etc.), smart appliances, gaming or entertainment consoles and set top boxes, among other examples. For instance, referring to FIG. 21, an embodiment of a block diagram for a computing system including a multicore processor is depicted. Processor 2100 includes any processor or processing device, such as a microprocessor, an embedded processor, a digital signal processor (DSP), a network processor, a handheld processor, an application processor, a co-processor, a system on a chip (SOC), or other device to execute code. Processor 2100, in one embodiment, includes at least two cores— core 2101 and 2102, which may include asymmetric cores or symmetric cores (the illustrated embodiment). However, processor 2100 may include any number of processing elements that may be symmetric or asymmetric.
  • In one embodiment, a processing element refers to hardware or logic to support a software thread. Examples of hardware processing elements include: a thread unit, a thread slot, a thread, a process unit, a context, a context unit, a logical processor, a hardware thread, a core, and/or any other element, which is capable of holding a state for a processor, such as an execution state or architectural state. In other words, a processing element, in one embodiment, refers to any hardware capable of being independently associated with code, such as a software thread, operating system, application, or other code. A physical processor (or processor socket) typically refers to an integrated circuit, which potentially includes any number of other processing elements, such as cores or hardware threads.
  • A core often refers to logic located on an integrated circuit capable of maintaining an independent architectural state, wherein each independently maintained architectural state is associated with at least some dedicated execution resources. In contrast to cores, a hardware thread typically refers to any logic located on an integrated circuit capable of maintaining an independent architectural state, wherein the independently maintained architectural states share access to execution resources. As can be seen, when certain resources are shared and others are dedicated to an architectural state, the line between the nomenclature of a hardware thread and core overlaps. Yet often, a core and a hardware thread are viewed by an operating system as individual logical processors, where the operating system is able to individually schedule operations on each logical processor.
  • Physical processor 2100, as illustrated in FIG. 21, includes two cores— core 2101 and 2102. Here, core 2101 and 2102 are considered symmetric cores, i.e. cores with the same configurations, functional units, and/or logic. In another embodiment, core 2101 includes an out-of-order processor core, while core 2102 includes an in-order processor core. However, cores 2101 and 2102 may be individually selected from any type of core, such as a native core, a software managed core, a core adapted to execute a native Instruction Set Architecture (ISA), a core adapted to execute a translated Instruction Set Architecture (ISA), a co-designed core, or other known core. In a heterogeneous core environment (i.e. asymmetric cores), some form of translation, such a binary translation, may be utilized to schedule or execute code on one or both cores. Yet to further the discussion, the functional units illustrated in core 2101 are described in further detail below, as the units in core 2102 operate in a similar manner in the depicted embodiment.
  • As depicted, core 2101 includes two hardware threads 2101 a and 2101 b, which may also be referred to as hardware thread slots 2101 a and 2101 b. Therefore, software entities, such as an operating system, in one embodiment potentially view processor 2100 as four separate processors, i.e., four logical processors or processing elements capable of executing four software threads concurrently. As alluded to above, a first thread is associated with architecture state registers 2101 a, a second thread is associated with architecture state registers 2101 b, a third thread may be associated with architecture state registers 2102 a, and a fourth thread may be associated with architecture state registers 2102 b. Here, each of the architecture state registers (2101 a, 2101 b, 2102 a, and 2102 b) may be referred to as processing elements, thread slots, or thread units, as described above. As illustrated, architecture state registers 2101 a are replicated in architecture state registers 2101 b, so individual architecture states/contexts are capable of being stored for logical processor 2101 a and logical processor 2101 b. In core 2101, other smaller resources, such as instruction pointers and renaming logic in allocator and renamer block 2130 may also be replicated for threads 2101 a and 2101 b. Some resources, such as re-order buffers in reorder/retirement unit 2135, ILTB 2120, load/store buffers, and queues may be shared through partitioning. Other resources, such as general purpose internal registers, page-table base register(s), low-level data-cache and data-TLB 2151, execution unit(s) 2140, and portions of out-of-order unit 2135 are potentially fully shared.
  • Processor 2100 often includes other resources, which may be fully shared, shared through partitioning, or dedicated by/to processing elements. In FIG. 21, an embodiment of a purely exemplary processor with illustrative logical units/resources of a processor is illustrated. Note that a processor may include, or omit, any of these functional units, as well as include any other known functional units, logic, or firmware not depicted. As illustrated, core 2101 includes a simplified, representative out-of-order (OOO) processor core. But an in-order processor may be utilized in different embodiments. The OOO core includes a branch target buffer 2120 to predict branches to be executed/taken and an instruction-translation buffer (I-TLB) 2120 to store address translation entries for instructions.
  • Core 2101 further includes decode module 2125 coupled to fetch unit 2120 to decode fetched elements. Fetch logic, in one embodiment, includes individual sequencers associated with thread slots 2101 a, 2101 b, respectively. Usually core 2101 is associated with a first ISA, which defines/specifies instructions executable on processor 2100. Often machine code instructions that are part of the first ISA include a portion of the instruction (referred to as an opcode), which references/specifies an instruction or operation to be performed. Decode logic 2125 includes circuitry that recognizes these instructions from their opcodes and passes the decoded instructions on in the pipeline for processing as defined by the first ISA. For example, as discussed in more detail below decoders 2125, in one embodiment, include logic designed or adapted to recognize specific instructions, such as transactional instruction. As a result of the recognition by decoders 2125, the architecture or core 2101 takes specific, predefined actions to perform tasks associated with the appropriate instruction. It is important to note that any of the tasks, blocks, operations, and methods described herein may be performed in response to a single or multiple instructions; some of which may be new or old instructions. Note decoders 2126, in one embodiment, recognize the same ISA (or a subset thereof). Alternatively, in a heterogeneous core environment, decoders 2126 recognize a second ISA (either a subset of the first ISA or a distinct ISA).
  • In one example, allocator and renamer block 2130 includes an allocator to reserve resources, such as register files to store instruction processing results. However, threads 2101 a and 2101 b are potentially capable of out-of-order execution, where allocator and renamer block 2130 also reserves other resources, such as reorder buffers to track instruction results. Unit 2130 may also include a register renamer to rename program/instruction reference registers to other registers internal to processor 2100. Reorder/retirement unit 2135 includes components, such as the reorder buffers mentioned above, load buffers, and store buffers, to support out-of-order execution and later in-order retirement of instructions executed out-of-order.
  • Scheduler and execution unit(s) block 2140, in one embodiment, includes a scheduler unit to schedule instructions/operation on execution units. For example, a floating point instruction is scheduled on a port of an execution unit that has an available floating point execution unit. Register files associated with the execution units are also included to store information instruction processing results. Exemplary execution units include a floating point execution unit, an integer execution unit, a jump execution unit, a load execution unit, a store execution unit, and other known execution units.
  • Lower level data cache and data translation buffer (D-TLB) 2150 are coupled to execution unit(s) 2140. The data cache is to store recently used/operated on elements, such as data operands, which are potentially held in memory coherency states. The D-TLB is to store recent virtual/linear to physical address translations. As a specific example, a processor may include a page table structure to break physical memory into a plurality of virtual pages.
  • Here, cores 2101 and 2102 share access to higher-level or further-out cache, such as a second level cache associated with on-chip interface 2110. Note that higher-level or further-out refers to cache levels increasing or getting further way from the execution unit(s). In one embodiment, higher-level cache is a last-level data cache—last cache in the memory hierarchy on processor 2100—such as a second or third level data cache. However, higher level cache is not so limited, as it may be associated with or include an instruction cache. A trace cache—a type of instruction cache—instead may be coupled after decoder 2125 to store recently decoded traces. Here, an instruction potentially refers to a macro-instruction (i.e. a general instruction recognized by the decoders), which may decode into a number of micro-instructions (micro-operations).
  • In the depicted configuration, processor 2100 also includes on-chip interface module 2110. Historically, a memory controller, which is described in more detail below, has been included in a computing system external to processor 2100. In this scenario, on-chip interface 2110 is to communicate with devices external to processor 2100, such as system memory 2175, a chipset (often including a memory controller hub to connect to memory 2175 and an I/O controller hub to connect peripheral devices), a memory controller hub, a northbridge, or other integrated circuit. And in this scenario, bus 2105 may include any known interconnect, such as multi-drop bus, a point-to-point interconnect, a serial interconnect, a parallel bus, a coherent (e.g. cache coherent) bus, a layered protocol architecture, a differential bus, and a GTL bus.
  • Memory 2175 may be dedicated to processor 2100 or shared with other devices in a system. Common examples of types of memory 2175 include DRAM, SRAM, non-volatile memory (NV memory), and other known storage devices. Note that device 2180 may include a graphic accelerator, processor or card coupled to a memory controller hub, data storage coupled to an I/O controller hub, a wireless transceiver, a flash device, an audio controller, a network controller, or other known device.
  • Recently however, as more logic and devices are being integrated on a single die, such as SOC, each of these devices may be incorporated on processor 2100. For example in one embodiment, a memory controller hub is on the same package and/or die with processor 2100. Here, a portion of the core (an on-core portion) 2110 includes one or more controller(s) for interfacing with other devices such as memory 2175 or a graphics device 2180. The configuration including an interconnect and controllers for interfacing with such devices is often referred to as an on-core (or un-core configuration). As an example, on-chip interface 2110 includes a ring interconnect for on-chip communication and a high-speed serial point-to-point link 2105 for off-chip communication. Yet, in the SOC environment, even more devices, such as the network interface, co-processors, memory 2175, graphics processor 2180, and any other known computer devices/interface may be integrated on a single die or integrated circuit to provide small form factor with high functionality and low power consumption.
  • In one embodiment, processor 2100 is capable of executing a compiler, optimization, and/or translator code 2177 to compile, translate, and/or optimize application code 2176 to support the apparatus and methods described herein or to interface therewith. A compiler often includes a program or set of programs to translate source text/code into target text/code. Usually, compilation of program/application code with a compiler is done in multiple phases and passes to transform hi-level programming language code into low-level machine or assembly language code. Yet, single pass compilers may still be utilized for simple compilation. A compiler may utilize any known compilation techniques and perform any known compiler operations, such as lexical analysis, preprocessing, parsing, semantic analysis, code generation, code transformation, and code optimization.
  • Larger compilers often include multiple phases, but most often these phases are included within two general phases: (1) a front-end, i.e. generally where syntactic processing, semantic processing, and some transformation/optimization may take place, and (2) a back-end, i.e. generally where analysis, transformations, optimizations, and code generation takes place. Some compilers refer to a middle, which illustrates the blurring of delineation between a front-end and back end of a compiler. As a result, reference to insertion, association, generation, or other operation of a compiler may take place in any of the aforementioned phases or passes, as well as any other known phases or passes of a compiler. As an illustrative example, a compiler potentially inserts operations, calls, functions, etc. in one or more phases of compilation, such as insertion of calls/operations in a front-end phase of compilation and then transformation of the calls/operations into lower-level code during a transformation phase. Note that during dynamic compilation, compiler code or dynamic optimization code may insert such operations/calls, as well as optimize the code for execution during runtime. As a specific illustrative example, binary code (already compiled code) may be dynamically optimized during runtime. Here, the program code may include the dynamic optimization code, the binary code, or a combination thereof.
  • Similar to a compiler, a translator, such as a binary translator, translates code either statically or dynamically to optimize and/or translate code. Therefore, reference to execution of code, application code, program code, or other software environment may refer to: (1) execution of a compiler program(s), optimization code optimizer, or translator either dynamically or statically, to compile program code, to maintain software structures, to perform other operations, to optimize code, or to translate code; (2) execution of main program code including operations/calls, such as application code that has been optimized/compiled; (3) execution of other program code, such as libraries, associated with the main program code to maintain software structures, to perform other software related operations, or to optimize code; or (4) a combination thereof.
  • While the present invention has been described with respect to a limited number of embodiments, those skilled in the art will appreciate numerous modifications and variations therefrom. It is intended that the appended claims cover all such modifications and variations as fall within the true spirit and scope of this present invention.
  • A design may go through various stages, from creation to simulation to fabrication. Data representing a design may represent the design in a number of manners. First, as is useful in simulations, the hardware may be represented using a hardware description language or another functional description language. Additionally, a circuit level model with logic and/or transistor gates may be produced at some stages of the design process. Furthermore, most designs, at some stage, reach a level of data representing the physical placement of various devices in the hardware model. In the case where conventional semiconductor fabrication techniques are used, the data representing the hardware model may be the data specifying the presence or absence of various features on different mask layers for masks used to produce the integrated circuit. In any representation of the design, the data may be stored in any form of a machine readable medium. A memory or a magnetic or optical storage such as a disc may be the machine readable medium to store information transmitted via optical or electrical wave modulated or otherwise generated to transmit such information. When an electrical carrier wave indicating or carrying the code or design is transmitted, to the extent that copying, buffering, or re-transmission of the electrical signal is performed, a new copy is made. Thus, a communication provider or a network provider may store on a tangible, machine-readable medium, at least temporarily, an article, such as information encoded into a carrier wave, embodying techniques of embodiments of the present invention.
  • A module as used herein refers to any combination of hardware, software, and/or firmware. As an example, a module includes hardware, such as a micro-controller, associated with a non-transitory medium to store code adapted to be executed by the micro-controller. Therefore, reference to a module, in one embodiment, refers to the hardware, which is specifically configured to recognize and/or execute the code to be held on a non-transitory medium. Furthermore, in another embodiment, use of a module refers to the non-transitory medium including the code, which is specifically adapted to be executed by the microcontroller to perform predetermined operations. And as can be inferred, in yet another embodiment, the term module (in this example) may refer to the combination of the microcontroller and the non-transitory medium. Often module boundaries that are illustrated as separate commonly vary and potentially overlap. For example, a first and a second module may share hardware, software, firmware, or a combination thereof, while potentially retaining some independent hardware, software, or firmware. In one embodiment, use of the term logic includes hardware, such as transistors, registers, or other hardware, such as programmable logic devices.
  • Use of the phrase ‘configured to,’ in one embodiment, refers to arranging, putting together, manufacturing, offering to sell, importing and/or designing an apparatus, hardware, logic, or element to perform a designated or determined task. In this example, an apparatus or element thereof that is not operating is still ‘configured to’ perform a designated task if it is designed, coupled, and/or interconnected to perform said designated task. As a purely illustrative example, a logic gate may provide a 0 or a 1 during operation. But a logic gate ‘configured to’ provide an enable signal to a clock does not include every potential logic gate that may provide a 1 or 0. Instead, the logic gate is one coupled in some manner that during operation the 1 or 0 output is to enable the clock. Note once again that use of the term ‘configured to’ does not require operation, but instead focus on the latent state of an apparatus, hardware, and/or element, where in the latent state the apparatus, hardware, and/or element is designed to perform a particular task when the apparatus, hardware, and/or element is operating.
  • Furthermore, use of the phrases ‘to,’ ‘capable of/to,’ and or ‘operable to,’ in one embodiment, refers to some apparatus, logic, hardware, and/or element designed in such a way to enable use of the apparatus, logic, hardware, and/or element in a specified manner. Note as above that use of to, capable to, or operable to, in one embodiment, refers to the latent state of an apparatus, logic, hardware, and/or element, where the apparatus, logic, hardware, and/or element is not operating but is designed in such a manner to enable use of an apparatus in a specified manner.
  • A value, as used herein, includes any known representation of a number, a state, a logical state, or a binary logical state. Often, the use of logic levels, logic values, or logical values is also referred to as 1's and 0's, which simply represents binary logic states. For example, a 1 refers to a high logic level and 0 refers to a low logic level. In one embodiment, a storage cell, such as a transistor or flash cell, may be capable of holding a single logical value or multiple logical values. However, other representations of values in computer systems have been used. For example the decimal number ten may also be represented as a binary value of 2110 and a hexadecimal letter A. Therefore, a value includes any representation of information capable of being held in a computer system.
  • Moreover, states may be represented by values or portions of values. As an example, a first value, such as a logical one, may represent a default or initial state, while a second value, such as a logical zero, may represent a non-default state. In addition, the terms reset and set, in one embodiment, refer to a default and an updated value or state, respectively. For example, a default value potentially includes a high logical value, i.e. reset, while an updated value potentially includes a low logical value, i.e. set. Note that any combination of values may be utilized to represent any number of states.
  • The embodiments of methods, hardware, software, firmware or code set forth above may be implemented via instructions or code stored on a machine-accessible, machine readable, computer accessible, or computer readable medium which are executable by a processing element. A non-transitory machine-accessible/readable medium includes any mechanism that provides (i.e., stores and/or transmits) information in a form readable by a machine, such as a computer or electronic system. For example, a non-transitory machine-accessible medium includes random-access memory (RAM), such as static RAM (SRAM) or dynamic RAM (DRAM); ROM; magnetic or optical storage medium; flash memory devices; electrical storage devices; optical storage devices; acoustical storage devices; other form of storage devices for holding information received from transitory (propagated) signals (e.g., carrier waves, infrared signals, digital signals); etc, which are to be distinguished from the non-transitory mediums that may receive information there from.
  • Instructions used to program logic to perform embodiments of the invention may be stored within a memory in the system, such as DRAM, cache, flash memory, or other storage. Furthermore, the instructions can be distributed via a network or by way of other computer readable media. Thus a machine-readable medium may include any mechanism for storing or transmitting information in a form readable by a machine (e.g., a computer), but is not limited to, floppy diskettes, optical disks, Compact Disc, Read-Only Memory (CD-ROMs), and magneto-optical disks, Read-Only Memory (ROMs), Random Access Memory (RAM), Erasable Programmable Read-Only Memory (EPROM), Electrically Erasable Programmable Read-Only Memory (EEPROM), magnetic or optical cards, flash memory, or a tangible, machine-readable storage used in the transmission of information over the Internet via electrical, optical, acoustical or other forms of propagated signals (e.g., carrier waves, infrared signals, digital signals, etc.). Accordingly, the computer-readable medium includes any type of tangible machine-readable medium suitable for storing or transmitting electronic instructions or information in a form readable by a machine (e.g., a computer).
  • The following examples pertain to embodiments in accordance with this Specification. One or more embodiments may provide an apparatus, a system, a machine readable storage, a machine readable medium, and a method to receive a request that is to reference a first agent and to request a particular line of memory to be cached in an exclusive state, send a snoop request intended for one or more other agents, receive a snoop response that is to reference a second agent, the snoop response to include a writeback to memory of a modified cache line that is to correspond to the particular line of memory, and send a complete to be addressed to the first agent, wherein the complete is to include data of the particular line of memory based on the writeback.
  • In at least one example, the modified cache line is written to the particular line of memory.
  • In at least one example, it is determined that the cache line of the second agent is a modified cache line. The complete can be to be sent prior to receiving responses to all of the snoop requests corresponding to the request from the first agent based on determining that the cache line of the second agent is a modified cache line.
  • In at least one example, the snoop request comprises a snoop invalidate request. The snoop invalidate request can be to invalidate the cache of the receiving other agent corresponding to the particular line or memory. The snoop invalidate request can identify the particular line of memory and a command included in the request from the first agent.
  • In at least one example, a directory state can be transitioned to indicate that the particular line of memory is associated with an exclusive state.
  • One or more embodiments may provide an apparatus, a system, a machine readable storage, a machine readable medium, and a method to receive a request that is to send a request for a particular cache line in an exclusive state, and receive data from memory corresponding to the particular cache line, wherein the particular data comprises data written-back to memory by another agent following the request.
  • In at least one example, the particular cache line is in an invalid state prior to the request.
  • In at least one example, the exclusive state is an E-state indicating that a copy of the data in the particular cache line matches the memory and is an exclusive copy.
  • In at least one example, the particular data is copied to the particular cache line. The particular cache line can be transitioned to an exclusive state based on receiving the particular data.
  • In at least one example, the data written-back to memory by another agent comprises data returned in response to a snoop corresponding to the request for the particular cache line in an exclusive state.
  • In at least one example, the snoop is one of a plurality of snoops and the particular data is to be received prior to responses being returned for each of the snoop requests.
  • One or more embodiments may provide an apparatus, a system, a machine readable storage, a machine readable medium, and a method to receive a request that is to receive an explicit writeback request, wherein the explicit writeback request is to correspond to a modified cache line that is to correspond to a particular line of memory, and the explicit writeback request is to include a hint to indicate that another cache is to request the particular line of memory, determine whether to push data of the modified cache line to the other cache prior to writing the data of the modified cache line to the particular line of memory, and send a complete to correspond to the explicit writeback request.
  • In at least one example, determining not to push the data is to cause the data of the modified cache line to be written to the particular line of memory.
  • In at least one example, the data of the modified cache line is not to be pushed to the other cache.
  • In at least one example, a directory state corresponding to the particular line of memory can be transitioned from an exclusive state to an invalid state.
  • In at least one example, determining to push the data is to cause the data of the modified cache line to be sent to a first cache agent corresponding to the other cache to write the data of the modified cache line to be written to a corresponding cache line of the other cache.
  • In at least one example, a directory state corresponding to the particular line of memory is to transition to a state indicating that the other cache has an exclusive copy of the particular line of memory.
  • In at least one example, the explicit writeback request comprises a single coherence protocol request from a different, second cache agent corresponding to the modified cache line.
  • In at least one example, determining to push the data comprises determining whether the other cache is a local cache.
  • In at least one example, determining to push the data comprises determining whether there are other outstanding requests for the particular line of memory.
  • One or more embodiments may provide an apparatus, a system, a machine readable storage, a machine readable medium, and a method to receive a request that is to send an explicit writeback request to a home agent, wherein the explicit writeback request is to correspond to a modified cache line that is to correspond to a particular line of memory, the explicit writeback request is to include a hint to indicate that another cache is to request the particular line of memory, and receive a completion from the home agent for the explicit writeback request.
  • In at least one example, the modified cache line is to transition from a modified state to an invalid state following the sending of the explicit writeback request.
  • In at least one example, the explicit writeback request is to cause data of the modified cache line to be written to the other cache without being written to the particular line of memory.
  • In at least one example, the explicit writeback request comprises a single coherence protocol request.
  • In at least one example, the explicit writeback request is to identify the other cache.
  • One or more embodiments may provide an apparatus, a system, a machine readable storage, a machine readable medium, and a method to receive a request that is to receive a writeback flush message, identify a set of pending writes of the memory controller to a particular persistent memory, and write all of the set of pending writes to the particular memory based on the writeback flush message.
  • In at least one example, the writeback flush message comprises a coherence protocol message.
  • In at least one example, the writeback flush message generated by a cache agent.
  • In at least one example, the set of pending writes comprises all pending writes of the memory controller.
  • The apparatus of claim 40, wherein the writeback flush message is to identify the memory controller.
  • In at least one example, the writeback flush message is to identify a memory address corresponding to the particular memory.
  • In at least one example, the writeback flush message corresponds to a power failure management activity.
  • One or more embodiments may provide an apparatus, a system, a machine readable storage, a machine readable medium, and a method to receive a request that is to identify that a particular line of a cache is in a forward state, receive a request that corresponds to the particular line of the cache, determine whether to retain the forward state following a response to the request, and respond to the request.
  • In at least one example, determining whether to retain the forward state includes determining a value of a configuration parameter for the agent, wherein a value of the configuration parameter identifies whether or not the forward state is to be retained.
  • In at least one example, the value of the configuration parameter can be changed. Determining whether to retain the forward state can include determining to retain the forward state following the response. Determining whether to retain the forward state can include determining to transition from the forward state following the response. In at least one example, the forward state is to transition from the forward state to a shared state. In at least one example, the forward state is to transition from the forward state to the invalid state.
  • In at least one example, the request comprises a snoop. Responding to the request can include forwarding data from the particular line of cache to another agent.
  • One or more embodiments may provide an apparatus, a system, a machine readable storage, a machine readable medium, and a method to receive a request that is to provide an agent including protocol layer logic to generate a fanout snoop request, and routing layer logic to identify a plurality of agents to receive a snoop according to the fanout snoop request, and send snoop requests to each of the plurality of agents.
  • In at least one example, the plurality of agents is identified from a configuration parameter identifying each agent in a corresponding fanouot cone.
  • In at least one example, the configuration parameter is to identify each agent by address.
  • In at least one example, it can be determined whether a fanout snoop can be used to snoop one or more agents.
  • In at least one example, the agent is a home agent and the snoop requests can each comprise a snoop to obtain cache data in anyone of a forward or shared state.
  • In at least one example, the snoop requests each comprise a snoop to obtain cache data in anyone of a modified, exclusive, forward, or shared state.
  • In at least one example, the snoop requests each comprise a snoop to obtain cache data in anyone of a modified or exclusive state.
  • In at least one example, the snoop requests each comprise a snoop to the cache of the respective agent, wherein data in modified state is to be flushed to memory.
  • In at least one example, snoop responses can be received for one or more of the snoop requests.
  • One or more examples can further provide an agent including a layered protocol stack including a protocol layer, wherein the protocol layer is to initiate a read invalidate request that is to accept exclusive coherency state data.
  • One or more examples can further provide an agent including a layered protocol stack including a protocol layer, wherein the protocol layer is to initiate an invalidate that is to request exclusive ownership of a cache line without receiving data and with an indication of writing back the cache line.
  • In at least one example, writing back the cache line is within a near time frame.
  • One or more examples can further provide an agent including a layered protocol stack including a protocol layer, wherein the protocol layer is to initiate a write-back flush request that is to cause a flush of data to persistent memory.
  • One or more examples can further provide an agent including a layered protocol stack including a protocol layer, wherein the protocol layer is to initiate a single fanout snoop request that is to cause a snoop request to be generated to peer agents within a fanout cone.
  • One or more examples can further provide an agent including a layered protocol stack including a protocol layer, wherein the protocol layer is to initiate an explicit writeback request with cache-push hint to a home agent that a referenced cache line may be pushed to a local cache without writing the data to memory.
  • In at least one example, the cache line may be storing in M state.
  • One or more examples can further provide an agent including a layered protocol stack including a protocol layer, wherein the protocol layer is to initiate a forward of shared data, while maintaining a forward state to be associated with the shared data.
  • Reference throughout this specification to “one embodiment” or “an embodiment” means that a particular feature, structure, or characteristic described in connection with the embodiment is included in at least one embodiment of the present invention. Thus, the appearances of the phrases “in one embodiment” or “in an embodiment” in various places throughout this specification are not necessarily all referring to the same embodiment. Furthermore, the particular features, structures, or characteristics may be combined in any suitable manner in one or more embodiments.
  • In the foregoing specification, a detailed description has been given with reference to specific exemplary embodiments. It will, however, be evident that various modifications and changes may be made thereto without departing from the broader spirit and scope of the invention as set forth in the appended claims. The specification and drawings are, accordingly, to be regarded in an illustrative sense rather than a restrictive sense. Furthermore, the foregoing use of embodiment and other exemplarily language does not necessarily refer to the same embodiment or the same example, but may refer to different and distinct embodiments, as well as potentially the same embodiment.

Claims (25)

1-73. (canceled)
74. An apparatus comprising:
a node comprising at least one processor, a cache, and a first coherence agent to:
receive a snoop request, wherein the snoop request is to be received from a home agent, the snoop request comprises a snoop invalidate request, the snoop request is to correspond to a request sent to the home agent by a second coherence agent, and the snoop request comprises an address field encoded with a node identifier (NID) of the home agent;
generate a snoop response, wherein the snoop response comprises a command field, a destination NID field, a home transaction identifier (TID) field, and data, wherein the command field is to be encoded to indicate that the snoop response comprises an implicit writeback, the destination NID field is encoded with the NID of the home agent, the home TID field is encoded to identify a resource allocated by the home agent to process the snoop request, and the data is to be written back to memory;
send the snoop response to the home agent; and
change a state of the cache based on the snoop response.
75. The apparatus of claim 74, wherein the state of the cache is one of a set of defined states.
76. The apparatus of claim 75, wherein the set of defined states comprise a modified state, an exclusive state, a shared state, and an invalid state.
77. The apparatus of claim 74, wherein the state of cache is changed to an invalid state.
78. The apparatus of claim 77, wherein the state of the cache is changed from a modified state to the invalid state.
79. The apparatus of claim 78, wherein the snoop response is to comprise the implicit writeback based on the modified state.
80. The apparatus of claim 74, wherein the snoop invalidate request is based on an exclusive directory state associated with the home agent.
81. The apparatus of claim 74, wherein the data is written back to memory based on the implicit writeback.
82. The apparatus of claim 81, wherein the data is flushed from the cache concurrently with the writing back of the data to memory.
83. A method comprising:
receiving at a home agent of a memory, a read request from a first caching agent, wherein the read request corresponds to a particular line of the memory;
sending a snoop request to a second caching agent responsive to the read request, wherein the snoop request comprises a command field, an address field, a destination node identifier (NID) field, a requesting (NID) field, a requesting transaction identifier (TID) field, and a home TID field, wherein the command field of the snoop request is encoded to indicate that the snoop request comprises a snoop invalidate and the home TID field of the snoop request identifies a resource allocated by the home agent to process the snoop request;
receiving a snoop response from the second caching agent responsive to the snoop request, wherein the snoop response comprises a command field, a destination NID field, a home TID field, and data from a cache corresponding to the second caching agent, wherein the command field of the snoop response is encoded to indicate that the snoop response comprises an implicit writeback;
writing the data to the particular line of the memory;
changing a state of the particular line of the memory to an invalid state based on writing the data to the particular line;
sending a completion to the first caching agent responsive to the read request; and
changing the state of the particular line of the memory to an exclusive state based on the completion.
84. The method of claim 83, wherein the state of the particular line of memory is changed from an exclusive state to the invalid state.
85. The method of claim 83, wherein the read request comprises a request to cause the first caching agent to obtain ownership of the particular line of the memory in an exclusive state.
86. The method of claim 85, wherein the completion comprises no data.
87. The method of claim 83, wherein the completion comprises the data.
88. The method of claim 83, wherein the read request comprises a read invalidate (RdInv) request.
89. The method of claim 88, wherein the read invalidate request corresponds to an unknown directory state corresponding to the particular line of the memory.
90. The method of claim 88, wherein the read invalidate request indicates that an exclusive copy of the particular line is requested.
91. At least one non-transitory machine accessible storage medium having instructions stored thereon, the instructions when executed on a machine, cause the machine to:
receive a snoop request, wherein the snoop request is to be received from a home agent, the snoop request comprises a snoop invalidate request, the snoop request is to correspond to a request sent to the home agent by a second coherence agent, and the snoop request comprises an address field encoded with a node identifier (NID) of the home agent;
generate a snoop response, wherein the snoop response comprises a command field, a destination NID field, a home transaction identifier (TID) field, and data, wherein the command field is to be encoded to indicate that the snoop response comprises an implicit writeback, the destination NID field is encoded with the NID of the home agent, the home TID field is encoded to identify a resource allocated by the home agent to process the snoop request, and the data is to be written back to memory;
send the snoop response to the home agent; and
change a state of the cache based on the snoop response.
92. At least one non-transitory machine accessible storage medium having instructions stored thereon, the instructions when executed on a machine, cause the machine to:
send a snoop request to a second caching agent responsive to the read request, wherein the snoop request comprises a command field, an address field, a destination node identifier (NID) field, a requesting (NID) field, a requesting transaction identifier (TID) field, and a home TID field, wherein the command field of the snoop request is encoded to indicate that the snoop request comprises a snoop invalidate and the home TID field of the snoop request identifies a resource allocated by the home agent to process the snoop request;
receive a snoop response from the second caching agent responsive to the snoop request, wherein the snoop response comprises a command field, a destination NID field, a home TID field, and data from a cache corresponding to the second caching agent, wherein the command field of the snoop response is encoded to indicate that the snoop response comprises an implicit writeback;
write the data to the particular line of the memory;
change a state of the particular line of the memory to an invalid state based on writing the data to the particular line;
send a completion to the first caching agent responsive to the read request; and
change the state of the particular line of the memory to an exclusive state based on the completion.
93. A system comprising:
a first node comprising a first processor, a first cache, and a first caching agent;
a second node comprising a second processor, a second cache, and a second caching agent; and
a third node comprising a third processor, a memory, and a third caching agent, wherein the third caching agent comprises protocol logic to:
receive a read request from the first caching agent for data from a particular line of the memory;
send a snoop request to the second caching agent responsive to the read request, wherein the snoop request comprises a command field encoded to indicate that the snoop request comprises a snoop invalidate;
receive a snoop response from the second caching agent responsive to the snoop request, wherein the snoop response comprises data from the second cache and a command field encoded to indicate that the snoop response comprises an implicit writeback;
write the data to the particular line of the memory responsive to the snoop response;
change a directory state of the particular line to an invalid state based on the implicit writeback;
send a completion to the first caching agent responsive to the read request, wherein the completion comprises the data; and
change the directory state of the particular line to an exclusive state based on sending the completion.
94. The system of claim 93, wherein the third caching agent comprises a home agent.
95. The system of claim 93, wherein the first, second, and third nodes are interconnected by a plurality of links in the system.
96. The system of claim 95, wherein the plurality of links are according to a cache coherent multilayer interconnect protocol.
97. A system comprising:
means to receive a snoop request, wherein the snoop request is to be received from a home agent, the snoop request comprises a snoop invalidate request, the snoop request is to correspond to a request sent to the home agent by a second coherence agent, and the snoop request comprises an address field encoded with a node identifier (NID) of the home agent;
means to generate a snoop response, wherein the snoop response comprises a command field, a destination NID field, a home transaction identifier (TID) field, and data, wherein the command field is to be encoded to indicate that the snoop response comprises an implicit writeback, the destination NID field is encoded with the NID of the home agent, the home TID field is encoded to identify a resource allocated by the home agent to process the snoop request, and the data is to be written back to memory;
means to send the snoop response to the home agent; and
means to change a state of the cache based on the snoop response.
US15/393,577 2012-10-22 2016-12-29 High performance interconnect coherence protocol Abandoned US20170109286A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US15/393,577 US20170109286A1 (en) 2012-10-22 2016-12-29 High performance interconnect coherence protocol

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261717091P 2012-10-22 2012-10-22
PCT/US2013/032651 WO2014065875A1 (en) 2012-10-22 2013-03-15 High performance interconnect coherence protocol
US13/976,954 US20140201463A1 (en) 2012-10-22 2013-03-15 High performance interconnect coherence protocol
US14/554,532 US20150081984A1 (en) 2012-10-22 2014-11-26 High performance interconnect coherence protocol
US15/393,577 US20170109286A1 (en) 2012-10-22 2016-12-29 High performance interconnect coherence protocol

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US14/554,532 Continuation US20150081984A1 (en) 2012-10-22 2014-11-26 High performance interconnect coherence protocol

Publications (1)

Publication Number Publication Date
US20170109286A1 true US20170109286A1 (en) 2017-04-20

Family

ID=50485278

Family Applications (20)

Application Number Title Priority Date Filing Date
US13/976,960 Active 2033-10-19 US9418035B2 (en) 2012-10-22 2013-03-15 High performance interconnect physical layer
US13/976,971 Active 2033-10-09 US9378171B2 (en) 2012-10-22 2013-03-15 High performance interconnect physical layer
US13/976,954 Abandoned US20140201463A1 (en) 2012-10-22 2013-03-15 High performance interconnect coherence protocol
US14/437,612 Active 2033-10-03 US9753885B2 (en) 2012-10-22 2013-03-16 Multislot link layer flit wherein flit includes three or more slots whereby each slot comprises respective control field and respective payload field
US14/060,191 Active 2034-01-01 US9626321B2 (en) 2012-10-22 2013-10-22 High performance interconnect
US14/538,897 Abandoned US20150067207A1 (en) 2012-10-22 2014-11-12 High performance interconnect physical layer
US14/554,532 Abandoned US20150081984A1 (en) 2012-10-22 2014-11-26 High performance interconnect coherence protocol
US15/193,697 Active US9892086B2 (en) 2012-10-22 2016-06-27 High performance interconnect physical layer
US15/237,291 Active US9916266B2 (en) 2012-10-22 2016-08-15 High performance interconnect physical layer
US15/393,153 Active 2034-01-11 US10248591B2 (en) 2012-10-22 2016-12-28 High performance interconnect
US15/393,577 Abandoned US20170109286A1 (en) 2012-10-22 2016-12-29 High performance interconnect coherence protocol
US15/692,613 Active US10204064B2 (en) 2012-10-22 2017-08-31 Multislot link layer flit wherein flit includes three or more slots whereby each slot comprises respective control field and respective payload field
US15/821,401 Active US10216661B2 (en) 2012-10-22 2017-11-22 High performance interconnect physical layer
US15/918,895 Active US10380046B2 (en) 2012-10-22 2018-03-12 High performance interconnect physical layer
US16/285,035 Abandoned US20190391939A1 (en) 2012-10-22 2019-02-25 High performance interconnect
US16/525,454 Active US10909055B2 (en) 2012-10-22 2019-07-29 High performance interconnect physical layer
US16/937,499 Active US11269793B2 (en) 2012-10-22 2020-07-23 High performance interconnect
US17/134,242 Active 2034-03-17 US11741030B2 (en) 2012-10-22 2020-12-25 High performance interconnect
US17/556,853 Pending US20220114122A1 (en) 2012-10-22 2021-12-20 High performance interconnect
US18/347,236 Pending US20240012772A1 (en) 2012-10-22 2023-07-05 High performance interconnect

Family Applications Before (10)

Application Number Title Priority Date Filing Date
US13/976,960 Active 2033-10-19 US9418035B2 (en) 2012-10-22 2013-03-15 High performance interconnect physical layer
US13/976,971 Active 2033-10-09 US9378171B2 (en) 2012-10-22 2013-03-15 High performance interconnect physical layer
US13/976,954 Abandoned US20140201463A1 (en) 2012-10-22 2013-03-15 High performance interconnect coherence protocol
US14/437,612 Active 2033-10-03 US9753885B2 (en) 2012-10-22 2013-03-16 Multislot link layer flit wherein flit includes three or more slots whereby each slot comprises respective control field and respective payload field
US14/060,191 Active 2034-01-01 US9626321B2 (en) 2012-10-22 2013-10-22 High performance interconnect
US14/538,897 Abandoned US20150067207A1 (en) 2012-10-22 2014-11-12 High performance interconnect physical layer
US14/554,532 Abandoned US20150081984A1 (en) 2012-10-22 2014-11-26 High performance interconnect coherence protocol
US15/193,697 Active US9892086B2 (en) 2012-10-22 2016-06-27 High performance interconnect physical layer
US15/237,291 Active US9916266B2 (en) 2012-10-22 2016-08-15 High performance interconnect physical layer
US15/393,153 Active 2034-01-11 US10248591B2 (en) 2012-10-22 2016-12-28 High performance interconnect

Family Applications After (9)

Application Number Title Priority Date Filing Date
US15/692,613 Active US10204064B2 (en) 2012-10-22 2017-08-31 Multislot link layer flit wherein flit includes three or more slots whereby each slot comprises respective control field and respective payload field
US15/821,401 Active US10216661B2 (en) 2012-10-22 2017-11-22 High performance interconnect physical layer
US15/918,895 Active US10380046B2 (en) 2012-10-22 2018-03-12 High performance interconnect physical layer
US16/285,035 Abandoned US20190391939A1 (en) 2012-10-22 2019-02-25 High performance interconnect
US16/525,454 Active US10909055B2 (en) 2012-10-22 2019-07-29 High performance interconnect physical layer
US16/937,499 Active US11269793B2 (en) 2012-10-22 2020-07-23 High performance interconnect
US17/134,242 Active 2034-03-17 US11741030B2 (en) 2012-10-22 2020-12-25 High performance interconnect
US17/556,853 Pending US20220114122A1 (en) 2012-10-22 2021-12-20 High performance interconnect
US18/347,236 Pending US20240012772A1 (en) 2012-10-22 2023-07-05 High performance interconnect

Country Status (9)

Country Link
US (20) US9418035B2 (en)
EP (2) EP3410304B1 (en)
JP (2) JP6139689B2 (en)
KR (27) KR101828756B1 (en)
CN (26) CN107045479B (en)
BR (1) BR112015006432A2 (en)
DE (14) DE112013005090T5 (en)
RU (2) RU2599971C2 (en)
WO (11) WO2014065875A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10303605B2 (en) * 2016-07-20 2019-05-28 Intel Corporation Increasing invalid to modified protocol occurrences in a computing system
US20220100669A1 (en) * 2020-09-28 2022-03-31 Samsung Electronics Co., Ltd. Smart storage device

Families Citing this family (196)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9755997B2 (en) * 2012-01-13 2017-09-05 Intel Corporation Efficient peer-to-peer communication support in SoC fabrics
US8943255B2 (en) * 2012-05-29 2015-01-27 Lsi Corporation Methods and structure for accounting for connection resets between peripheral component interconnect express bridges and host devices
US9479196B2 (en) 2012-10-22 2016-10-25 Intel Corporation High performance interconnect link layer
KR101828756B1 (en) 2012-10-22 2018-02-12 인텔 코포레이션 High performance interconnect coherence protocol
US9355058B2 (en) 2012-10-22 2016-05-31 Intel Corporation High performance interconnect physical layer
US9280507B2 (en) 2012-10-22 2016-03-08 Intel Corporation High performance interconnect physical layer
US9367474B2 (en) * 2013-06-12 2016-06-14 Apple Inc. Translating cache hints
US20150006962A1 (en) * 2013-06-27 2015-01-01 Robert C. Swanson Memory dump without error containment loss
CN104579605B (en) * 2013-10-23 2018-04-10 华为技术有限公司 A kind of data transmission method and device
US9397792B2 (en) 2013-12-06 2016-07-19 Intel Corporation Efficient link layer retry protocol utilizing implicit acknowledgements
US9325449B2 (en) 2013-12-06 2016-04-26 Intel Corporation Lane error detection and lane removal mechanism to reduce the probability of data corruption
US9306863B2 (en) * 2013-12-06 2016-04-05 Intel Corporation Link transfer, bit error detection and link retry using flit bundles asynchronous to link fabric packets
JP6221717B2 (en) * 2013-12-12 2017-11-01 富士通株式会社 Storage device, storage system, and data management program
CN105793828B (en) 2013-12-26 2019-06-21 英特尔公司 The system and method quickly enhanced with PCI
WO2015099719A1 (en) * 2013-12-26 2015-07-02 Intel Corporation Multichip package link
US9594719B2 (en) 2014-02-03 2017-03-14 Valens Semiconductor Ltd. Seamless addition of high bandwidth lanes
US9628382B2 (en) 2014-02-05 2017-04-18 Intel Corporation Reliable transport of ethernet packet data with wire-speed and packet data rate match
CN105095147B (en) * 2014-05-21 2018-03-13 华为技术有限公司 The Flit transmission methods and device of network-on-chip
RU2608881C2 (en) * 2014-05-28 2017-01-25 Общество С Ограниченной Ответственностью "Яндекс" Method and system for controlling turbo mode
US9733847B2 (en) 2014-06-02 2017-08-15 Micron Technology, Inc. Systems and methods for transmitting packets in a scalable memory system protocol
US9619214B2 (en) 2014-08-13 2017-04-11 International Business Machines Corporation Compiler optimizations for vector instructions
US9571465B1 (en) 2014-09-18 2017-02-14 Amazon Technologies, Inc. Security verification by message interception and modification
US9904645B2 (en) * 2014-10-31 2018-02-27 Texas Instruments Incorporated Multicore bus architecture with non-blocking high performance transaction credit system
US9870328B2 (en) * 2014-11-14 2018-01-16 Cavium, Inc. Managing buffered communication between cores
US20160139204A1 (en) * 2014-11-14 2016-05-19 Xpliant, Inc. Testbench builder, system, device and method including a generic driver and transporter
US9665505B2 (en) 2014-11-14 2017-05-30 Cavium, Inc. Managing buffered communication between sockets
US20160173398A1 (en) * 2014-12-12 2016-06-16 Intel Corporation Method, Apparatus And System For Encoding Command Information In a Packet-Based Network
US9921768B2 (en) * 2014-12-18 2018-03-20 Intel Corporation Low power entry in a shared memory link
US9444551B2 (en) * 2014-12-19 2016-09-13 Intel Corporation High performance optical repeater
US9740646B2 (en) * 2014-12-20 2017-08-22 Intel Corporation Early identification in transactional buffered memory
US9632862B2 (en) 2014-12-20 2017-04-25 Intel Corporation Error handling in transactional buffered memory
US10025746B2 (en) * 2014-12-20 2018-07-17 Intel Corporation High performance interconnect
US9785556B2 (en) * 2014-12-23 2017-10-10 Intel Corporation Cross-die interface snoop or global observation message ordering
US20160188519A1 (en) * 2014-12-27 2016-06-30 Intel Corporation Method, apparatus, system for embedded stream lanes in a high-performance interconnect
CN104536929A (en) * 2015-01-14 2015-04-22 浪潮(北京)电子信息产业有限公司 Physical layer initialization method and client terminals
US9998434B2 (en) * 2015-01-26 2018-06-12 Listat Ltd. Secure dynamic communication network and protocol
US20160285624A1 (en) * 2015-03-26 2016-09-29 Intel Corporation Pseudorandom bit sequences in an interconnect
US9946676B2 (en) * 2015-03-26 2018-04-17 Intel Corporation Multichip package link
US9639276B2 (en) * 2015-03-27 2017-05-02 Intel Corporation Implied directory state updates
US10282315B2 (en) 2015-03-27 2019-05-07 Cavium, Llc Software assisted hardware configuration for software defined network system-on-chip
US9720838B2 (en) * 2015-03-27 2017-08-01 Intel Corporation Shared buffered memory routing
US9619396B2 (en) * 2015-03-27 2017-04-11 Intel Corporation Two level memory full line writes
US9760515B2 (en) 2015-04-06 2017-09-12 Qualcomm Incorporated Shared control of a phase locked loop (PLL) for a multi-port physical layer (PHY)
US10417128B2 (en) 2015-05-06 2019-09-17 Oracle International Corporation Memory coherence in a multi-core, multi-level, heterogeneous computer architecture implementing hardware-managed and software managed caches
US20160353357A1 (en) * 2015-05-27 2016-12-01 Qualcomm Incorporated Methods and systems for multiplexed communication in dense wireless environments
WO2016197345A1 (en) 2015-06-10 2016-12-15 华为技术有限公司 Signal transmission method, controller and signal transmission system
US9697145B2 (en) * 2015-06-12 2017-07-04 Apple Inc. Memory interface system
US10089275B2 (en) 2015-06-22 2018-10-02 Qualcomm Incorporated Communicating transaction-specific attributes in a peripheral component interconnect express (PCIe) system
US20160371222A1 (en) * 2015-06-22 2016-12-22 Qualcomm Incorporated COHERENCY DRIVEN ENHANCEMENTS TO A PERIPHERAL COMPONENT INTERCONNECT (PCI) EXPRESS (PCIe) TRANSACTION LAYER
KR102485999B1 (en) * 2015-07-01 2023-01-06 삼성전자주식회사 Cache coherent system including master-side filter and data processing system having the same
US9692589B2 (en) 2015-07-17 2017-06-27 Intel Corporation Redriver link testing
JP6665380B2 (en) * 2015-07-30 2020-03-13 ヴァレンス セミコンダクター リミテッド Seamless addition of high bandwidth lanes
JP6674085B2 (en) * 2015-08-12 2020-04-01 富士通株式会社 Arithmetic processing unit and control method of arithmetic processing unit
US9990291B2 (en) * 2015-09-24 2018-06-05 Qualcomm Incorporated Avoiding deadlocks in processor-based systems employing retry and in-order-response non-retry bus coherency protocols
DE112015006953T5 (en) 2015-09-26 2018-06-14 Intel Corporation TRAINING OF A VALID LANE
US9720439B2 (en) * 2015-09-26 2017-08-01 Intel Corporation Methods, apparatuses, and systems for deskewing link splits
WO2017052665A1 (en) * 2015-09-26 2017-03-30 Intel Corporation In-band margin probing on an operational interconnect
WO2017052662A1 (en) * 2015-09-26 2017-03-30 Intel Corporation Stream identifier lane protection
DE112015006961T5 (en) * 2015-09-26 2018-06-14 Intel Corporation CONNECTION FAULT DETECTION IN MULTIPLE CHIP HOUSING
GB2543745B (en) * 2015-10-15 2018-07-04 Advanced Risc Mach Ltd An apparatus and method for operating a virtually indexed physically tagged cache
US10698847B2 (en) 2016-03-01 2020-06-30 Qorvo Us, Inc. One wire bus to RFFE translation system
US10128964B2 (en) 2016-03-10 2018-11-13 Qualcomm Incorporated Multiphase preamble data sequences for receiver calibration and mode data signaling
US9779028B1 (en) 2016-04-01 2017-10-03 Cavium, Inc. Managing translation invalidation
CN105933286B (en) * 2016-04-05 2019-08-02 浪潮电子信息产业股份有限公司 A kind of method and device of indentification protocol
RU2643620C2 (en) * 2016-05-11 2018-02-02 федеральное государственное автономное образовательное учреждение высшего образования "Санкт-Петербургский политехнический университет Петра Великого" (ФГАОУ ВО "СПбПУ") Method of planning assignments of preparing data of internet of things for analyzing systems
US10713202B2 (en) * 2016-05-25 2020-07-14 Samsung Electronics Co., Ltd. Quality of service (QOS)-aware input/output (IO) management for peripheral component interconnect express (PCIE) storage system with reconfigurable multi-ports
US10503641B2 (en) * 2016-05-31 2019-12-10 Advanced Micro Devices, Inc. Cache coherence for processing in memory
US11144691B2 (en) * 2016-06-02 2021-10-12 Siemens Industry Software Inc. Virtual Ethernet mutable port group transactor
TWI613547B (en) * 2016-06-16 2018-02-01 新漢股份有限公司 Computer system having PCI-E redriver, and configurating method of the PCI-E redriver
US10103837B2 (en) * 2016-06-23 2018-10-16 Advanced Micro Devices, Inc. Asynchronous feedback training
US10484361B2 (en) * 2016-06-30 2019-11-19 Intel Corporation Systems, methods, and apparatuses for implementing a virtual device observation and debug network for high speed serial IOS
US10929059B2 (en) 2016-07-26 2021-02-23 MemRay Corporation Resistance switching memory-based accelerator
US10379904B2 (en) * 2016-08-31 2019-08-13 Intel Corporation Controlling a performance state of a processor using a combination of package and thread hint information
RU2016137176A (en) * 2016-09-16 2018-03-19 Оракл Интернэйшнл Корпорейшн LINKING THE TRANSFORMED SOURCE CODE TO THE ORIGINAL SOURCE CODE BY METADATA
US10255181B2 (en) * 2016-09-19 2019-04-09 Qualcomm Incorporated Dynamic input/output coherency
US10936045B2 (en) 2016-09-26 2021-03-02 Hewlett-Packard Development Company, L.P. Update memory management information to boot an electronic device from a reduced power mode
US10846258B2 (en) * 2016-09-30 2020-11-24 Intel Corporation Voltage modulated control lane
US10152446B2 (en) * 2016-10-01 2018-12-11 Intel Corporation Link-physical layer interface adapter
CN108121842B (en) * 2016-11-30 2021-04-27 深圳市中兴微电子技术有限公司 Method and device for verifying low-power-consumption working mode of multiprocessor system chip
CN106527576A (en) * 2016-12-01 2017-03-22 郑州云海信息技术有限公司 Clock separation designing method and system for PCIE device
CN108170370B (en) 2016-12-07 2021-01-26 慧荣科技股份有限公司 Data storage device and data transmission rate control method
TWI610179B (en) 2016-12-07 2018-01-01 慧榮科技股份有限公司 Host device and methods for controlling a data transfer speed
TWI633777B (en) * 2016-12-13 2018-08-21 威盛電子股份有限公司 Interface chip and test method therefor
KR20180071598A (en) 2016-12-20 2018-06-28 주식회사 포스코 System for tracking position of heavy machinery
KR101946135B1 (en) * 2017-01-11 2019-02-08 울산과학기술원 Database management system and method thereof using a non-volatile memory
US11159636B2 (en) * 2017-02-08 2021-10-26 Arm Limited Forwarding responses to snoop requests
US11182315B2 (en) * 2017-02-10 2021-11-23 Intel Corporation Apparatuses, methods, and systems for hardware control of processor performance levels
US10572434B2 (en) 2017-02-27 2020-02-25 International Business Machines Corporation Intelligent certificate discovery in physical and virtualized networks
US10784986B2 (en) 2017-02-28 2020-09-22 Intel Corporation Forward error correction mechanism for peripheral component interconnect-express (PCI-e)
CN107491407B (en) * 2017-07-03 2019-07-12 西安空间无线电技术研究所 Self-adapting high-speed Transmission system based on SERDES in FPGA
US11030126B2 (en) * 2017-07-14 2021-06-08 Intel Corporation Techniques for managing access to hardware accelerator memory
US11249808B2 (en) * 2017-08-22 2022-02-15 Intel Corporation Connecting accelerator resources using a switch
CN107678854A (en) * 2017-08-31 2018-02-09 郑州云海信息技术有限公司 A kind of method for solving Computer Cache uniformity conflict
US10474611B2 (en) 2017-09-19 2019-11-12 International Business Machines Corporation Aligning received bad data indicators (BDIS) with received data on a cross-chip link
CN107589698B (en) * 2017-09-20 2021-05-25 友达光电股份有限公司 Sensing device applied to Internet of things and control method
US20190095273A1 (en) * 2017-09-27 2019-03-28 Qualcomm Incorporated Parity bits location on i3c multilane bus
US10963035B2 (en) * 2017-10-11 2021-03-30 Qualcomm Incorporated Low power PCIe
CN109075854B (en) * 2017-11-22 2021-09-07 深圳市大疆创新科技有限公司 Method for recovering broken link and aircraft
CN107894963B (en) * 2017-11-27 2021-07-27 上海兆芯集成电路有限公司 Communication controller and communication method for system-on-a-chip
US10466911B2 (en) * 2017-12-18 2019-11-05 Western Digital Technologies, Inc. Method using logical based addressing for latency reduction
US10853212B2 (en) * 2018-01-08 2020-12-01 Intel Corporation Cross-talk generation in a multi-lane link during lane testing
WO2019140049A1 (en) 2018-01-10 2019-07-18 Lumeova, Inc. Method, devices and system for wireless communication channels fso
US20190227971A1 (en) * 2018-01-23 2019-07-25 Qualcomm Incorporated Architecture for consolidating multiple sources of low-bandwidth data over a serial bus
US20190294777A1 (en) * 2018-03-26 2019-09-26 Lenovo Enterprise Solutions (Singapore) Pte. Ltd. Systems and methods for managing access to host computing devices by external devices
US10534881B2 (en) * 2018-04-10 2020-01-14 Advanced Micro Devices, Inc. Method of debugging a processor
US20190042455A1 (en) * 2018-05-04 2019-02-07 Intel Corporation Globally addressable memory for devices linked to hosts
CN108563510B (en) * 2018-05-04 2021-07-13 湖南大学 E-level calculation-oriented architecture perception optimization method
US20190356412A1 (en) * 2018-05-16 2019-11-21 Qualcomm Incorporated Fast termination of multilane double data rate transactions
CN108762747B (en) * 2018-05-30 2022-02-18 郑州云海信息技术有限公司 Data processing method and computer device
WO2019237130A1 (en) * 2018-06-04 2019-12-12 Lightfleet Corporation Routing and control protocol for high-performance interconnect fabrics
CN110609866B (en) * 2018-06-15 2023-08-11 伊姆西Ip控股有限责任公司 Method, apparatus and computer program product for negotiating transactions
US10693589B2 (en) * 2018-06-18 2020-06-23 Huawei Technologies Co., Ltd. Serdes with jitter injection self stress mechanism
US11301160B2 (en) * 2018-06-20 2022-04-12 Genesys Telecommunications Laboratories, Inc. System and method for a replication protocol in a real-time statistical engine
CN109144943A (en) * 2018-06-26 2019-01-04 深圳市安信智控科技有限公司 Computing chip and memory chip combined system based on high-speed serial channel interconnection
GB2575294B8 (en) * 2018-07-04 2022-07-20 Graphcore Ltd Host Proxy On Gateway
US10841355B2 (en) * 2018-07-13 2020-11-17 Apple Inc. Methods and apparatus for streaming media conversion with reduced buffering memories
US10541841B1 (en) * 2018-09-13 2020-01-21 Advanced Micro Devices, Inc. Hardware transmit equalization for high speed
CN109558122B (en) * 2018-11-29 2022-08-19 湖南国科微电子股份有限公司 System and method for improving physical layer compatibility
TWI706257B (en) 2018-12-13 2020-10-01 新唐科技股份有限公司 Bus system
US10761939B1 (en) * 2018-12-13 2020-09-01 Amazon Technologies, Inc. Powering-down or rebooting a device in a system fabric
US10771189B2 (en) * 2018-12-18 2020-09-08 Intel Corporation Forward error correction mechanism for data transmission across multi-lane links
KR102165860B1 (en) * 2018-12-31 2020-10-14 성균관대학교산학협력단 Method for logging double header of slotted page and database apparautus
US10599601B1 (en) * 2019-01-16 2020-03-24 Qorvo Us, Inc. Single-wire bus (SuBUS) slave circuit and related apparatus
US11099991B2 (en) 2019-01-24 2021-08-24 Vmware, Inc. Programming interfaces for accurate dirty data tracking
US11068400B2 (en) * 2019-01-24 2021-07-20 Vmware, Inc. Failure-atomic logging for persistent memory systems with cache-coherent FPGAs
US11940483B2 (en) 2019-01-31 2024-03-26 Tektronix, Inc. Systems, methods and devices for high-speed input/output margin testing
US20200249275A1 (en) 2019-01-31 2020-08-06 Tektronix, Inc. Systems, methods and devices for high-speed input/output margin testing
US10713209B2 (en) * 2019-02-08 2020-07-14 Intel Corporation Recalibration of PHY circuitry for the PCI Express (PIPE) interface based on using a message bus interface
US10802966B2 (en) * 2019-02-14 2020-10-13 International Business Machines Corporation Simultaneous, non-atomic request processing within an SMP environment broadcast scope for multiply-requested data elements using real-time parallelization
US11637657B2 (en) 2019-02-15 2023-04-25 Intel Corporation Low-latency forward error correction for high-speed serial links
US11099905B2 (en) 2019-02-26 2021-08-24 International Business Machines Corporation Efficient remote resource allocation within an SMP broadcast scope maintaining fairness between operation types
US11249837B2 (en) * 2019-03-01 2022-02-15 Intel Corporation Flit-based parallel-forward error correction and parity
CN109947551B (en) * 2019-03-19 2021-04-23 中南大学 Multi-turn task allocation method, edge computing system and storage medium thereof
US10698842B1 (en) * 2019-04-10 2020-06-30 Xilinx, Inc. Domain assist processor-peer for coherent acceleration
EP3723345A1 (en) * 2019-04-10 2020-10-14 ABB Schweiz AG Aggregating server and method for forwarding node data
IT201900005822A1 (en) * 2019-04-15 2020-10-15 Phoenix Ict S R L S GENERAL PURPOSE PERIPHERAL ADAPTER FOR COMPUTER
US11119958B2 (en) 2019-04-18 2021-09-14 Qorvo Us, Inc. Hybrid bus apparatus
US11226924B2 (en) 2019-04-24 2022-01-18 Qorvo Us, Inc. Single-wire bus apparatus supporting slave-initiated operation in a master circuit
CN110138761B (en) * 2019-05-09 2021-10-15 豪威触控与显示科技(深圳)有限公司 MIPI (Mobile industry processor interface) protocol-based inter-device communication method and equipment topological structure
US11296994B2 (en) 2019-05-13 2022-04-05 Intel Corporation Ordered sets for high-speed interconnects
JP7259537B2 (en) * 2019-05-16 2023-04-18 オムロン株式会社 Information processing equipment
US10802967B1 (en) * 2019-06-28 2020-10-13 Intel Corporation Partial write management in a multi-tiled compute engine
US11144469B2 (en) * 2019-07-02 2021-10-12 Microsoft Technology Licensing, Llc Per-tenant incremental outward distributed proactive caching
US11444829B2 (en) 2019-09-09 2022-09-13 Intel Corporation Link layer communication by multiple link layer encodings for computer buses
US11271860B1 (en) * 2019-11-15 2022-03-08 Xilinx, Inc. Compressed tag coherency messaging
US20220376785A1 (en) * 2019-11-20 2022-11-24 Mitsubishi Electric Corporation Optical communication device and communication system
US11740958B2 (en) 2019-11-27 2023-08-29 Intel Corporation Multi-protocol support on common physical layer
RU2738955C1 (en) * 2019-11-27 2020-12-21 Федеральное государственное бюджетное образовательное учреждение высшего образования "Томский государственный университет систем управления и радиоэлектроники" (ТУСУР) Method of triple backup of interconnections
US10983942B1 (en) 2019-12-11 2021-04-20 Qorvo Us, Inc. Multi-master hybrid bus apparatus
US11132321B2 (en) * 2020-02-26 2021-09-28 Quanta Computer Inc. Method and system for automatic bifurcation of PCIe in BIOS
WO2021174224A1 (en) * 2020-02-28 2021-09-02 Riera Michael F A stand-alone accelerator protocol (sap) for heterogeneous computing systems
US11115176B1 (en) * 2020-03-04 2021-09-07 Qualcomm Incorporated System and method for adjusting clock-data timing in a multi-lane data communication link
US11126585B1 (en) 2020-03-09 2021-09-21 Western Digital Technologies, Inc. Data storage device with improved interface transmitter training
US11886312B2 (en) 2020-04-07 2024-01-30 Intel Corporation Characterizing error correlation based on error logging for computer buses
CN111400232B (en) * 2020-04-10 2024-01-16 芯启源(上海)半导体科技有限公司 Method for realizing scramble and desamble hardware based on data bit width expansion
US11288225B2 (en) 2020-04-14 2022-03-29 Western Digital Technologies, Inc. Adapting transmitter training behavior based upon assumed identity of training partner
US11309013B2 (en) 2020-04-29 2022-04-19 Samsung Electronics Co., Ltd. Memory device for reducing resources used for training
US11513981B2 (en) * 2020-04-29 2022-11-29 Dell Products L.P. PCIe link management without sideband signals
US11586446B1 (en) * 2020-05-20 2023-02-21 Marvell Asia Pte Ltd System and methods for hardware-based PCIe link up based on post silicon characterization
US11263137B2 (en) * 2020-05-27 2022-03-01 Arm Limited Core-to-core cache stashing and target discovery
CN116134475A (en) * 2020-05-29 2023-05-16 奈特力斯股份有限公司 Computer memory expansion device and method of operating the same
US20210013999A1 (en) * 2020-06-04 2021-01-14 Intel Corporation Latency-Optimized Mechanisms for Handling Errors or Mis-Routed Packets for Computer Buses
KR102254337B1 (en) * 2020-06-22 2021-05-21 한양대학교 산학협력단 Method and Apparatus for 5B3Q DC-balancing code for PAM4 signaling with balanced RDS
US11360906B2 (en) * 2020-08-14 2022-06-14 Alibaba Group Holding Limited Inter-device processing system with cache coherency
US11580044B2 (en) * 2020-08-31 2023-02-14 Micron Technology, Inc. Network credit return mechanisms
US11362939B2 (en) 2020-08-31 2022-06-14 Micron Technology, Inc. Flow control for a multiple flow control unit interface
US11588745B2 (en) 2020-08-31 2023-02-21 Micron Technology, Inc. Early credit return for credit-based flow control
CN112134859B (en) * 2020-09-09 2021-07-06 上海沈德医疗器械科技有限公司 Control method of focused ultrasound treatment equipment based on ARM architecture
TWI783293B (en) * 2020-11-09 2022-11-11 瑞昱半導體股份有限公司 Method for identifying signal transmission device and signal processing system
US11409677B2 (en) 2020-11-11 2022-08-09 Qorvo Us, Inc. Bus slave circuit and related single-wire bus apparatus
WO2022115494A1 (en) 2020-11-24 2022-06-02 Tektronix, Inc. Systems, methods, and devices for high-speed input/output margin testing
US11489695B2 (en) 2020-11-24 2022-11-01 Qorvo Us, Inc. Full-duplex communications over a single-wire bus
CN112579479B (en) * 2020-12-07 2022-07-08 成都海光微电子技术有限公司 Processor and method for maintaining transaction order while maintaining cache coherency
US11636037B2 (en) 2020-12-21 2023-04-25 Nxp Usa, Inc. Methods and apparatuses involving radar system data paths
CN112953556A (en) * 2021-02-05 2021-06-11 南京大学 Anti-crosstalk interconnection codec based on Fibonacci number sequence and coding method
CN112631989A (en) * 2021-03-08 2021-04-09 南京蓝洋智能科技有限公司 Data transmission method among small chips, among chips and among small chips
US11431649B1 (en) * 2021-03-26 2022-08-30 Arm Limited Interconnect resource allocation
CN113019479A (en) * 2021-03-31 2021-06-25 中国人民解放军空军军医大学 Test box for simulating underground working environment
IT202100008723A1 (en) 2021-04-08 2022-10-08 Phoenix ICT SYSTEM FOR THE SECURITY MANAGEMENT OF DIGITAL DOCUMENTS
US11789658B2 (en) 2021-04-13 2023-10-17 SK Hynix Inc. Peripheral component interconnect express (PCIe) interface system and method of operating the same
KR20220162345A (en) 2021-06-01 2022-12-08 에스케이하이닉스 주식회사 Peripheral component interconnect express interface device and operating method thereof
KR102518317B1 (en) * 2021-04-13 2023-04-06 에스케이하이닉스 주식회사 Peripheral component interconnect express interface device and operating method thereof
TWI773395B (en) * 2021-06-22 2022-08-01 慧榮科技股份有限公司 Memory controller and link identification method
CN113971143B (en) * 2021-10-22 2023-12-05 展讯半导体(成都)有限公司 Memory controller, internet of things chip and electronic equipment
US11755494B2 (en) * 2021-10-29 2023-09-12 Advanced Micro Devices, Inc. Cache line coherence state downgrade
US11706048B1 (en) 2021-12-16 2023-07-18 Qorvo Us, Inc. Multi-protocol bus circuit
CN114510268B (en) * 2021-12-24 2022-09-20 中国人民解放军战略支援部队航天工程大学 GPU-based method for realizing single-precision floating point number accumulated error control in down-conversion
US20220342840A1 (en) * 2021-12-30 2022-10-27 Intel Corporation Die-to-die interconnect
US20220327084A1 (en) * 2021-12-30 2022-10-13 Intel Corporation Die-to-die interconnect protocol layer
US11907132B2 (en) 2022-03-23 2024-02-20 International Business Machines Corporation Final cache directory state indication
US11726660B1 (en) * 2022-04-15 2023-08-15 Dell Products L.P. Techniques for flexible physical drive expansion using a loop back connection
US20230342308A1 (en) * 2022-04-22 2023-10-26 Western Digital Technologies, Inc. Reducing Link Up Time In PCIe Systems
CN114942814B (en) * 2022-06-01 2023-07-11 咪咕视讯科技有限公司 Page component focusing method, system, terminal equipment and medium
US11880686B2 (en) * 2022-06-16 2024-01-23 Ampere Computing Llc Devices transferring cache lines, including metadata on external links
CN115238619B (en) * 2022-09-20 2023-06-27 北京数字光芯集成电路设计有限公司 Post-module simulation method and system for digital chip
US11914473B1 (en) * 2022-10-20 2024-02-27 Micron Technology, Inc. Data recovery using ordered data requests

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5434993A (en) * 1992-11-09 1995-07-18 Sun Microsystems, Inc. Methods and apparatus for creating a pending write-back controller for a cache controller on a packet switched memory bus employing dual directories
US5551005A (en) * 1994-02-25 1996-08-27 Intel Corporation Apparatus and method of handling race conditions in mesi-based multiprocessor system with private caches
US20030131202A1 (en) * 2000-12-29 2003-07-10 Manoj Khare Mechanism for initiating an implicit write-back in response to a read or snoop of a modified cache line
US20030210655A1 (en) * 2002-05-13 2003-11-13 Newisys, Inc. A Delaware Corporation Methods and apparatus for responding to a request cluster
US20050262250A1 (en) * 2004-04-27 2005-11-24 Batson Brannon J Messaging protocol
US7543115B1 (en) * 2006-01-11 2009-06-02 Intel Corporation Two-hop source snoop based cache coherence protocol
US7600080B1 (en) * 2006-09-22 2009-10-06 Intel Corporation Avoiding deadlocks in a multiprocessor system
US20100005246A1 (en) * 2008-07-07 2010-01-07 Beers Robert H Satisfying memory ordering requirements between partial reads and non-snoop accesses
US20100318998A1 (en) * 2009-06-16 2010-12-16 Golla Robert T System and Method for Out-of-Order Resource Allocation and Deallocation in a Threaded Machine
US20100332767A1 (en) * 2009-06-26 2010-12-30 Ganesh Kumar Controllably Exiting An Unknown State Of A Cache Coherency Directory
US20120215987A1 (en) * 2011-02-17 2012-08-23 Oracle International Corporation Broadcast protocol for a network of caches

Family Cites Families (260)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4228496A (en) 1976-09-07 1980-10-14 Tandem Computers Incorporated Multiprocessor system
US4191941A (en) 1978-04-03 1980-03-04 Rca Corporation Switch matrix for data transfers
US4716523A (en) 1985-06-14 1987-12-29 International Business Machines Corporation Multiple port integrated DMA and interrupt controller and arbitrator
US5537640A (en) * 1988-12-30 1996-07-16 Intel Corporation Asynchronous modular bus architecture with cache consistency
NZ232224A (en) * 1989-01-27 1993-03-26 British Telecomm Alternate burst communication for cordless phones: bursts contain synchronisation information
US4959833A (en) * 1989-03-08 1990-09-25 Ics Electronics Corporation Data transmission method and bus extender
CA2045756C (en) * 1990-06-29 1996-08-20 Gregg Bouchard Combined queue for invalidates and return data in multiprocessor system
JPH06500655A (en) * 1990-10-03 1994-01-20 スィンキング マシンズ コーポレーション parallel computer system
US5222062A (en) 1991-10-03 1993-06-22 Compaq Computer Corporation Expandable communication system with automatic data concentrator detection
JPH07168763A (en) * 1992-11-13 1995-07-04 Cyrix Corp Coherency of write-back cache in system of write-through cache design
US5325360A (en) 1992-12-09 1994-06-28 National Semiconductor Corporation Controllable PCM state machine user interface
US5394555A (en) * 1992-12-23 1995-02-28 Bull Hn Information Systems Inc. Multi-node cluster computer system incorporating an external coherency unit at each node to insure integrity of information stored in a shared, distributed memory
US5432775A (en) 1993-12-03 1995-07-11 Advanced Micro Devices, Inc. Auto negotiation system for a communications network
US5572703A (en) * 1994-03-01 1996-11-05 Intel Corporation Method and apparatus for snoop stretching using signals that convey snoop results
US5383143A (en) 1994-03-30 1995-01-17 Motorola, Inc. Self re-seeding linear feedback shift register (LFSR) data processing system for generating a pseudo-random test bit stream and method of operation
EP0706138A1 (en) * 1994-10-03 1996-04-10 International Business Machines Corporation Alternating data valid control signals for high performance data transfer
EP0707269A1 (en) * 1994-10-11 1996-04-17 International Business Machines Corporation Cache coherence network for a multiprocessor data processing system
EP0735487B1 (en) 1995-03-31 2001-10-31 Sun Microsystems, Inc. A fast, dual ported cache controller for data processors in a packet switched cache coherent multiprocessor system
EP0735480B1 (en) * 1995-03-31 2003-06-04 Sun Microsystems, Inc. Cache coherent computer system that minimizes invalidation and copyback operations
US5898826A (en) * 1995-11-22 1999-04-27 Intel Corporation Method and apparatus for deadlock-free routing around an unusable routing component in an N-dimensional network
US5983326A (en) * 1996-07-01 1999-11-09 Sun Microsystems, Inc. Multiprocessing system including an enhanced blocking mechanism for read-to-share-transactions in a NUMA mode
CN1179043A (en) * 1996-09-20 1998-04-15 摩托罗拉公司 Variance changeble time slot width in TDM/TDMA system
US5991819A (en) * 1996-12-03 1999-11-23 Intel Corporation Dual-ported memory controller which maintains cache coherency using a memory line status table
US6249520B1 (en) * 1997-10-24 2001-06-19 Compaq Computer Corporation High-performance non-blocking switch with multiple channel ordering constraints
US6052760A (en) * 1997-11-05 2000-04-18 Unisys Corporation Computer system including plural caches and utilizing access history or patterns to determine data ownership for efficient handling of software locks
US5987056A (en) * 1997-11-13 1999-11-16 Lsi Logic Corporation PN sequence hopping method and system
US6163608A (en) * 1998-01-09 2000-12-19 Ericsson Inc. Methods and apparatus for providing comfort noise in communications systems
US6141733A (en) * 1998-02-17 2000-10-31 International Business Machines Corporation Cache coherency protocol with independent implementation of optimized cache operations
US6345339B1 (en) * 1998-02-17 2002-02-05 International Business Machines Corporation Pseudo precise I-cache inclusivity for vertical caches
US6334172B1 (en) * 1998-02-17 2001-12-25 International Business Machines Corporation Cache coherency protocol with tagged state for modified values
US6631448B2 (en) * 1998-03-12 2003-10-07 Fujitsu Limited Cache coherence unit for interconnecting multiprocessor nodes having pipelined snoopy protocol
US7471075B2 (en) 1998-04-17 2008-12-30 Unique Technologies, Llc Multi-test Arc fault circuit interrupter tester
ES2194287T3 (en) * 1998-09-30 2003-11-16 Cit Alcatel METHOD AND PROVISION FOR TRANSITION BETWEEN A STATE OF LOW POWER AND A STATE OF FULL OTENCE IN A COMMUNICATION SYSTEM.
GB2342823B (en) * 1998-10-16 2000-11-29 Marconi Comm Ltd Communication system
US6526481B1 (en) * 1998-12-17 2003-02-25 Massachusetts Institute Of Technology Adaptive cache coherence protocols
US6393529B1 (en) * 1998-12-21 2002-05-21 Advanced Micro Devices, Inc. Conversation of distributed memory bandwidth in multiprocessor system with cache coherency by transmitting cancel subsequent to victim write
US6556634B1 (en) * 1999-02-10 2003-04-29 Ericsson, Inc. Maximum likelihood rake receiver for use in a code division, multiple access wireless communication system
US6185250B1 (en) * 1999-03-10 2001-02-06 Lucent Technologies Inc. Training of level learning modems
CN100387030C (en) 1999-05-28 2008-05-07 基础能源公司 Wireless transceiver network employing node-to-node data messaging
US6487621B1 (en) * 1999-08-17 2002-11-26 Compaq Information Technologies Group, L.P. Architecture, system and method for ensuring an ordered transaction on at least one of a plurality of multi-processor buses that experience a hit-to-modified snoop cycle
KR100566289B1 (en) * 1999-09-03 2006-03-30 삼성전자주식회사 Method for deactivating of v5.2 layer service using data link map and apparatus thereof
US7010607B1 (en) * 1999-09-15 2006-03-07 Hewlett-Packard Development Company, L.P. Method for training a communication link between ports to correct for errors
US6754185B1 (en) * 1999-09-27 2004-06-22 Koninklijke Philips Electronics N.V. Multi link layer to single physical layer interface in a node of a data communication system
US6751698B1 (en) * 1999-09-29 2004-06-15 Silicon Graphics, Inc. Multiprocessor node controller circuit and method
US6674720B1 (en) * 1999-09-29 2004-01-06 Silicon Graphics, Inc. Age-based network arbitration system and method
US6763034B1 (en) * 1999-10-01 2004-07-13 Stmicroelectronics, Ltd. Connection ports for interconnecting modules in an integrated circuit
US6320406B1 (en) 1999-10-04 2001-11-20 Texas Instruments Incorporated Methods and apparatus for a terminated fail-safe circuit
US6665832B1 (en) * 2000-03-31 2003-12-16 Qualcomm, Incorporated Slotted mode decoder state metric initialization
US6865231B1 (en) * 2000-06-20 2005-03-08 Hewlett-Packard Development Company, L.P. High-speed interconnection adapter having automated crossed differential pair correction
US6961347B1 (en) * 2000-06-20 2005-11-01 Hewlett-Packard Development Company, L.P. High-speed interconnection link having automated lane reordering
US7124252B1 (en) * 2000-08-21 2006-10-17 Intel Corporation Method and apparatus for pipelining ordered input/output transactions to coherent memory in a distributed memory, cache coherent, multi-processor system
US6668335B1 (en) 2000-08-31 2003-12-23 Hewlett-Packard Company, L.P. System for recovering data in a multiprocessor system comprising a conduction path for each bit between processors where the paths are grouped into separate bundles and routed along different paths
US6892319B2 (en) 2000-09-08 2005-05-10 Hewlett-Packard Development Company, L.P. Method for verifying abstract memory models of shared memory multiprocessors
US7327754B2 (en) 2000-09-28 2008-02-05 Teridian Semiconductor, Corp. Apparatus and method for freezing the states of a receiver during silent line state operation of a network device
US7236490B2 (en) * 2000-11-17 2007-06-26 Foundry Networks, Inc. Backplane interface adapter
US7596139B2 (en) * 2000-11-17 2009-09-29 Foundry Networks, Inc. Backplane interface adapter with error control and redundant fabric
EP1211837A1 (en) * 2000-12-04 2002-06-05 Telefonaktiebolaget Lm Ericsson Unequal error protection in a packet transmission system
EP1217613A1 (en) * 2000-12-19 2002-06-26 Koninklijke Philips Electronics N.V. Reconstitution of missing or bad frames in cellular telephony
US20020161975A1 (en) * 2001-02-23 2002-10-31 Zilavy Daniel V. Cache to cache copying of clean data
US7231500B2 (en) * 2001-03-22 2007-06-12 Sony Computer Entertainment Inc. External data interface in a computer architecture for broadband networks
US6987947B2 (en) 2001-10-30 2006-01-17 Unwired Technology Llc Multiple channel wireless communication system
US20030093632A1 (en) * 2001-11-12 2003-05-15 Intel Corporation Method and apparatus for sideband read return header in memory interconnect
US6941425B2 (en) * 2001-11-12 2005-09-06 Intel Corporation Method and apparatus for read launch optimizations in memory interconnect
US7227845B2 (en) * 2001-12-11 2007-06-05 Motorola, Inc. Method and apparatus for enabling a communication resource reset
US7117311B1 (en) * 2001-12-19 2006-10-03 Intel Corporation Hot plug cache coherent interface method and apparatus
US7030737B2 (en) 2002-03-01 2006-04-18 Hewlett-Packard Development Company, L.P. Apparatus, system, and method for indicating a level of network activity
US7200186B2 (en) * 2002-03-14 2007-04-03 Intel Corporation Methods and apparatus for reducing power usage of a transmitter and receiver coupled via a differential serial data link
US7334047B1 (en) * 2002-03-18 2008-02-19 Cisco Technology, Inc. Method and system for selective link state advertisement blocking over a data network area
US7020729B2 (en) * 2002-05-16 2006-03-28 Intel Corporation Protocol independent data transmission interface
US6973545B2 (en) * 2002-06-28 2005-12-06 Sun Microsystems, Inc. System with a directory based coherency protocol and split ownership and access right coherence mechanism
US20040028074A1 (en) * 2002-07-26 2004-02-12 Gary Huff Physical layer device with line state encoding
US7093172B2 (en) * 2002-08-07 2006-08-15 Broadcom Corporation System and method for determining on-chip bit error rate (BER) in a communication system
US8037224B2 (en) * 2002-10-08 2011-10-11 Netlogic Microsystems, Inc. Delegating network processor operations to star topology serial bus interfaces
US7720135B2 (en) * 2002-11-07 2010-05-18 Intel Corporation System, method and device for autonegotiation
US7505486B2 (en) * 2002-11-19 2009-03-17 Hewlett-Packard Development Company, L.P. Degradable network data path transmission scheme
US7203853B2 (en) * 2002-11-22 2007-04-10 Intel Corporation Apparatus and method for low latency power management on a serial data link
US20040174570A1 (en) 2002-12-02 2004-09-09 Plunkett Richard Thomas Variable size dither matrix usage
US6892283B2 (en) * 2002-12-05 2005-05-10 International Business Machines Corporation High speed memory cloner with extended cache coherency protocols and responses
US7525989B2 (en) * 2002-12-16 2009-04-28 Intel Corporation System, method and device for time slot status messaging among SONET nodes
US6922756B2 (en) * 2002-12-19 2005-07-26 Intel Corporation Forward state for use in cache coherency in a multiprocessor system
US7047475B2 (en) * 2003-02-04 2006-05-16 Hewlett-Packard Development Company, L.P. CRC encoding scheme for conveying status information
US7535836B2 (en) * 2003-02-12 2009-05-19 Broadcom Corporation Method and system to provide word-level flow control using spare link bandwidth
GB2399722A (en) * 2003-03-21 2004-09-22 Sony Uk Ltd Data communication synchronisation
US7464307B2 (en) * 2003-03-25 2008-12-09 Intel Corporation High performance serial bus testing methodology
US7136953B1 (en) 2003-05-07 2006-11-14 Nvidia Corporation Apparatus, system, and method for bus link width optimization
US7426597B1 (en) * 2003-05-07 2008-09-16 Nvidia Corporation Apparatus, system, and method for bus link width optimization of a graphics system
US7792118B2 (en) * 2003-06-19 2010-09-07 Polytechnic University Switch module memory structure and per-destination queue flow control for use in a switch
US7577727B2 (en) * 2003-06-27 2009-08-18 Newisys, Inc. Dynamic multiple cluster system reconfiguration
US20050027876A1 (en) * 2003-07-29 2005-02-03 Toshitomo Umei Data transmission method, data transmission system, and data transmission apparatus
CN1320464C (en) * 2003-10-23 2007-06-06 英特尔公司 Method and equipment for maintenance of sharing consistency of cache memory
US7146284B2 (en) * 2003-11-07 2006-12-05 Texas Instruments Incorporated Method of testing phase lock loop status during a Serializer/Deserializer internal loopback built-in self-test
US8606946B2 (en) * 2003-11-12 2013-12-10 Qualcomm Incorporated Method, system and computer program for driving a data signal in data interface communication data link
US8090857B2 (en) * 2003-11-24 2012-01-03 Qualcomm Atheros, Inc. Medium access control layer that encapsulates data from a plurality of received data units into a plurality of independently transmittable blocks
US7440468B2 (en) * 2003-12-11 2008-10-21 International Business Machines Corporation Queue management of a global link control byte in an input/output subsystem
US8009563B2 (en) 2003-12-19 2011-08-30 Broadcom Corporation Method and system for transmit scheduling for multi-layer network interface controller (NIC) operation
US7631118B2 (en) * 2003-12-31 2009-12-08 Intel Corporation Lane to lane deskewing via non-data symbol processing for a serial point to point link
JP4005974B2 (en) * 2004-01-09 2007-11-14 株式会社東芝 COMMUNICATION DEVICE, COMMUNICATION METHOD, AND COMMUNICATION SYSTEM
US7856534B2 (en) * 2004-01-15 2010-12-21 Hewlett-Packard Development Company, L.P. Transaction references for requests in a multi-processor network
US8176259B2 (en) * 2004-01-20 2012-05-08 Hewlett-Packard Development Company, L.P. System and method for resolving transactions in a cache coherency protocol
US7177987B2 (en) * 2004-01-20 2007-02-13 Hewlett-Packard Development Company, L.P. System and method for responses between different cache coherency protocols
US7620696B2 (en) * 2004-01-20 2009-11-17 Hewlett-Packard Development Company, L.P. System and method for conflict responses in a cache coherency protocol
US20050172091A1 (en) * 2004-01-29 2005-08-04 Rotithor Hemant G. Method and an apparatus for interleaving read data return in a packetized interconnect to memory
US7210000B2 (en) * 2004-04-27 2007-04-24 Intel Corporation Transmitting peer-to-peer transactions through a coherent interface
US20050240734A1 (en) * 2004-04-27 2005-10-27 Batson Brannon J Cache coherence protocol
US7716409B2 (en) * 2004-04-27 2010-05-11 Intel Corporation Globally unique transaction identifiers
CN101902433B (en) * 2004-04-30 2013-04-10 夏普株式会社 Radio communication system
CN1700639A (en) * 2004-05-21 2005-11-23 华为技术有限公司 Method for leading-in and leading-out WLAN authentication and privacy infrastructure certificate information
US7957428B2 (en) * 2004-05-21 2011-06-07 Intel Corporation Methods and apparatuses to effect a variable-width link
US7313712B2 (en) * 2004-05-21 2007-12-25 Intel Corporation Link power saving state
US8046488B2 (en) * 2004-05-21 2011-10-25 Intel Corporation Dynamically modulating link width
US20060041696A1 (en) * 2004-05-21 2006-02-23 Naveen Cherukuri Methods and apparatuses for the physical layer initialization of a link-based system interconnect
US7219220B2 (en) 2004-05-21 2007-05-15 Intel Corporation Methods and apparatuses for resetting the physical layers of two agents interconnected through a link-based interconnection
US20060041715A1 (en) * 2004-05-28 2006-02-23 Chrysos George Z Multiprocessor chip having bidirectional ring interconnect
US7467358B2 (en) * 2004-06-03 2008-12-16 Gwangju Institute Of Science And Technology Asynchronous switch based on butterfly fat-tree for network on chip application
US7295618B2 (en) * 2004-06-16 2007-11-13 International Business Machines Corporation Automatic adaptive equalization method and system for high-speed serial transmission link
US7436836B2 (en) * 2004-06-30 2008-10-14 Cisco Technology, Inc. Method and apparatus for detecting support for a protocol defining supplemental headers
US8161429B1 (en) * 2004-08-20 2012-04-17 Altera Corporation Methods and apparatus for initializing serial links
KR100579053B1 (en) 2004-08-26 2006-05-12 삼성전자주식회사 Method of multi-interfacing between smart card and memory card and multi-interface card
US20060047862A1 (en) * 2004-09-02 2006-03-02 International Business Machines Corporation Automatic hardware data link initialization
US9727468B2 (en) * 2004-09-09 2017-08-08 Intel Corporation Resolving multi-core shared cache access conflicts
US7191255B2 (en) * 2004-10-27 2007-03-13 Intel Corporation Transaction layer link down handling for PCI express
CN100384118C (en) * 2004-11-03 2008-04-23 上海贝尔阿尔卡特股份有限公司 Method and apparatus for processing general framing procedure frame
US7738484B2 (en) * 2004-12-13 2010-06-15 Intel Corporation Method, system, and apparatus for system level initialization
US7761719B2 (en) * 2005-03-28 2010-07-20 Akros Silicon Inc. Ethernet module
EP1875681A1 (en) * 2005-04-13 2008-01-09 Koninklijke Philips Electronics N.V. Electronic device and method for flow control
US7613864B2 (en) * 2005-04-22 2009-11-03 Sun Microsystems, Inc. Device sharing
US7564904B2 (en) 2005-05-03 2009-07-21 Texas Instruments Incorporated Apparatus for and method of detection of powered devices over a network
US7539801B2 (en) * 2005-05-27 2009-05-26 Ati Technologies Ulc Computing device with flexibly configurable expansion slots, and method of operation
US7694060B2 (en) * 2005-06-17 2010-04-06 Intel Corporation Systems with variable link widths based on estimated activity levels
US7620694B2 (en) * 2005-09-27 2009-11-17 Intel Corporation Early issue of transaction ID
US7633877B2 (en) 2005-11-18 2009-12-15 Intel Corporation Method and apparatus for meeting compliance for debugging and testing a multi-speed, point-to-point link
US20070239922A1 (en) * 2005-12-09 2007-10-11 Horigan John W Technique for link reconfiguration
US7924708B2 (en) * 2005-12-13 2011-04-12 Intel Corporation Method and apparatus for flow control initialization
US7606981B2 (en) * 2005-12-19 2009-10-20 Intel Corporation System and method for reducing store latency
CN1996782B (en) * 2005-12-26 2010-05-05 中兴通讯股份有限公司 Antenna selection and indication method of the space domain self-adapted link
US7430628B2 (en) * 2006-01-10 2008-09-30 Kabushiki Kaisha Toshiba System and method for optimized allocation of shared processing resources
US7512741B1 (en) 2006-01-11 2009-03-31 Intel Corporation Two-hop source snoop based messaging protocol
JP4572169B2 (en) * 2006-01-26 2010-10-27 エヌイーシーコンピュータテクノ株式会社 Multiprocessor system and operation method thereof
US9390015B2 (en) * 2006-03-16 2016-07-12 International Business Machines Corporation Method for performing cacheline polling utilizing a store and reserve instruction
US7783959B2 (en) * 2006-03-23 2010-08-24 Intel Corporation Apparatus and method for reduced power consumption communications over a physical interconnect
US7681093B2 (en) * 2006-03-31 2010-03-16 Intel Corporation Redundant acknowledgment in loopback entry
US7743129B2 (en) 2006-05-01 2010-06-22 International Business Machines Corporation Methods and arrangements to detect a failure in a communication network
US20070260615A1 (en) * 2006-05-08 2007-11-08 Eran Shen Media with Pluggable Codec
US7506108B2 (en) * 2006-06-30 2009-03-17 Intel Corporation Requester-generated forward for late conflicts in a cache coherency protocol
US7721050B2 (en) * 2006-06-30 2010-05-18 Intel Corporation Re-snoop for conflict resolution in a cache coherency protocol
US7536515B2 (en) * 2006-06-30 2009-05-19 Intel Corporation Repeated conflict acknowledgements in a cache coherency protocol
JP2010500641A (en) * 2006-08-08 2010-01-07 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Electronic device and communication synchronization method
US8059009B2 (en) 2006-09-15 2011-11-15 Itron, Inc. Uplink routing without routing table
GB2443465A (en) * 2006-11-06 2008-05-07 Fujitsu Ltd Communication systems
US8495292B2 (en) * 2006-12-06 2013-07-23 Fusion-Io, Inc. Apparatus, system, and method for an in-server storage area network
WO2008087579A2 (en) * 2007-01-15 2008-07-24 Koninklijke Philips Electronics N.V. Method of generating low peak-to-average power ratio ( papr) binary preamble sequences for ofdm systems
DE102007007136B3 (en) 2007-02-09 2008-08-28 Siemens Ag Wheel electronics and method for operating a wheel electronics
US8428175B2 (en) * 2007-03-09 2013-04-23 Qualcomm Incorporated Quadrature modulation rotating training sequence
US7978635B2 (en) 2007-03-21 2011-07-12 Qualcomm Incorporated H-ARQ acknowledgment detection validation by re-decoding
DE602007001576D1 (en) * 2007-03-22 2009-08-27 Research In Motion Ltd Apparatus and method for improved masking of frame losses
MX2009012099A (en) * 2007-05-08 2010-01-25 Interdigital Tech Corp Method and apparatus for providing piggybacked positive acknowledgement/negative acknowledgement field indicator and a polling indicator.
US7827357B2 (en) * 2007-07-31 2010-11-02 Intel Corporation Providing an inclusive shared cache among multiple core-cache clusters
US7899111B2 (en) * 2007-08-07 2011-03-01 Intel Corporation Link interface technique including data indicator symbols
CA2632031A1 (en) * 2007-09-05 2009-03-05 Faisal Dada Aligning data on parallel transmission lines
US20090125363A1 (en) * 2007-10-22 2009-05-14 Nokia Siemens Networks Oy Method, apparatus and computer program for employing a frame structure in wireless communication
EP2063581A1 (en) * 2007-11-20 2009-05-27 STMicroelectronics (Grenoble) SAS Transferring a stream of data between first and second electronic devices via a network on-chip
US8392663B2 (en) * 2007-12-12 2013-03-05 Mips Technologies, Inc. Coherent instruction cache utilizing cache-op execution resources
US8179901B2 (en) 2008-02-11 2012-05-15 Vitesse Semiconductor Corporation System and method for squelching a recovered clock in an ethernet network
WO2009108205A1 (en) 2008-02-29 2009-09-03 Hewlett-Packard Development Company, L.P. Modular system and retractable assembly for electronic devices
DE102008012979A1 (en) * 2008-03-06 2009-09-10 Gip Ag Method and program for providing data coherency in networks
US7492807B1 (en) 2008-04-07 2009-02-17 International Business Machines Corporation Pseudo-random bit sequence (PRBS) synchronization for interconnects with dual-tap scrambling devices and methods
JP5108975B2 (en) * 2008-04-28 2012-12-26 ヒューレット−パッカード デベロップメント カンパニー エル.ピー. Virtual interrupt mode interface and method for virtualizing interrupt mode
US8762652B2 (en) * 2008-04-30 2014-06-24 Freescale Semiconductor, Inc. Cache coherency protocol in a data processing system
CN101599811B (en) * 2008-06-02 2011-04-06 华为技术有限公司 Data processing device, communication equipment and data processing method
US7769048B2 (en) 2008-06-25 2010-08-03 Intel Corporation Link and lane level packetization scheme of encoding in serial links
US8201069B2 (en) * 2008-07-01 2012-06-12 International Business Machines Corporation Cyclical redundancy code for use in a high-speed serial link
US8205045B2 (en) 2008-07-07 2012-06-19 Intel Corporation Satisfying memory ordering requirements between partial writes and non-snoop accesses
CN101325461B (en) * 2008-07-25 2011-04-27 浙江大学 Method for establishing and maintaining cognition radio communication link based on non-speed code
WO2010028365A1 (en) * 2008-09-08 2010-03-11 Samsung Electronics Co., Ltd. Sub-channel acquisition in a digital television receiver designed to receive mobile/handheld signals
AU2009291759B2 (en) * 2008-09-10 2015-07-09 Nextnav, Llc Wide area positioning system
US9119165B2 (en) * 2009-09-10 2015-08-25 Nextnav, Llc Coding in a wide area positioning system (WAPS)
US8265071B2 (en) * 2008-09-11 2012-09-11 Juniper Networks, Inc. Methods and apparatus related to a flexible data center security architecture
CN101430664B (en) * 2008-09-12 2010-07-28 中国科学院计算技术研究所 Multiprocessor system and Cache consistency message transmission method
EP2173066B1 (en) 2008-10-01 2012-05-16 STMicroelectronics Srl Method of exchanging information in a Network-on-Chip communication network, corresponding Network-on-Chip communication network and computer program product
US8531943B2 (en) * 2008-10-29 2013-09-10 Adapteva Incorporated Mesh network
KR100988809B1 (en) * 2008-11-06 2010-10-20 주식회사 하이닉스반도체 Semiconductor memory device and output enable signal generating method
US8706479B2 (en) * 2008-11-14 2014-04-22 Broadcom Corporation Packet loss concealment for sub-band codecs
CN101437033B (en) * 2008-12-16 2012-07-11 杭州华三通信技术有限公司 Method and network appliance for supporting variable velocity
US8300571B2 (en) * 2008-12-17 2012-10-30 Viasat, Inc. Start of frame correlation for physical layer header synchronization
US8799582B2 (en) * 2008-12-30 2014-08-05 Intel Corporation Extending cache coherency protocols to support locally buffered data
US8026726B2 (en) * 2009-01-23 2011-09-27 Silicon Image, Inc. Fault testing for interconnections
KR101598094B1 (en) * 2009-02-02 2016-02-26 엘지전자 주식회사 / Transmitting/receiving system and method of processing data in the transmitting/receiving system
KR20100092353A (en) * 2009-02-12 2010-08-20 엘지전자 주식회사 Methods and apparatus of managing a traffic encryption key
WO2010096969A1 (en) * 2009-02-27 2010-09-02 华为技术有限公司 Method of sending upstream frame in passive optical network and apparatus thereof
KR101133256B1 (en) * 2009-02-27 2012-04-09 한국과학기술원 Apparatus and method for processing timestamp using signature information in physical layer
US20100228922A1 (en) 2009-03-09 2010-09-09 Deepak Limaye Method and system to perform background evictions of cache memory lines
US8401400B2 (en) * 2009-03-10 2013-03-19 Tyco Electronics Subsea Communications Llc Detection of data in signals with data pattern dependent signal distortion
CN101854331A (en) * 2009-04-02 2010-10-06 天际微芯(北京)科技有限公司 Training sequence structure and training method
US8335911B2 (en) * 2009-05-21 2012-12-18 Oracle America, Inc. Dynamic allocation of resources in a threaded, heterogeneous processor
US8199759B2 (en) 2009-05-29 2012-06-12 Intel Corporation Method and apparatus for enabling ID based streams over PCI express
CN101561794B (en) * 2009-06-05 2012-07-04 威盛电子股份有限公司 Universal serial bus device
US8239704B2 (en) * 2009-06-12 2012-08-07 Cray Inc. Global clock via embedded spanning tree
WO2010147264A1 (en) * 2009-06-16 2010-12-23 Lg Electronics Inc. Method of exchanging messages and transmitting and receiving devices
US20100332877A1 (en) 2009-06-30 2010-12-30 Yarch Mark A Method and apparatus for reducing power consumption
US8831666B2 (en) * 2009-06-30 2014-09-09 Intel Corporation Link power savings with state retention
CN101695193A (en) * 2009-09-27 2010-04-14 上海华为技术有限公司 Method for sending and receiving downstream data and device thereof
US8327228B2 (en) * 2009-09-30 2012-12-04 Intel Corporation Home agent data and memory management
US8799586B2 (en) 2009-09-30 2014-08-05 Intel Corporation Memory mirroring and migration at home agent
US8819305B2 (en) * 2009-11-16 2014-08-26 Intel Corporation Directly providing data messages to a protocol layer
US8621128B2 (en) * 2009-12-04 2013-12-31 St-Ericsson Sa Methods and systems for reliable link startup
US9100809B2 (en) * 2009-12-21 2015-08-04 Julia Olincy Olincy Automatic response option mobile system for responding to incoming texts or calls or both
US8301813B2 (en) * 2009-12-24 2012-10-30 Ati Technologies Ulc Method and device for disabling a higher version of a computer bus and interconnection protocol for interoperability with a device compliant to a lower version of the computer bus and interconnection protocol
US20120227045A1 (en) 2009-12-26 2012-09-06 Knauth Laura A Method, apparatus, and system for speculative execution event counter checkpointing and restoring
US8804960B2 (en) * 2010-02-22 2014-08-12 International Business Machines Corporation Implementing known scrambling relationship among multiple serial links
US8892820B2 (en) * 2010-03-19 2014-11-18 Netapp, Inc. Method and system for local caching of remote storage data
US8473567B2 (en) * 2010-03-29 2013-06-25 Intel Corporation Generating a packet including multiple operation codes
US8514885B2 (en) * 2010-03-30 2013-08-20 International Business Machines Corporation Using variable length packets to embed extra network control information
US8539260B2 (en) * 2010-04-05 2013-09-17 Intel Corporation Method, apparatus, and system for enabling platform power states
CN101867401B (en) * 2010-05-04 2013-11-20 西安交通大学 60GHz multi-antenna system for shading and eluding and signal processing method thereof
CN102238623B (en) * 2010-05-06 2014-04-09 中兴通讯股份有限公司 Method for accelerating status response of control window of wireless link and base station subsystem
JP2011248814A (en) * 2010-05-31 2011-12-08 Nec Corp Device having pci express link error detection and automatic restoration function
US9448938B2 (en) * 2010-06-09 2016-09-20 Micron Technology, Inc. Cache coherence protocol for persistent memories
CN101867452B (en) 2010-06-10 2013-07-17 国网电力科学研究院 Communication method of serial real-time bus special in electricity
KR101323055B1 (en) * 2010-06-17 2013-10-29 엘지디스플레이 주식회사 METHOD AND APPARATUS FOR RECOVERING A PIXEL CLOCK BASED INTERNL DISPLAYPORT(iDP) INTERFACE AND DISPLAY DEVICE USING THE SAME
CN102315917B (en) * 2010-07-06 2014-12-17 瑞昱半导体股份有限公司 Electricity-saving method and device for signal transmission
CN102377608B (en) * 2010-08-12 2014-07-09 盛科网络(苏州)有限公司 Physical layer fault simulating system and method
US8656115B2 (en) * 2010-08-20 2014-02-18 Intel Corporation Extending a cache coherency snoop broadcast protocol with directory information
WO2012038546A1 (en) * 2010-09-23 2012-03-29 St-Ericsson Sa Multi-lane data transmission de-skew
US8751714B2 (en) * 2010-09-24 2014-06-10 Intel Corporation Implementing quickpath interconnect protocol over a PCIe interface
US9104793B2 (en) * 2010-09-24 2015-08-11 Intel Corporation Method and system of adapting communication links to link conditions on a platform
US9146610B2 (en) 2010-09-25 2015-09-29 Intel Corporation Throttling integrated link
US8805196B2 (en) * 2010-09-30 2014-08-12 Teradyne, Inc. Electro-optical communications link
JP5597104B2 (en) * 2010-11-16 2014-10-01 キヤノン株式会社 Data transfer apparatus and control method thereof
CN102142987B (en) * 2010-12-09 2014-01-08 浪潮(北京)电子信息产业有限公司 Serial bus equipment and data transmission method thereof
JP2012146041A (en) * 2011-01-11 2012-08-02 Hitachi Ltd Computer device and signal transmission method
JP2012155650A (en) * 2011-01-28 2012-08-16 Toshiba Corp Router and many-core system
EP2482196B1 (en) * 2011-01-31 2016-06-29 Canon Kabushiki Kaisha Image processing apparatus, printing apparatus and controlling method in image processing apparatus
US8924672B2 (en) * 2011-02-08 2014-12-30 Infineon Technologies Ag Device with processing unit and information storage
US8824489B1 (en) * 2011-04-26 2014-09-02 Marvell International Ltd. Physical layer (PHY) devices for use in automotive and industrial applications
US9189424B2 (en) 2011-05-31 2015-11-17 Hewlett-Packard Development Company, L.P. External cache operation based on clean castout messages
US8868955B2 (en) 2011-07-01 2014-10-21 Intel Corporation Enhanced interconnect link width modulation for power savings
US8788890B2 (en) * 2011-08-05 2014-07-22 Apple Inc. Devices and methods for bit error rate monitoring of intra-panel data link
US8514889B2 (en) * 2011-08-26 2013-08-20 Sonics, Inc. Use of common data format to facilitate link width conversion in a router with flexible link widths
US9208110B2 (en) * 2011-11-29 2015-12-08 Intel Corporation Raw memory transaction support
US9442879B2 (en) * 2011-12-07 2016-09-13 Intel Corporation Multiple transaction data flow control unit for high-speed interconnect
CN102571571A (en) * 2011-12-28 2012-07-11 南京邮电大学 Multilayer effective routing method applied to delay tolerant network (DTN)
CN103188059A (en) 2011-12-28 2013-07-03 华为技术有限公司 Method, device and system for data packet retransmission in quick path interconnect system
CN102594745B (en) * 2011-12-29 2015-02-04 东南大学 Synchronization method for single carrier frequency domain equalization system and realization circuit thereof
US8892269B2 (en) 2012-03-30 2014-11-18 Intel Corporation Power down and quick start of thermal sensor
CN102685128B (en) * 2012-05-09 2015-09-30 东南大学 A kind of protocol construction method based on state machine
US9122810B2 (en) 2012-05-18 2015-09-01 Dell Products, Lp System and method for providing input/output functionality to a processing node
US8856573B2 (en) * 2012-06-27 2014-10-07 Intel Corporation Setting a number (N) of fast training sequences (FTS) automatically to an optimal value
US9280504B2 (en) 2012-08-24 2016-03-08 Intel Corporation Methods and apparatus for sharing a network interface controller
US8984313B2 (en) 2012-08-31 2015-03-17 Intel Corporation Configuring power management functionality in a processor including a plurality of cores by utilizing a register to store a power domain indicator
US8935578B2 (en) 2012-09-29 2015-01-13 Intel Corporation Method and apparatus for optimizing power and latency on a link
US8996757B2 (en) * 2012-09-29 2015-03-31 Intel Corporation Method and apparatus to generate platform correctable TX-RX
US9003091B2 (en) 2012-10-18 2015-04-07 Hewlett-Packard Development Company, L.P. Flow control for a Serial Peripheral Interface bus
US9280507B2 (en) * 2012-10-22 2016-03-08 Intel Corporation High performance interconnect physical layer
US9600431B2 (en) * 2012-10-22 2017-03-21 Intel Corporation High performance interconnect physical layer
KR101828756B1 (en) * 2012-10-22 2018-02-12 인텔 코포레이션 High performance interconnect coherence protocol
US9479196B2 (en) * 2012-10-22 2016-10-25 Intel Corporation High performance interconnect link layer
RU2633126C2 (en) 2013-02-28 2017-10-11 Интел Корпорейшн Strengthening mechanism of transfer and/or configuration of one protocol of inter-connections for another protocol of inter-connections
US9436244B2 (en) * 2013-03-15 2016-09-06 Intel Corporation Adaptive control loop protection for fast and robust recovery from low-power states in high speed serial I/O applications
WO2015099719A1 (en) * 2013-12-26 2015-07-02 Intel Corporation Multichip package link
US9946676B2 (en) * 2015-03-26 2018-04-17 Intel Corporation Multichip package link

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5434993A (en) * 1992-11-09 1995-07-18 Sun Microsystems, Inc. Methods and apparatus for creating a pending write-back controller for a cache controller on a packet switched memory bus employing dual directories
US5551005A (en) * 1994-02-25 1996-08-27 Intel Corporation Apparatus and method of handling race conditions in mesi-based multiprocessor system with private caches
US20030131202A1 (en) * 2000-12-29 2003-07-10 Manoj Khare Mechanism for initiating an implicit write-back in response to a read or snoop of a modified cache line
US20030210655A1 (en) * 2002-05-13 2003-11-13 Newisys, Inc. A Delaware Corporation Methods and apparatus for responding to a request cluster
US20050262250A1 (en) * 2004-04-27 2005-11-24 Batson Brannon J Messaging protocol
US7543115B1 (en) * 2006-01-11 2009-06-02 Intel Corporation Two-hop source snoop based cache coherence protocol
US7600080B1 (en) * 2006-09-22 2009-10-06 Intel Corporation Avoiding deadlocks in a multiprocessor system
US20100005246A1 (en) * 2008-07-07 2010-01-07 Beers Robert H Satisfying memory ordering requirements between partial reads and non-snoop accesses
US20100318998A1 (en) * 2009-06-16 2010-12-16 Golla Robert T System and Method for Out-of-Order Resource Allocation and Deallocation in a Threaded Machine
US20100332767A1 (en) * 2009-06-26 2010-12-30 Ganesh Kumar Controllably Exiting An Unknown State Of A Cache Coherency Directory
US20120215987A1 (en) * 2011-02-17 2012-08-23 Oracle International Corporation Broadcast protocol for a network of caches

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10303605B2 (en) * 2016-07-20 2019-05-28 Intel Corporation Increasing invalid to modified protocol occurrences in a computing system
US20220100669A1 (en) * 2020-09-28 2022-03-31 Samsung Electronics Co., Ltd. Smart storage device

Also Published As

Publication number Publication date
KR101815173B1 (en) 2018-01-30
KR101686360B1 (en) 2016-12-13
CN104303166B (en) 2018-01-09
CN104536933A (en) 2015-04-22
US20180143937A1 (en) 2018-05-24
EP3410304A1 (en) 2018-12-05
KR101700545B1 (en) 2017-01-26
KR101815178B1 (en) 2018-01-04
KR20180049192A (en) 2018-05-10
KR101689998B1 (en) 2016-12-26
KR20170081730A (en) 2017-07-12
US20170097907A1 (en) 2017-04-06
KR101598746B1 (en) 2016-02-29
CN107102960A (en) 2017-08-29
JP2017188909A (en) 2017-10-12
US10216661B2 (en) 2019-02-26
WO2014065877A1 (en) 2014-05-01
CN107102960B (en) 2021-07-09
DE112013005086T5 (en) 2015-09-03
CN104737147A (en) 2015-06-24
KR20150059775A (en) 2015-06-02
WO2014065884A1 (en) 2014-05-01
CN108132892B (en) 2022-02-11
US20170083476A1 (en) 2017-03-23
DE112013004094B4 (en) 2018-03-29
JP2016506548A (en) 2016-03-03
KR20150047552A (en) 2015-05-04
KR101686359B1 (en) 2016-12-13
KR101905055B1 (en) 2018-10-08
CN108228495A (en) 2018-06-29
CN108132892A (en) 2018-06-08
DE112013003723B4 (en) 2018-09-13
KR20170042379A (en) 2017-04-18
DE112013001360T5 (en) 2014-11-27
CN106776364B (en) 2020-07-17
CN104536933B (en) 2018-02-13
CN104303166A (en) 2015-01-21
CN107968756A (en) 2018-04-27
KR20170007523A (en) 2017-01-18
US20180203811A1 (en) 2018-07-19
CN104756097A (en) 2015-07-01
CN104969206B (en) 2017-12-26
RU2014145179A (en) 2016-05-27
DE112013002069T5 (en) 2015-01-08
KR20150003363A (en) 2015-01-08
US20140112339A1 (en) 2014-04-24
CN104391816A (en) 2015-03-04
KR20170081728A (en) 2017-07-12
US9626321B2 (en) 2017-04-18
US20140215437A1 (en) 2014-07-31
KR101642086B1 (en) 2016-07-22
DE112013001360B4 (en) 2023-03-23
KR101772037B1 (en) 2017-08-28
DE112013002090T5 (en) 2015-01-15
DE112013007752B3 (en) 2023-04-27
CN106681938A (en) 2017-05-17
CN104487958A (en) 2015-04-01
US20140215112A1 (en) 2014-07-31
KR20170005897A (en) 2017-01-16
KR101754890B1 (en) 2017-07-06
KR20170007865A (en) 2017-01-20
DE112013005093T5 (en) 2015-10-22
DE112013005090T5 (en) 2015-07-02
WO2014065878A1 (en) 2014-05-01
KR20160144510A (en) 2016-12-16
DE112013004094T5 (en) 2015-07-23
US9418035B2 (en) 2016-08-16
KR20150063044A (en) 2015-06-08
US20170109315A1 (en) 2017-04-20
CN104969207A (en) 2015-10-07
CN107092565A (en) 2017-08-25
CN104995614B (en) 2018-04-06
US20220114122A1 (en) 2022-04-14
BR112015006432A2 (en) 2017-07-04
DE112013002069B4 (en) 2022-12-01
KR20150059721A (en) 2015-06-02
WO2014065876A1 (en) 2014-05-01
WO2014065881A1 (en) 2014-05-01
CN107092565B (en) 2021-03-12
US20200356502A1 (en) 2020-11-12
CN104380269A (en) 2015-02-25
CN107015924A (en) 2017-08-04
US10248591B2 (en) 2019-04-02
US20140201463A1 (en) 2014-07-17
KR101755005B1 (en) 2017-07-06
KR20150052102A (en) 2015-05-13
CN106815151A (en) 2017-06-09
KR101700261B1 (en) 2017-01-26
KR20180018853A (en) 2018-02-21
KR101599852B1 (en) 2016-03-07
US20150261711A1 (en) 2015-09-17
CN108614783B (en) 2022-11-18
KR101847943B1 (en) 2018-04-11
CN108614783A (en) 2018-10-02
WO2014065875A1 (en) 2014-05-01
KR101861312B1 (en) 2018-05-28
CN104335196B (en) 2017-10-13
CN104335196A (en) 2015-02-04
US10380046B2 (en) 2019-08-13
RU2599971C2 (en) 2016-10-20
CN104737142B (en) 2018-03-23
CN108055214B (en) 2021-04-13
CN104769570A (en) 2015-07-08
DE112013003723T5 (en) 2015-04-16
DE112013005104T5 (en) 2015-07-02
KR20160046928A (en) 2016-04-29
US9892086B2 (en) 2018-02-13
US9916266B2 (en) 2018-03-13
KR101696124B1 (en) 2017-01-12
US11741030B2 (en) 2023-08-29
US20150067207A1 (en) 2015-03-05
WO2014065880A1 (en) 2014-05-01
DE112013004105T5 (en) 2015-04-30
EP2909728A4 (en) 2016-06-22
US20190347226A1 (en) 2019-11-14
KR20160089541A (en) 2016-07-27
CN104380269B (en) 2018-01-30
KR20140141616A (en) 2014-12-10
CN104487958B (en) 2018-05-22
CN107045479B (en) 2020-09-01
KR101828756B1 (en) 2018-02-12
KR101861452B1 (en) 2018-05-25
CN111737167A (en) 2020-10-02
CN108055214A (en) 2018-05-18
US9753885B2 (en) 2017-09-05
EP3410304B1 (en) 2021-09-22
EP2909728A1 (en) 2015-08-26
DE112013007751B3 (en) 2023-01-12
KR101831550B1 (en) 2018-02-22
CN106815151B (en) 2021-04-20
CN104769570B (en) 2018-05-15
KR101695340B1 (en) 2017-01-11
CN108228495B (en) 2021-05-25
CN104391816B (en) 2018-11-09
KR20150047550A (en) 2015-05-04
WO2014065873A1 (en) 2014-05-01
CN106776364A (en) 2017-05-31
CN107015924B (en) 2020-10-30
JP6139689B2 (en) 2017-05-31
WO2014065873A8 (en) 2014-07-10
US10909055B2 (en) 2021-02-02
KR20160145197A (en) 2016-12-19
US20210117350A1 (en) 2021-04-22
US11269793B2 (en) 2022-03-08
KR101615908B1 (en) 2016-04-27
KR101985120B1 (en) 2019-05-31
KR20140137398A (en) 2014-12-02
CN104756097B (en) 2018-05-15
US20150081984A1 (en) 2015-03-19
KR20150077398A (en) 2015-07-07
KR101691756B1 (en) 2016-12-30
KR20150070107A (en) 2015-06-24
CN104969206A (en) 2015-10-07
KR101912074B1 (en) 2018-10-25
KR20150077397A (en) 2015-07-07
WO2014065876A9 (en) 2015-02-26
WO2014065882A1 (en) 2014-05-01
CN104737142A (en) 2015-06-24
CN106681938B (en) 2020-08-18
RU2579140C1 (en) 2016-03-27
WO2014065879A1 (en) 2014-05-01
DE112013002880T5 (en) 2015-03-05
US20240012772A1 (en) 2024-01-11
US9378171B2 (en) 2016-06-28
JP6423040B2 (en) 2018-11-14
KR101815180B1 (en) 2018-01-04
CN104737147B (en) 2018-11-06
KR20170012581A (en) 2017-02-02
CN107045479A (en) 2017-08-15
CN104995614A (en) 2015-10-21
US10204064B2 (en) 2019-02-12
KR101681509B1 (en) 2016-12-01
US20190391939A1 (en) 2019-12-26
WO2014065883A1 (en) 2014-05-01
DE112013007767B3 (en) 2023-04-20
US20180095927A1 (en) 2018-04-05
KR20160150653A (en) 2016-12-30
KR20150047551A (en) 2015-05-04
CN107968756B (en) 2021-10-01

Similar Documents

Publication Publication Date Title
US20170109286A1 (en) High performance interconnect coherence protocol
US11657015B2 (en) Multiple uplink port devices
US10268583B2 (en) High performance interconnect coherence protocol resolving conflict based on home transaction identifier different from requester transaction identifier
CN107924380B (en) Method, apparatus and system for allocating cache using traffic classes
TWI570565B (en) Pooled memory address translation
US10120774B2 (en) Coherence protocol tables
KR20160074390A (en) Low power entry in a shared memory link
US9940236B2 (en) Pointer chasing across distributed memory
US9189296B2 (en) Caching agent for deadlock prevention in a processor by allowing requests that do not deplete available coherence resources
US20220004439A1 (en) Cluster identifier remapping for asymmetric topologies

Legal Events

Date Code Title Description
STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION