US4503490A - Distributed timing system - Google Patents

Distributed timing system Download PDF

Info

Publication number
US4503490A
US4503490A US06/272,300 US27230081A US4503490A US 4503490 A US4503490 A US 4503490A US 27230081 A US27230081 A US 27230081A US 4503490 A US4503490 A US 4503490A
Authority
US
United States
Prior art keywords
section
clock
circuit
signal
clock signals
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US06/272,300
Inventor
John S. Thompson
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nokia Bell Labs
AT&T Corp
Original Assignee
AT&T Bell Laboratories Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by AT&T Bell Laboratories Inc filed Critical AT&T Bell Laboratories Inc
Priority to US06/272,300 priority Critical patent/US4503490A/en
Assigned to BELL TELEPHONE LABORATORIES, INCORPORATED reassignment BELL TELEPHONE LABORATORIES, INCORPORATED ASSIGNMENT OF ASSIGNORS INTEREST. Assignors: THOMPSON, JOHN S.
Application granted granted Critical
Publication of US4503490A publication Critical patent/US4503490A/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/04Generating or distributing clock signals or signals derived directly therefrom
    • G06F1/10Distribution of clock signals, e.g. skew

Definitions

  • This invention relates to a timing system that is more particularly described as a timing system for distributing clock signals in a processing system having plural processing sections.
  • operation of a synchronous system is timed by a signal from a central clock.
  • another clock signal is derived at the central location from the central clock signal.
  • many different clock signals are derived at the central location from the central clock signal.
  • all of these many clock signals are transmitted to all of the processing sections of the system by way of as many different clock leads as there are different clock signals.
  • VLSI very large scale integrated
  • a timing system for distributing clock signals in a synchronous processing system having plural processing sections
  • the timing system includes a central clock circuit for providing a continuous sequence of system clock signals, a plurality of section clock circuits located in different ones of the processing sections, and means for transmitting the continuous sequence of system clock signals to the section clock circuits as section master clock signals.
  • Each section clock circuit is arranged to respond to the section master clock signals applied thereto for stepping through a plurality of states including a state common to the plurality of section clock circuits. In response to the plurality of states, each section clock circuit generates section clock signals for its associated processing section.
  • An arrangement repeatedly responsive to the continuous sequence of system clock signals, produces and transmits to the plurality of section clock circuits synchronization signal that routinely set the plurality of section clock circuits to the common state.
  • FIG. 1 is a block diagram of an illustrative timing system arranged in accordance with the invention
  • FIG. 2 is a logic schematic of a circuit for producing a synchronization clock signal
  • FIG. 3 is a schematic diagram of a master-slave circuit for the synchronization clock circuit of FIG. 2;
  • FIG. 4 is a logic schematic of a circuit for producing a section clock signal
  • FIG. 5 is a schematic diagram of a resettable master-slave circuit for the section clock circuit of FIG. 4;
  • FIG. 6 is a timing diagram showing waveforms occurring during operation of the timing system and circuits, shown in FIGS. 1 through 5;
  • FIG. 7 is a logic schematic of a synchronization register circuit
  • FIG. 8 is a timing diagram showing waveforms occurring during suspension of operation of the timing system and circuits, shown in FIGS. 1 through 5.
  • FIG. 1 there is shown a block diagram of an arrangement for generating and distributing clock signals in a synchronous processing system having separate processing sections A and B.
  • the processing sections A and B include processing circuits 10 and 11 arranged to process data simultaneously in synchronism with each other.
  • other processing sections including processing circuits also working in synchronism with the circuits of processing sections A and B may be included in the system.
  • Each processing section may do the same processing function as the others, or it may do a different processing function than the other processing sections.
  • Each of the processing sections is electrically separated from the other sections except for interconnections, such as by way of a common data bus 15 and other buses 17 and 18, any of which may be long enough to cause substantial signal delays.
  • a continuous sequence of system clock signals SYCK is provided by an oscillator, or central clock, circuit 20 and is transmitted over a system clock lead 21 and through inverting delay circuits 22 and 23, respectively, to separate section clock circuits A' and B'. Additionally, the continuous sequence of system clock signals SYCK is transmitted through the lead 21 to a synchronization clock circuit 24 which produces a synchronization pulse SYNC on a synchronization lead 45.
  • the synchronization clock circuit 24 is a counter designed to repeatedly produce a pulse on the synchronization lead 45 for every fourth pulse of the continuous sequence of system clock signals SYCK.
  • Section clock circuits A' and B' are counter circuits, responsive to an inverted and delayed version of the continuous sequence of system clock signals SYCK, for stepping through a plurality of states, chosen for purposes of illustration to be four states, CS0, CS1, CS2, CS3.
  • An output lead is shown for each of the four states of each of the section clock circuits A' and B'.
  • a signal occurring on each lead goes to a high level for indicating that the counter is in the state associated with that lead.
  • These leads and their associated signals are designated S0, S1, S2 and S3 to relate to the states of the section clock circuits. They are generated as section clock signals for operating their associated section processing circuits. Other useful section clock signals may be generated from these signals.
  • FIG. 2 there is shown a logic schematic of the synchronization clock circuit 24, which includes a pair of similar master-slave circuits 26 and 27 arranged as a two stage (or four-state) Grey Code Counter. Both of the master-slave circuits 26 and 27 are D-type storage registers.
  • Circuit 26 of FIG. 3 has an input master bistable section 29 and an output slave bistable section 30.
  • the master and slave sections each include, an n-channel enhancement mode MOS inverter circuit having a driver device and a load device.
  • a signal on an input terminal D of the circuit 26 is coupled through an MOS gate device 31 to the input of the master section 29 under control of system master clock signals, as shown in FIG. 6, which are applied through a master clock input terminal M to a gate electrode of the gate device 31.
  • each pulse of the system clock signal SYCK on lead 21 is inverted by way of an inverter 14 onto a lead 28 as the system master clock signal for both of the master-slave circuits 26 and 27 of the synchronous clock circuit 24 and therefore for the circuit 26 of FIG. 3.
  • the system master clock signal on the lead 28 also is applied to a slave clock input terminal S of a master-slave circuit 109, which is used for some suspend condition timing.
  • a high level signal 62 as shown in FIG. 6, is applied to the master clock input terminal M in FIG. 3, the gate device 31 conducts and thereby couples the level of signal currently being applied to the input terminal D of the circuit 26 to the gate electrode of the driver device 25 of the master section 29 for determining the state of that master section.
  • the state determined in the master section is inverted from the state of the input signal existing on the input terminal D.
  • the state of the master section is determined by charge stored in the capacitance of the gate electrode of the driver device 25. This state is indicated by the voltage level on the master section output lead 16, which interconnects the driver and load devices and which is connected to another gate device 32.
  • the state indicated at the output lead 16 of the master section 29 is coupled through the gate device 32 to the input of the slave section 30 under control of a system slave clock signal, as shown in FIG. 6, which is applied through a slave clock input terminal S to a gate electrode of the gate device 32.
  • the system slave clock signal is produced in the circuit of FIG. 2 by applying the system master clock signal through a delay element 36 and a suspend system slave clock gate 39 to produce the system slave clock signal on a lead 37 and on the slave clock input terminals S of the circuits 26 and 27.
  • the system slave clock signal is produced cyclically as long as the suspend signal on a lead 111 is held low.
  • the delay element 36 delays clock pulses sufficiently long for the state of the master section to settle into its new state before the resulting slave clock signal occurs.
  • the system slave clock signals are narrowed by providing in association with the gate 39 a well-known pulse narrowing circuit which is not shown.
  • the system slave clock and the system master clock signals are out of phase with each other so that they are at their high
  • the system slave clock signal 64 When the system slave clock signal 64, a high level signal, as shown in FIG. 6, is applied to the slave clock input terminal S in the circuit 26, the existing state of the master section 29 is coupled through the gate device 32 to the gate electrode of the driver device 19 of the slave section 30 thereby determining the state of that slave section.
  • the state of the master section is inverted when it is applied to the input of the slave section.
  • the state of the slave section 30 After the system slave clock signal of FIG. 6 returns to a low level, the state of the slave section 30 is determined by charge stored in the capacitance of the gate electrode of the driver device 19 of the slave section. This state of the slave section is considered to be the state of the circuit 26 and is indicated by a voltage level produced on an output lead Q of the circuit 26.
  • the circuit 26 is considered to operate dynamically because the states of the master and slave sections 29 and 30 are determined by stored charge, which can dissipate over an interval of time.
  • bias voltages V GG , V SS and V DD supply bias, respectively, to the gate, source and drain terminals of the MOS devices shown therein.
  • the output of the master-slave circuit 27 is fed back through a lead 33 to the input D of the master-slave circuit 26.
  • the output Q of the master-slave circuit 26 is coupled through an inverter circuit 34 to the input D of the master-slave circuit 27.
  • the system master clock signal on the lead 28 clocks the signal levels from the inputs D into the master sections of the circuits 26 and 27.
  • the state of the slave section of the circuit 27 determines whether a "1" or a "0" is stored in the master section of the bistable circuit 26 as a result of the system master clock signal falling from its high level to zero.
  • an indication of that new state is applied to the input of the slave section of the master-slave circuit 26.
  • the slave section of the master-slave circuit 26 is forced into the state caused by the output of the master section currently being applied through the gate device 32 to the input of the slave section.
  • a signal representing both the state of the slave section and the state of the master-slave circuit 26, is produced on its output terminal Q.
  • this signal representing the state of the master-slave circuit 26 is applied over a lead 38 and through the inverter circuit 34 to the input terminal D of the master-slave circuit 27.
  • the inverted state signal applied at the input terminal D of the circuit 27 determines the state of its master section upon occurrence of the next system master clock signal on the lead 28.
  • the resulting state in the master section of the circuit 27 is transferred into the slave section thereof in response to the next system slave clock signal on the lead 37.
  • a signal representing both the state of the slave section and the state of the master-slave circuit 27 is produced on the output terminal Q of the circuit 27.
  • Synchronization clock circuit 24 steps from its zero state CS0, wherein the slave sections of the circuits 26 and 27 both store zeros, through first, second and third Grey Code states and then the circuit 24 returns to its zero state CS0.
  • the output signal from the output terminal Q of the master-slave circuit 26 and an inverted output signal from the output terminal Q of the master-slave circuit 27 are applied, respectively, by way of leads 40 and 41 to the inputs of a NAND gate 42.
  • the signal, produced by the NAND gate 42 on a lead 43, is a high level signal except when the master-slave circuits 26 and 27 of the counter indicate that the counter is in its third state CS3 (i.e., the slave section of the circuit 26 is in its state 1 and the slave section of the circuit 27 is in its state 0). At that time, the signal on the lead 43 goes to a low level.
  • An inverter 44 inverts the signal on the lead 43 to a high level synchronization signal SYNC that is produced on a lead 45.
  • Section clock circuit A' which is similar to the section clock circuit B' of FIG. 1 and to other section clock circuits not shown therein.
  • Section clock circuit A' basically is a four-state Grey Code counter like the counter of the synchronization clock circuit 24 described previously. There are, however, some features provided in the section clock circuit A', which are added to those described with respect to the synchronization clock circuit 24.
  • the high level synchronization clock signal SYNC of FIG. 6 is applied by way of the lead 45, an inverting delay circuit 46 and a lead 47 to reset terminals RN of resettable master-slave circuits 66 and 67 in FIG. 4.
  • the high level synchronization clock signal SYNC which occurs once for every fourth system clock pulse SYCK, causes the state of the Grey Code counter in the section clock circuit A' of FIG. 4 to be reset to a predetermined counter state regardless of what state that counter is in when the high level of the synchronization clock signal SYNC occurs.
  • the inverting delay element 46 is interposed between the lead 45 and the lead 47 which is connected to the reset terminals RN of the resettable master-slave circuits 66 and 67, shown in FIG. 4.
  • the symbol ⁇ A in the delay element 46 indicates that the delay element 46 provides a delay duration which assures that the reset signal, derived from the signal SYNC on the lead 45, arrives at the reset terminal RN of the section clock circuit A' concurrently with its arrival at similar reset terminals RN of the section clock circuit B' and other section clock circuits not shown in FIG. 1.
  • Each section clock has a delay element interposed between the lead 45 and the reset terminal RN to compensate for different transmission delays from the synchronization clock circuit 24 to the reset terminals.
  • the inverting delay element 22 is interposed between the lead 21 and the lead 35 which is connected to the master trigger input terminals of the resettable master-slave circuits 66 and 67, shown in FIG. 4.
  • the symbol ⁇ A in the inverting delay element 22 indicates that the delay element 22 provides a delay duration which assures that a section master clock signal, shown in FIG. 6 and derived from the system clock pulse SYCK on the lead 21, arrives at the master clock terminals M of the resettable master-slave circuits in the section clock circuit A' concurrently with its arrival at similar master clock terminals M in the section clock circuit B' and other section clock circuits, not shown in FIG. 1.
  • Each section clock circuit has such an inverting delay element interposed between the lead 21 and the section clock circuit master clock input terminals M to compensate for different transmission delays from the central clock 20 to the section clock circuit master clock input terminals.
  • Circuit 66 which may be used for either of the resettable master-slave circuits 66 and 67, shown in FIG. 4.
  • Circuit 66 is a D-type storage register similar to the circuit 26, shown in FIG. 3, except that the circuit 66 is resettable under certain conditions.
  • the synchronization signal SYNC being inverted onto the lead 47 of FIGS. 1 and 4
  • most of the time a high level signal is applied to the reset terminal RN of the circuit 66.
  • the inverted synchronization signal on the lead 47 goes low.
  • a gate device 79 couples the high level signal from the reset terminal RN to the gate electrode of a reset device 80 which is thereby enabled to conduct.
  • a master section 81 assumes a state determined by whatever input signal level is applied to the input terminal D and is coupled through a gate device 90 to a gate electrode of a driver device 91 of the master section 81.
  • the input signal is inverted by the master section which produces a resulting inverted signal.
  • the state of the master section is coupled by way of a gate device 82 to the input of a slave section 83 for determining its state which is inverted from the state of the master section.
  • the state of the circuit 66 is indicated by the level of the signal produced on the output terminal Q after the section slave clock pulse goes low.
  • An operational difference between the circuit 66 and the circuit 26 of FIG. 3 occurs during the one-out-of-four clock states when a low level reset signal is applied to the circuit 66 of FIG. 5 by way of the reset terminal RN.
  • the gate device 79 couples the low level reset signal to the gate electrode of the reset device 80 which is biased thereby to cut off.
  • the state of the master section 81 is determined so that the master section produces a high level output regardless of the input signal applied to the input terminal D of FIG. 5.
  • the following section slave clock signal enables the gate device 82 to couple the high level signal from the master section 81 to the gate electrode of the driver device in the slave section 83.
  • the slave section 83 assumes a state wherein it produces a low level signal on the output terminal Q of the circuit 66.
  • This signal on the output terminal Q indicates both the state of the slave section 83 and the output of the entire resettable master-slave circuit 66.
  • the series of waveforms represent the clock signals used within the timing system. As shown on the horizontal axis, time is presented in clock states and in instruction cycles. There are four system clock states CS0, CS1, CS2 and CS3 for each instruction cycle. The four system clock states are repeated during each instruction cycle.
  • Each section clock circuit of FIG. 1 is arranged to respond to its delayed system clock signal, such as the one applied to section clock A' by way of the lead 35.
  • the section clock circuits step through four section clock states CS0', CS1', CS2' and CS3', which are delayed from the system clock states.
  • the reset signal i.e., the inverted and delayed synchronization signal
  • all of the section clock circuits are set to a common state which has been chosen to be the third section clock state CS3'.
  • the system clock states commence with the system clock state CS3 for convenience of explaining the overall operation of the timing system. It is noted that during the system clock state CS3 the synchronization signal SYNC on lead 45 goes to its high level. The reset signal on the lead 47 goes low for setting the master-slave circuits 66 and 67 in the counter of the section clock circuit of FIG. 4. This setting of the counter of the section clock circuit occurs in each of the section clock circuits assuring that the Grey Code counters in the section clock circuits A' and B' are in identical counter states at the end of the system clock state CS3.
  • the system clock signal SYCK, the system master clock signal, the system slave clock signal, the section master clock signal and the section slave clock signal are shown repeating four times during one instruction cycle.
  • a series of four system master clock signals and a series of four system slave clock signals cause the synchronization clock circuit 24 of FIGS. 1 and 2 to step through the four states of the Grey Code.
  • the fourth state is the system clock state CS3 wherein the section clock circuits A' and B' are set to their section clock state CS3' by the inverted synchronization signal on lead 47 of FIGS. 1 and 4. This setting of the section clock circuits to a common state once during each instruction cycle assures that the states of all of the section clocks concur and that the section processing circuits 10 and 11 operate synchronously.
  • Gate 42 of FIG. 2 is arranged to detect the system clock state CS3 of the synchronization clock circuit 24, and together with the inverter 44 produces on lead 45 the synchronization signal SYNC of FIG. 6.
  • the four states of the counter of the section clock circuit A' of FIG. 4 are decoded by way of an output logic circuit 85 that generates a 1-out-of-4 group of section clock signals to indicate the state of the section clock circuit A'. Decoding is accomplished from the true and inverted signals representing the states of the master-slave circuits 66 and 67. The decoded states generate the section clock signals on output leads designated S0, S1, S2 and S3 in numerical agreement with the section clock states. Additional decoding circuits, which can be included within the section block circuits A' and B' and made responsive to the signals on the leads S0, S1, S2 and S3, may be either alike or different from each other.
  • a wide variety of useful section clock signals for operating the processing circuits in the processor sections A and B, as shown in FIG. 1, may be generated from the 1-out-of-4 group of section clock signals and transmitted by the processing circuits.
  • Transfers include both a buffered transfer into the processor and a buffered transfer out of the processor. These transfers are synchronized with the processing circuits 10 and 11 of FIG. 1 by suspending processing from time to time for servicing the data transfer operations.
  • the processing system of FIG. 1 is arranged for an input transfer from a peripheral device 101 by way of an external bus 116, an input buffer 118 and the data bus 15 to the processing circuits 10 or 11.
  • the processor control circuitry is prepared for synchronizing with this input transfer of data by a command signal which is a part of an instruction that is latched into a latching circuit 100, shown in FIG. 1.
  • This command signal is applied to a synchronization register 102 which is shown both in FIG. 1 and in greater detail in FIG. 7.
  • the synchronization register 102 includes circuitry for synchronizing the mentioned input transfer. It also includes circuitry for synchronizing various other types of transfers, but for the sake of brevity only the circuitry for the illustrative input transfer is described completely herein.
  • the command signal from the latching circuit 100 is applied by way of a lead 105 to a set terminal S of an RS flip-flop circuit 106 which is set thereby.
  • Information represented by the command signal on the lead 105, identifies a condition or an event, such as the input buffer 118 of FIG. 1 being full.
  • the command signal is terminated.
  • a resulting high level output signal from an output terminal Q of the flip-flop 106 causes an output NOR gate 107 to produce a low level output signal SUSRQ0 on a lead 108.
  • Other flip-flops of the syncrhonization register 102 remain reset and provide low level output signals from their output terminals Q.
  • FIG. 8 there are shown several waveforms that occur during a suspension of processing. During suspension many of the clock signals are interrupted. As shown in FIG. 8, however, the system clock signal SYCK and the system master clock signal are applied continuously during the suspension. Additionally a suspension clock signal, shown in FIG. 8 and occurring on a lead 103 in FIG. 2, is applied continuously for helping to retain control of the system during the suspension.
  • the processing system In response to the signal SUSRQ0 and the synchronization clock circuit stepping into its system clock state CS3, the processing system is put into its suspend state.
  • a suspension signal is to be produced and distributed to all of the section clock circuits until the identified event occurs. In this case the identified event is the input buffer becoming full.
  • the section clock signals are to be suspended in their state CS3' until the input buffer is filled with data via the external data bus 116, as shown in FIG. 1.
  • the low level signal SUSRQ0 from the synchronization register 102 is applied through the lead 108 to a suspension request flip-flop 109 which is not set thereby.
  • the flip-flop 109 applies a low level signal over a lead 120 to an input of a suspend processing gate 110, which produces a high level output signal on a lead 111 in response to the low level signal on the lead 120 and another low level signal on the lead 43.
  • This low level signal on the lead 43 represents that the synchronization clock circuit 24 has stepped into system clock state CS3.
  • the high level signal produced on the lead 111 is inverted to the low level signal SUSP shown in FIG. 8, is produced on lead 112 and is transmitted through an inverting delay element 113 to a lead 114.
  • the gates 42 and 110 are arranged to initiate the low level suspend signal SUSP0 on lead 112 only at the beginning of the system clock state CS3. Concurrently the signal SYNC on the lead 45 of FIG. 2 goes to its high level, as shown in FIG. 8. As shown in FIGS. 1 and 4, the high level signal on the lead 114 is applied to a suspend section slave clock gate 115 in the section clock circuit A'.
  • the system clock signal SYCK on the lead 21 and the system master clock signal on the lead 35 are continued during suspension. Other clock signals are disabled for the duration of the suspend condition.
  • the high level signal on the lead 111 of FIG. 2 is applied to the suspend system slave clock gate 39 which is disabled thereby from transmitting system slave clock signals for the duration of the suspension, as shown in FIG. 8. This disabling of the system slave clock signal stops the stepping of the synchronization clock circuit in the system clock state CS3 while the suspension lasts.
  • the resulting high level signal on the lead 114 of FIGS. 1 and 4 disables the suspend section slave clock gate 115 of FIG. 4 from transmitting section slave clock signals for the duration of the suspension.
  • the input buffer 118 includes both a data register and logic circuitry for generating, on a lead 117, a signal indicating that the input buffer 118 has been filled.
  • a condition fulfilled, or event occurred, signal is applied from the logic circuitry of the input buffer 118 by way of the lead 117 to the synchronization register 102.
  • this high level condition fulfilled signal is applied by the input buffer circuitry 118 for representing that the input buffer is full.
  • the condition fulfilled signal resets the flip-flop 106 causing the sychronization gate 107 to terminate the low level suspend request signal SUSRQ0 and produce a high level signal on the lead 108 indicating that the input buffer is full.
  • This high level signal on the lead 108 may occur at any time during suspension, as shown by the several alternative leading edge lines in FIG. 8.
  • the suspend request flip-flop 109 in FIG. 2 is set so that it produces a high level signal at its output Q.
  • This high level signal occurs on the lead 120 and is applied in FIG. 2 to the suspend processing gate 110, which produces a low level signal.
  • Its inverted signal, that occurs on the lead 112 is applied through the inverting delay circuit 113 and the lead 114 to the section clock circuit A'. Termination of the low level signal SUSP0 on the lead 112 follows the rising edge of the system master clock signal for the clock cycle after the suspend request signal SUSRQ0 goes high, as shown in FIG. 8, and the resulting high level is applied to the suspend section slave clock gate 115, shown in FIG. 4.
  • the concurrent low level signal on the lead 114 enables the gate 115 in the section clock A' of FIG. 4 for transmitting the section slave clock signals to the resettable master-slave circuits 66 and 67. Occurrence of the section slave clock signals enables the section clock circuits A' and B' to step out of their state CS3' into their state CS0' and other subsequent states. As they step through section clock states CS0', CS1', CS2' and CS3', they generate the multiple state section clock signals S0, S1, S2 and S3. From the section clock signals S0, S1, S2 and S3 and other clock signals derived therefrom, data processing is reactivated.
  • the data stored in the input buffer 118 is transferred subsequently to one of the processing circuits 10 or 11, in accordance with the machine instructions.

Abstract

A timing system, for distributing clock signals in a synchronous processing system having plural processing sections, includes a central clock circuit and a plurality of section clock circuits, each section clock circuit being located in and associated with a different one of the processing sections. Each section clock circuit is arranged to respond to a system clock signal for stepping through a plurality of states including a state common to the plurality of section clock circuits. An arrangement, responsive to the system clock signal, produces and transmits to the plurality of section clock circuits a synchronization signal that routinely sets the plurality of section clock circuits to the common state. The timing system may further include an arrangement for controlling suspension of processing in the plural processing sections.

Description

BACKGROUND OF THE INVENTION
This invention relates to a timing system that is more particularly described as a timing system for distributing clock signals in a processing system having plural processing sections.
In the prior art, operation of a synchronous system is timed by a signal from a central clock. When some particular operation requires timing that is different than the central clock signal timing, another clock signal is derived at the central location from the central clock signal. To accommodate a large plurality of different particular operations which can occur in a synchronous system, many different clock signals are derived at the central location from the central clock signal. Typically all of these many clock signals are transmitted to all of the processing sections of the system by way of as many different clock leads as there are different clock signals.
A problem arises when a synchronous system includes many circuits in a small area such as in a very large scale integrated (VLSI) circuit. It is desirable to supply all of the needed clock signals to all of the processing sections of the integrated circuit chip while utilizing a minimum of chip area for distributing the many different clock signals. The problem is that the many clock leads occupy too much of the total area available for circuitry.
SUMMARY OF THE INVENTION
This problem is solved by a timing system for distributing clock signals in a synchronous processing system having plural processing sections, the timing system includes a central clock circuit for providing a continuous sequence of system clock signals, a plurality of section clock circuits located in different ones of the processing sections, and means for transmitting the continuous sequence of system clock signals to the section clock circuits as section master clock signals. Each section clock circuit is arranged to respond to the section master clock signals applied thereto for stepping through a plurality of states including a state common to the plurality of section clock circuits. In response to the plurality of states, each section clock circuit generates section clock signals for its associated processing section. An arrangement, repeatedly responsive to the continuous sequence of system clock signals, produces and transmits to the plurality of section clock circuits synchronization signal that routinely set the plurality of section clock circuits to the common state.
BRIEF DESCRIPTION OF THE DRAWINGS
A better understanding of the invention may be derived by reading the following detailed description of an illustrative embodiment thereof with reference to the attached drawings wherein
FIG. 1 is a block diagram of an illustrative timing system arranged in accordance with the invention;
FIG. 2 is a logic schematic of a circuit for producing a synchronization clock signal;
FIG. 3 is a schematic diagram of a master-slave circuit for the synchronization clock circuit of FIG. 2;
FIG. 4 is a logic schematic of a circuit for producing a section clock signal;
FIG. 5 is a schematic diagram of a resettable master-slave circuit for the section clock circuit of FIG. 4;
FIG. 6 is a timing diagram showing waveforms occurring during operation of the timing system and circuits, shown in FIGS. 1 through 5;
FIG. 7 is a logic schematic of a synchronization register circuit; and
FIG. 8 is a timing diagram showing waveforms occurring during suspension of operation of the timing system and circuits, shown in FIGS. 1 through 5.
DETAILED DESCRIPTION
Referring now to FIG. 1, there is shown a block diagram of an arrangement for generating and distributing clock signals in a synchronous processing system having separate processing sections A and B. The processing sections A and B include processing circuits 10 and 11 arranged to process data simultaneously in synchronism with each other. Although not shown specifically in FIG. 1, other processing sections including processing circuits also working in synchronism with the circuits of processing sections A and B may be included in the system. Each processing section may do the same processing function as the others, or it may do a different processing function than the other processing sections. Each of the processing sections is electrically separated from the other sections except for interconnections, such as by way of a common data bus 15 and other buses 17 and 18, any of which may be long enough to cause substantial signal delays. A continuous sequence of system clock signals SYCK, as shown in FIG. 6, is provided by an oscillator, or central clock, circuit 20 and is transmitted over a system clock lead 21 and through inverting delay circuits 22 and 23, respectively, to separate section clock circuits A' and B'. Additionally, the continuous sequence of system clock signals SYCK is transmitted through the lead 21 to a synchronization clock circuit 24 which produces a synchronization pulse SYNC on a synchronization lead 45.
For purposes of illustration, the synchronization clock circuit 24 is a counter designed to repeatedly produce a pulse on the synchronization lead 45 for every fourth pulse of the continuous sequence of system clock signals SYCK.
Section clock circuits A' and B' are counter circuits, responsive to an inverted and delayed version of the continuous sequence of system clock signals SYCK, for stepping through a plurality of states, chosen for purposes of illustration to be four states, CS0, CS1, CS2, CS3. An output lead is shown for each of the four states of each of the section clock circuits A' and B'. A signal occurring on each lead goes to a high level for indicating that the counter is in the state associated with that lead. These leads and their associated signals, are designated S0, S1, S2 and S3 to relate to the states of the section clock circuits. They are generated as section clock signals for operating their associated section processing circuits. Other useful section clock signals may be generated from these signals.
Referring now to FIG. 2, there is shown a logic schematic of the synchronization clock circuit 24, which includes a pair of similar master- slave circuits 26 and 27 arranged as a two stage (or four-state) Grey Code Counter. Both of the master- slave circuits 26 and 27 are D-type storage registers.
Referring now to FIG. 3, there is shown a two-phase dynamic logic circuit 26 which may be used for each of the master- slave circuits 26 and 27 in FIG. 2. Circuit 26 of FIG. 3 has an input master bistable section 29 and an output slave bistable section 30. The master and slave sections each include, an n-channel enhancement mode MOS inverter circuit having a driver device and a load device. A signal on an input terminal D of the circuit 26 is coupled through an MOS gate device 31 to the input of the master section 29 under control of system master clock signals, as shown in FIG. 6, which are applied through a master clock input terminal M to a gate electrode of the gate device 31.
As shown in FIG. 2, each pulse of the system clock signal SYCK on lead 21 is inverted by way of an inverter 14 onto a lead 28 as the system master clock signal for both of the master- slave circuits 26 and 27 of the synchronous clock circuit 24 and therefore for the circuit 26 of FIG. 3. In FIG. 2 the system master clock signal on the lead 28 also is applied to a slave clock input terminal S of a master-slave circuit 109, which is used for some suspend condition timing.
When the system master clock signal, a high level signal 62 as shown in FIG. 6, is applied to the master clock input terminal M in FIG. 3, the gate device 31 conducts and thereby couples the level of signal currently being applied to the input terminal D of the circuit 26 to the gate electrode of the driver device 25 of the master section 29 for determining the state of that master section. The state determined in the master section is inverted from the state of the input signal existing on the input terminal D. After the system master clock signal returns to a low level, the state of the master section is determined by charge stored in the capacitance of the gate electrode of the driver device 25. This state is indicated by the voltage level on the master section output lead 16, which interconnects the driver and load devices and which is connected to another gate device 32.
The state indicated at the output lead 16 of the master section 29 is coupled through the gate device 32 to the input of the slave section 30 under control of a system slave clock signal, as shown in FIG. 6, which is applied through a slave clock input terminal S to a gate electrode of the gate device 32. The system slave clock signal is produced in the circuit of FIG. 2 by applying the system master clock signal through a delay element 36 and a suspend system slave clock gate 39 to produce the system slave clock signal on a lead 37 and on the slave clock input terminals S of the circuits 26 and 27. The system slave clock signal is produced cyclically as long as the suspend signal on a lead 111 is held low. The delay element 36, delays clock pulses sufficiently long for the state of the master section to settle into its new state before the resulting slave clock signal occurs. The system slave clock signals are narrowed by providing in association with the gate 39 a well-known pulse narrowing circuit which is not shown. The system slave clock and the system master clock signals are out of phase with each other so that they are at their high levels at mutually exclusive times.
When the system slave clock signal 64, a high level signal, as shown in FIG. 6, is applied to the slave clock input terminal S in the circuit 26, the existing state of the master section 29 is coupled through the gate device 32 to the gate electrode of the driver device 19 of the slave section 30 thereby determining the state of that slave section. The state of the master section is inverted when it is applied to the input of the slave section. After the system slave clock signal of FIG. 6 returns to a low level, the state of the slave section 30 is determined by charge stored in the capacitance of the gate electrode of the driver device 19 of the slave section. This state of the slave section is considered to be the state of the circuit 26 and is indicated by a voltage level produced on an output lead Q of the circuit 26.
The circuit 26 is considered to operate dynamically because the states of the master and slave sections 29 and 30 are determined by stored charge, which can dissipate over an interval of time.
In FIG. 3, bias voltages VGG, VSS and VDD supply bias, respectively, to the gate, source and drain terminals of the MOS devices shown therein.
Referring once again to FIG. 2, it is noted that there is a feedback arrangement. The output of the master-slave circuit 27 is fed back through a lead 33 to the input D of the master-slave circuit 26. The output Q of the master-slave circuit 26 is coupled through an inverter circuit 34 to the input D of the master-slave circuit 27. The system master clock signal on the lead 28 clocks the signal levels from the inputs D into the master sections of the circuits 26 and 27. Since the signal level residing on the input terminal D of the master-slave circuit 26 is fed back from the output Q of the circuit 27, the state of the slave section of the circuit 27 determines whether a "1" or a "0" is stored in the master section of the bistable circuit 26 as a result of the system master clock signal falling from its high level to zero. When the state of the master section of the master-slave circuit 26 is settled into its new state, an indication of that new state is applied to the input of the slave section of the master-slave circuit 26.
In response to the next subsequent system slave clock signal, the slave section of the master-slave circuit 26 is forced into the state caused by the output of the master section currently being applied through the gate device 32 to the input of the slave section. When the slave section settles into its new state, a signal, representing both the state of the slave section and the state of the master-slave circuit 26, is produced on its output terminal Q.
In FIG. 2 this signal, representing the state of the master-slave circuit 26, is applied over a lead 38 and through the inverter circuit 34 to the input terminal D of the master-slave circuit 27. The inverted state signal applied at the input terminal D of the circuit 27 determines the state of its master section upon occurrence of the next system master clock signal on the lead 28. The resulting state in the master section of the circuit 27 is transferred into the slave section thereof in response to the next system slave clock signal on the lead 37. A signal representing both the state of the slave section and the state of the master-slave circuit 27 is produced on the output terminal Q of the circuit 27.
Synchronization clock circuit 24 steps from its zero state CS0, wherein the slave sections of the circuits 26 and 27 both store zeros, through first, second and third Grey Code states and then the circuit 24 returns to its zero state CS0. The output signal from the output terminal Q of the master-slave circuit 26 and an inverted output signal from the output terminal Q of the master-slave circuit 27 are applied, respectively, by way of leads 40 and 41 to the inputs of a NAND gate 42. The signal, produced by the NAND gate 42 on a lead 43, is a high level signal except when the master- slave circuits 26 and 27 of the counter indicate that the counter is in its third state CS3 (i.e., the slave section of the circuit 26 is in its state 1 and the slave section of the circuit 27 is in its state 0). At that time, the signal on the lead 43 goes to a low level. An inverter 44 inverts the signal on the lead 43 to a high level synchronization signal SYNC that is produced on a lead 45.
Referring now to FIG. 4, there is shown a logic schematic of the section clock circuit A' which is similar to the section clock circuit B' of FIG. 1 and to other section clock circuits not shown therein. Section clock circuit A' basically is a four-state Grey Code counter like the counter of the synchronization clock circuit 24 described previously. There are, however, some features provided in the section clock circuit A', which are added to those described with respect to the synchronization clock circuit 24.
As shown in FIGS. 1 and 4, the high level synchronization clock signal SYNC of FIG. 6 is applied by way of the lead 45, an inverting delay circuit 46 and a lead 47 to reset terminals RN of resettable master- slave circuits 66 and 67 in FIG. 4. The high level synchronization clock signal SYNC, which occurs once for every fourth system clock pulse SYCK, causes the state of the Grey Code counter in the section clock circuit A' of FIG. 4 to be reset to a predetermined counter state regardless of what state that counter is in when the high level of the synchronization clock signal SYNC occurs.
In FIG. 1, the inverting delay element 46 is interposed between the lead 45 and the lead 47 which is connected to the reset terminals RN of the resettable master- slave circuits 66 and 67, shown in FIG. 4. The symbol τA in the delay element 46 indicates that the delay element 46 provides a delay duration which assures that the reset signal, derived from the signal SYNC on the lead 45, arrives at the reset terminal RN of the section clock circuit A' concurrently with its arrival at similar reset terminals RN of the section clock circuit B' and other section clock circuits not shown in FIG. 1. Each section clock has a delay element interposed between the lead 45 and the reset terminal RN to compensate for different transmission delays from the synchronization clock circuit 24 to the reset terminals.
In FIG. 1, the inverting delay element 22 is interposed between the lead 21 and the lead 35 which is connected to the master trigger input terminals of the resettable master- slave circuits 66 and 67, shown in FIG. 4. The symbol τA in the inverting delay element 22 indicates that the delay element 22 provides a delay duration which assures that a section master clock signal, shown in FIG. 6 and derived from the system clock pulse SYCK on the lead 21, arrives at the master clock terminals M of the resettable master-slave circuits in the section clock circuit A' concurrently with its arrival at similar master clock terminals M in the section clock circuit B' and other section clock circuits, not shown in FIG. 1. Each section clock circuit has such an inverting delay element interposed between the lead 21 and the section clock circuit master clock input terminals M to compensate for different transmission delays from the central clock 20 to the section clock circuit master clock input terminals.
Referring now to FIG. 5, there is shown a circuit 66 which may be used for either of the resettable master- slave circuits 66 and 67, shown in FIG. 4. Circuit 66 is a D-type storage register similar to the circuit 26, shown in FIG. 3, except that the circuit 66 is resettable under certain conditions. With the synchronization signal SYNC being inverted onto the lead 47 of FIGS. 1 and 4, most of the time a high level signal is applied to the reset terminal RN of the circuit 66. Once during every fourth clock state, the inverted synchronization signal on the lead 47 goes low. When the section master clock signal applied to the master clock terminal M of FIG. 5 goes high while the high level signal is applied to the reset terminal RN, a gate device 79 couples the high level signal from the reset terminal RN to the gate electrode of a reset device 80 which is thereby enabled to conduct. While the reset device 80 is enabled to conduct, a master section 81 assumes a state determined by whatever input signal level is applied to the input terminal D and is coupled through a gate device 90 to a gate electrode of a driver device 91 of the master section 81. The input signal is inverted by the master section which produces a resulting inverted signal.
When a subsequent section slave clock signal shown in FIG. 6 occurs on the slave clock terminal S in FIG. 5, the state of the master section is coupled by way of a gate device 82 to the input of a slave section 83 for determining its state which is inverted from the state of the master section. In FIG. 5, the state of the circuit 66 is indicated by the level of the signal produced on the output terminal Q after the section slave clock pulse goes low.
An operational difference between the circuit 66 and the circuit 26 of FIG. 3 occurs during the one-out-of-four clock states when a low level reset signal is applied to the circuit 66 of FIG. 5 by way of the reset terminal RN. When the section master clock signal is at its high level while the reset signal is low, the gate device 79 couples the low level reset signal to the gate electrode of the reset device 80 which is biased thereby to cut off. As a result the state of the master section 81 is determined so that the master section produces a high level output regardless of the input signal applied to the input terminal D of FIG. 5. The following section slave clock signal enables the gate device 82 to couple the high level signal from the master section 81 to the gate electrode of the driver device in the slave section 83. As a result of the section slave clock signal and the high level signal from the master section 81, the slave section 83 assumes a state wherein it produces a low level signal on the output terminal Q of the circuit 66. This signal on the output terminal Q indicates both the state of the slave section 83 and the output of the entire resettable master-slave circuit 66.
As a result the section clock circuit A' is set to its third state CS3.
Referring now to FIG. 6, the series of waveforms represent the clock signals used within the timing system. As shown on the horizontal axis, time is presented in clock states and in instruction cycles. There are four system clock states CS0, CS1, CS2 and CS3 for each instruction cycle. The four system clock states are repeated during each instruction cycle.
Each section clock circuit of FIG. 1 is arranged to respond to its delayed system clock signal, such as the one applied to section clock A' by way of the lead 35. In response to those delayed system clock signals, the section clock circuits step through four section clock states CS0', CS1', CS2' and CS3', which are delayed from the system clock states. When the reset signal, i.e., the inverted and delayed synchronization signal, is applied, all of the section clock circuits are set to a common state which has been chosen to be the third section clock state CS3'.
In FIG. 6, the system clock states commence with the system clock state CS3 for convenience of explaining the overall operation of the timing system. It is noted that during the system clock state CS3 the synchronization signal SYNC on lead 45 goes to its high level. The reset signal on the lead 47 goes low for setting the master- slave circuits 66 and 67 in the counter of the section clock circuit of FIG. 4. This setting of the counter of the section clock circuit occurs in each of the section clock circuits assuring that the Grey Code counters in the section clock circuits A' and B' are in identical counter states at the end of the system clock state CS3.
The system clock signal SYCK, the system master clock signal, the system slave clock signal, the section master clock signal and the section slave clock signal are shown repeating four times during one instruction cycle. A series of four system master clock signals and a series of four system slave clock signals cause the synchronization clock circuit 24 of FIGS. 1 and 2 to step through the four states of the Grey Code. The fourth state is the system clock state CS3 wherein the section clock circuits A' and B' are set to their section clock state CS3' by the inverted synchronization signal on lead 47 of FIGS. 1 and 4. This setting of the section clock circuits to a common state once during each instruction cycle assures that the states of all of the section clocks concur and that the section processing circuits 10 and 11 operate synchronously.
Gate 42 of FIG. 2 is arranged to detect the system clock state CS3 of the synchronization clock circuit 24, and together with the inverter 44 produces on lead 45 the synchronization signal SYNC of FIG. 6.
Because of the synchronous operation of the section processing circuits 10 and 11 in FIG. 1, the result of the processing in each section is available for inputting to any other processing section for the next subsequent instruction cycle. Consequently, data being processed can be processed efficiently in a pipelined manner from processing section to processing section. The processing being performed in each processing section is kept in step with the processing of all other processing sections.
The four states of the counter of the section clock circuit A' of FIG. 4 are decoded by way of an output logic circuit 85 that generates a 1-out-of-4 group of section clock signals to indicate the state of the section clock circuit A'. Decoding is accomplished from the true and inverted signals representing the states of the master- slave circuits 66 and 67. The decoded states generate the section clock signals on output leads designated S0, S1, S2 and S3 in numerical agreement with the section clock states. Additional decoding circuits, which can be included within the section block circuits A' and B' and made responsive to the signals on the leads S0, S1, S2 and S3, may be either alike or different from each other. A wide variety of useful section clock signals for operating the processing circuits in the processor sections A and B, as shown in FIG. 1, may be generated from the 1-out-of-4 group of section clock signals and transmitted by the processing circuits.
Various types of data transfers are possible from a peripheral device to the processing circuits and vice versa. Transfers include both a buffered transfer into the processor and a buffered transfer out of the processor. These transfers are synchronized with the processing circuits 10 and 11 of FIG. 1 by suspending processing from time to time for servicing the data transfer operations. For purposes of illustration, the processing system of FIG. 1 is arranged for an input transfer from a peripheral device 101 by way of an external bus 116, an input buffer 118 and the data bus 15 to the processing circuits 10 or 11.
Processing of data originating from the peripheral device 101 can be synchronized readily by the timing system of FIG. 1. The processor control circuitry is prepared for synchronizing with this input transfer of data by a command signal which is a part of an instruction that is latched into a latching circuit 100, shown in FIG. 1. This command signal is applied to a synchronization register 102 which is shown both in FIG. 1 and in greater detail in FIG. 7. The synchronization register 102 includes circuitry for synchronizing the mentioned input transfer. It also includes circuitry for synchronizing various other types of transfers, but for the sake of brevity only the circuitry for the illustrative input transfer is described completely herein.
Referring now to FIG. 7, the command signal from the latching circuit 100 is applied by way of a lead 105 to a set terminal S of an RS flip-flop circuit 106 which is set thereby. Information, represented by the command signal on the lead 105, identifies a condition or an event, such as the input buffer 118 of FIG. 1 being full. After the flip-flop 106 in FIG. 7 is set, the command signal is terminated. A resulting high level output signal from an output terminal Q of the flip-flop 106 causes an output NOR gate 107 to produce a low level output signal SUSRQ0 on a lead 108. Other flip-flops of the syncrhonization register 102 remain reset and provide low level output signals from their output terminals Q.
Referring also to FIG. 8, there are shown several waveforms that occur during a suspension of processing. During suspension many of the clock signals are interrupted. As shown in FIG. 8, however, the system clock signal SYCK and the system master clock signal are applied continuously during the suspension. Additionally a suspension clock signal, shown in FIG. 8 and occurring on a lead 103 in FIG. 2, is applied continuously for helping to retain control of the system during the suspension.
Since a request for suspension may occur at any time, its associated signal SUSRQ0 may go to its low level at any time during an instruction cycle, as shown by several alternative trailing edge lines in FIG. 8.
In response to the signal SUSRQ0 and the synchronization clock circuit stepping into its system clock state CS3, the processing system is put into its suspend state. A suspension signal is to be produced and distributed to all of the section clock circuits until the identified event occurs. In this case the identified event is the input buffer becoming full. As a result of the suspension signal, the section clock signals are to be suspended in their state CS3' until the input buffer is filled with data via the external data bus 116, as shown in FIG. 1.
As shown in FIGS. 1 and 2, the low level signal SUSRQ0 from the synchronization register 102 is applied through the lead 108 to a suspension request flip-flop 109 which is not set thereby. The flip-flop 109 applies a low level signal over a lead 120 to an input of a suspend processing gate 110, which produces a high level output signal on a lead 111 in response to the low level signal on the lead 120 and another low level signal on the lead 43. This low level signal on the lead 43 represents that the synchronization clock circuit 24 has stepped into system clock state CS3.
The high level signal produced on the lead 111 is inverted to the low level signal SUSP shown in FIG. 8, is produced on lead 112 and is transmitted through an inverting delay element 113 to a lead 114.
The gates 42 and 110 are arranged to initiate the low level suspend signal SUSP0 on lead 112 only at the beginning of the system clock state CS3. Concurrently the signal SYNC on the lead 45 of FIG. 2 goes to its high level, as shown in FIG. 8. As shown in FIGS. 1 and 4, the high level signal on the lead 114 is applied to a suspend section slave clock gate 115 in the section clock circuit A'.
As previously mentioned, the system clock signal SYCK on the lead 21 and the system master clock signal on the lead 35 are continued during suspension. Other clock signals are disabled for the duration of the suspend condition. The high level signal on the lead 111 of FIG. 2 is applied to the suspend system slave clock gate 39 which is disabled thereby from transmitting system slave clock signals for the duration of the suspension, as shown in FIG. 8. This disabling of the system slave clock signal stops the stepping of the synchronization clock circuit in the system clock state CS3 while the suspension lasts.
Also the resulting high level signal on the lead 114 of FIGS. 1 and 4 disables the suspend section slave clock gate 115 of FIG. 4 from transmitting section slave clock signals for the duration of the suspension.
When the data has been transferred from the peripheral device to the input buffer 118 and is ready for transmission over the data bus 15, the data waits in the input buffer 118 for the timing system to initiate the transfer of the data through the data bus 15 to one of the processing circuits. The input buffer 118 includes both a data register and logic circuitry for generating, on a lead 117, a signal indicating that the input buffer 118 has been filled.
With the transfer into the input buffer 118 occurring at some time while the flip-flop 106 of FIG. 7 remains set, a condition fulfilled, or event occurred, signal is applied from the logic circuitry of the input buffer 118 by way of the lead 117 to the synchronization register 102. For the example being presented, this high level condition fulfilled signal is applied by the input buffer circuitry 118 for representing that the input buffer is full. In the circuit of FIG. 7, the condition fulfilled signal resets the flip-flop 106 causing the sychronization gate 107 to terminate the low level suspend request signal SUSRQ0 and produce a high level signal on the lead 108 indicating that the input buffer is full. This high level signal on the lead 108 may occur at any time during suspension, as shown by the several alternative leading edge lines in FIG. 8.
As a result of the high level signal on the lead 108, the suspend request flip-flop 109 in FIG. 2 is set so that it produces a high level signal at its output Q. This high level signal occurs on the lead 120 and is applied in FIG. 2 to the suspend processing gate 110, which produces a low level signal. Its inverted signal, that occurs on the lead 112, is applied through the inverting delay circuit 113 and the lead 114 to the section clock circuit A'. Termination of the low level signal SUSP0 on the lead 112 follows the rising edge of the system master clock signal for the clock cycle after the suspend request signal SUSRQ0 goes high, as shown in FIG. 8, and the resulting high level is applied to the suspend section slave clock gate 115, shown in FIG. 4. The concurrent low level signal on the lead 114 enables the gate 115 in the section clock A' of FIG. 4 for transmitting the section slave clock signals to the resettable master- slave circuits 66 and 67. Occurrence of the section slave clock signals enables the section clock circuits A' and B' to step out of their state CS3' into their state CS0' and other subsequent states. As they step through section clock states CS0', CS1', CS2' and CS3', they generate the multiple state section clock signals S0, S1, S2 and S3. From the section clock signals S0, S1, S2 and S3 and other clock signals derived therefrom, data processing is reactivated.
The data stored in the input buffer 118 is transferred subsequently to one of the processing circuits 10 or 11, in accordance with the machine instructions.
The foregoing describes an illustrative embodiment of the invention. The described arrangement together with other arrangements within the skill of the art are considered to be within the scope of the invention.

Claims (4)

What is claimed is:
1. A timing system for distributing clock signals in a synchronous processing system having plural processing sections (A,B), the timing system includes a central clock circuit (20) for producing a continuous sequence of system clock signals (SYCK); the timing system BEING CHARACTERIZED BY:
a plurality of section clock circuits (A',B'), each section clock circuit being located in and associated with a different one of the processing sections of the processing system;
means for transmitting the continuous sequence of system clock signals to the section clock circuits as section master clock signals;
each section clock circuit being arranged to respond to the section master clock signals applied thereto for stepping through a plurality of states (CS0', CS1', CS2', CS3') including a state (CS3') common to the plurality of section clock circuits;
in response to the plurality of states, each section clock circuit generates section clock signals (S0, S1, S2, S3) for its associated processing section; and
an arrangement (24), repeatedly responsive to the continuous sequence of the system clock signals, for producing and transmitting to the plurality of section clock circuits synchronization signals (SYNC) that routinely set the plurality of section clock circuits to the common state.
2. A circuit arrangement for producing clock signals including
a section clock circuit arranged for stepping through plural states, including a common state, and generating associated section clock signals;
a central clock circuit for providing a continuous sequence of system clock signals;
means for transmitting the continuous sequence of system clock signals to the section clock circuit for controlling the stepping through the plural states and thereby the generation of the section clock signals;
means, responsive to a command signal identifying a selected event, for producing a suspension signal and distributing it to the section clock circuit;
gate means, included in the section clock circuit and responsive to the sequence of system clock signals and the suspension signal, for disabling the generation of the section clock signals by disabling the stepping through the states when the section clock steps into its common state; and
means for applying a signal, representing the occurrence of the selected event, to the producing means for terminating the suspension signal and thereby enabling the stepping of the section clock circuit through the plural states and the generation of the section clock signals.
3. A circuit arrangement for producing and distributing clock signals including
plural section clock circuits, each section clock circuit being arranged for stepping through plural states, including a common state, and generating associated clock signals synchronized with section clock signals being generated by others of the section clock circuits;
a central clock circuit for providing a continuous sequence of system clock signals;
means for transmitting the continuous sequence of system clock signals to the plural section clock circuits for controlling the stepping through the plural states and thereby the generation of the section clock signals;
means, responsive to a command signal identifying a selected event, for producing a suspension signal and distributing it to the section clock circuits;
gate means, included in the section clock circuits and responsive to the sequence of system clock signals and the suspension signal, for disabling the stepping through the states when the section clock circuits step into the common state and therefore for disabling the generation of the section clock signals; and
means for applying a signal, representing the occurrence of the selected event, to the producing means for terminating the suspension signal and thereby enabling both the stepping of the section clock circuits through the plural states and the generation of the section clock signals.
4. A circuit arrangement for producing and distributing clock signals in accordance with claim 3 and further including
each section clock circuit being arranged to step through the common state; and
an arrangement, responsive to the sequence of system clock signals, for furnishing and transmitting to the plurality of section clock circuits a repeated synchronization signal that routinely sets the plurality of section clock circuits to the common state, the arrangement being further responsive to the suspension signal and the synchronization signal for insuring that synchronization among the section clock circuits is maintained throughout any interval during which the suspension signal is produced.
US06/272,300 1981-06-10 1981-06-10 Distributed timing system Expired - Lifetime US4503490A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US06/272,300 US4503490A (en) 1981-06-10 1981-06-10 Distributed timing system

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US06/272,300 US4503490A (en) 1981-06-10 1981-06-10 Distributed timing system

Publications (1)

Publication Number Publication Date
US4503490A true US4503490A (en) 1985-03-05

Family

ID=23039226

Family Applications (1)

Application Number Title Priority Date Filing Date
US06/272,300 Expired - Lifetime US4503490A (en) 1981-06-10 1981-06-10 Distributed timing system

Country Status (1)

Country Link
US (1) US4503490A (en)

Cited By (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1985005707A1 (en) * 1984-05-31 1985-12-19 General Electric Company Fault tolerant, frame synchronization for multiple processor systems
US4575848A (en) * 1984-02-01 1986-03-11 Westinghouse Electric Corp. Methods and apparatus for correcting a software clock from an accurate clock
EP0200797A1 (en) * 1985-05-07 1986-11-12 Deutsche ITT Industries GmbH Monolithic digital integrated circuit
US4635234A (en) * 1983-07-15 1987-01-06 Nec Corporation Memory circuit with an improved output control circuit
WO1987004306A1 (en) * 1985-12-30 1987-07-16 Eta Systems, Inc. Electronic clock tuning system
US4694472A (en) * 1982-04-26 1987-09-15 American Telephone And Telegraph Company Clock adjustment method and apparatus for synchronous data communications
US4737907A (en) * 1982-09-21 1988-04-12 Xerox Corporation Multiprocessor control synchronization and instruction downloading
US4787064A (en) * 1982-12-23 1988-11-22 Siemens Aktiengesellschaft Circuit module with interface circuits for connecting to plurality of busses operating in different operating modes
US4803702A (en) * 1986-08-05 1989-02-07 Advanced Micro Devices, Inc. Reset and synchronization interface circuit
US4809305A (en) * 1985-07-30 1989-02-28 Kabushiki Kaisha Toshiba Cyclic digital information transmission system
US4839907A (en) * 1988-02-26 1989-06-13 American Telephone And Telegraph Company, At&T Bell Laboratories Clock skew correction arrangement
US4841520A (en) * 1986-10-21 1989-06-20 Amp Incorporated Data transmission system with bus failure detection system
US4873663A (en) * 1988-04-25 1989-10-10 American Telephone And Telegraph Company Control memory using recirculating shift registers for a TDM switching apparatus
EP0347558A2 (en) * 1988-06-24 1989-12-27 International Business Machines Corporation Apparatus for partitioned clock stopping in response to classified processor errors
GB2183067B (en) * 1985-11-13 1990-04-25 Sony Corp Data processing
US4967352A (en) * 1985-08-23 1990-10-30 Hitachi, Ltd. Data processor in which external sync signal may be selectively inhibited
US5006979A (en) * 1985-07-29 1991-04-09 Hitachi, Ltd. Phase synchronization system
US5020024A (en) * 1987-01-16 1991-05-28 Stratus Computer, Inc. Method and apparatus for detecting selected absence of digital logic synchronism
US5041966A (en) * 1987-10-06 1991-08-20 Nec Corporation Partially distributed method for clock synchronization
US5146585A (en) * 1988-10-25 1992-09-08 International Business Machines Corporation Synchronized fault tolerant clocks for multiprocessor systems
US5151986A (en) * 1987-08-27 1992-09-29 Motorola, Inc. Microcomputer with on-board chip selects and programmable bus stretching
US5179694A (en) * 1985-08-23 1993-01-12 Hitachi, Ltd. Data processor in which external sync signal may be selectively inhibited
US5206833A (en) * 1988-09-12 1993-04-27 Acer Incorporated Pipelined dual port RAM
US5239628A (en) * 1985-11-13 1993-08-24 Sony Corporation System for asynchronously generating data block processing start signal upon the occurrence of processing end signal block start signal
US5265063A (en) * 1990-07-16 1993-11-23 Nec Corporation Semiconductor memory device having a plurality of SRAMs operable in synchronism with a clock permitting simultaneous access to multiple data
US5305451A (en) * 1990-09-05 1994-04-19 International Business Machines Corporation Single phase clock distribution circuit for providing clock signals to multiple chip integrated circuit systems
US5367662A (en) * 1988-09-16 1994-11-22 Hitachi, Ltd. Distributed machine state controlled processor system with a CPU clocked with a reference signal delayed from a system clock
US5444714A (en) * 1992-11-30 1995-08-22 Samsung Electronics Co., Ltd. Communication and exchange processing system
US5452436A (en) * 1989-08-28 1995-09-19 Hitachi, Ltd. System for connecting plurality of electronic units to data and clock buses wherein transmitting and receiving data in synchronization with transmitting and receiving clock signals
US5493686A (en) * 1985-08-23 1996-02-20 Hitachi, Ltd. Data processor in which external sync signal may be selectively inhibited
US5504878A (en) * 1991-02-04 1996-04-02 International Business Machines Corporation Method and apparatus for synchronizing plural time-of-day (TOD) clocks with a central TOD reference over non-dedicated serial links using an on-time event (OTE) character
US5537602A (en) * 1988-09-16 1996-07-16 Hitachi, Ltd. Process system for controlling bus system to communicate data between resource and processor
US5630086A (en) * 1993-12-03 1997-05-13 Hewlett-Packard Co. Apparatus systems and methods for controlling electronic memories
WO1997025797A1 (en) * 1996-01-03 1997-07-17 Credence Systems Corporation Signal distribution system
US5692165A (en) * 1995-09-12 1997-11-25 Micron Electronics Inc. Memory controller with low skew control signal
US6098178A (en) * 1998-05-22 2000-08-01 The United States Of America As Represented By The Secretary Of The Navy Time synchronization algorithm for massively parallel processor systems
US20020037017A1 (en) * 2000-09-28 2002-03-28 Siemens Aktiengesellschaft. Method for synchronizing a plurality of bus systems, and hierarchical multibus system corresponding thereto
US6567376B1 (en) 1999-02-25 2003-05-20 Telefonaktiebolaget Lm Ericsson (Publ) Using system frame number to implement timers in telecommunications system having redundancy
US6573757B1 (en) 2000-09-11 2003-06-03 Cypress Semiconductor Corp. Signal line matching technique for ICS/PCBS
US20030212835A1 (en) * 2002-02-25 2003-11-13 General Electric Company Method and system for external clock to obtain multiple synchronized redundant computers
US20030221446A1 (en) * 2002-02-22 2003-12-04 Lalit Chorida Means and apparatus for microrefrigeration
US20040008973A1 (en) * 2002-07-12 2004-01-15 Marshall Robert Alexander Method and system for synchronizing operation of remote timer with centeral control control unit
US6718474B1 (en) 2000-09-21 2004-04-06 Stratus Technologies Bermuda Ltd. Methods and apparatus for clock management based on environmental conditions
US8909961B2 (en) 2011-11-29 2014-12-09 Ati Technologies Ulc Method and apparatus for adjusting power consumption level of an integrated circuit
WO2018202613A1 (en) * 2017-05-03 2018-11-08 Carl Zeiss Microscopy Gmbh Microscope system and method for time controlling processes in a microscope system

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3443070A (en) * 1965-10-22 1969-05-06 Gen Electric Synchronized timing system for data processing
US3715729A (en) * 1971-03-10 1973-02-06 Ibm Timing control for a multiprocessor system
US3761884A (en) * 1970-11-20 1973-09-25 Ericsson Telefon Ab L M Arrangement for synchronizing a number of co-operating computers
US3774157A (en) * 1971-01-30 1973-11-20 Ibm Method of and arrangement for the distribution of timing pulses in an electronic data processor
US3919695A (en) * 1973-12-26 1975-11-11 Ibm Asynchronous clocking apparatus
US3932847A (en) * 1973-11-06 1976-01-13 International Business Machines Corporation Time-of-day clock synchronization among multiple processing units
US4050096A (en) * 1974-10-30 1977-09-20 Motorola, Inc. Pulse expanding system for microprocessor systems with slow memory
US4063308A (en) * 1975-06-27 1977-12-13 International Business Machines Corporation Automatic clock tuning and measuring system for LSI computers
US4099241A (en) * 1973-10-30 1978-07-04 Telefonaktiebolaget L M Ericsson Apparatus for facilitating a cooperation between an executive computer and a reserve computer
US4104720A (en) * 1976-11-29 1978-08-01 Data General Corporation CPU/Parallel processor interface with microcode extension
US4368514A (en) * 1980-04-25 1983-01-11 Timeplex, Inc. Multi-processor system
US4419739A (en) * 1978-12-12 1983-12-06 International Business Machines Corporation Decentralized generation of synchronized clock control signals having dynamically selectable periods

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3443070A (en) * 1965-10-22 1969-05-06 Gen Electric Synchronized timing system for data processing
US3761884A (en) * 1970-11-20 1973-09-25 Ericsson Telefon Ab L M Arrangement for synchronizing a number of co-operating computers
US3774157A (en) * 1971-01-30 1973-11-20 Ibm Method of and arrangement for the distribution of timing pulses in an electronic data processor
US3715729A (en) * 1971-03-10 1973-02-06 Ibm Timing control for a multiprocessor system
US4099241A (en) * 1973-10-30 1978-07-04 Telefonaktiebolaget L M Ericsson Apparatus for facilitating a cooperation between an executive computer and a reserve computer
US3932847A (en) * 1973-11-06 1976-01-13 International Business Machines Corporation Time-of-day clock synchronization among multiple processing units
US3919695A (en) * 1973-12-26 1975-11-11 Ibm Asynchronous clocking apparatus
US4050096A (en) * 1974-10-30 1977-09-20 Motorola, Inc. Pulse expanding system for microprocessor systems with slow memory
US4063308A (en) * 1975-06-27 1977-12-13 International Business Machines Corporation Automatic clock tuning and measuring system for LSI computers
US4104720A (en) * 1976-11-29 1978-08-01 Data General Corporation CPU/Parallel processor interface with microcode extension
US4419739A (en) * 1978-12-12 1983-12-06 International Business Machines Corporation Decentralized generation of synchronized clock control signals having dynamically selectable periods
US4368514A (en) * 1980-04-25 1983-01-11 Timeplex, Inc. Multi-processor system

Non-Patent Citations (10)

* Cited by examiner, † Cited by third party
Title
AFIPS Conf. Proc., vol. 27, Part 1, 1965 Fall Joint Comptr. Confr. "Circuit Implementation of High-Speed Pipeline Systems", pp. 489-504.
AFIPS Conf. Proc., vol. 27, Part 1, 1965 Fall Joint Comptr. Confr. Circuit Implementation of High Speed Pipeline Systems , pp. 489 504. *
ICASSP 80 Proceedings, vol. 1 of 3, Apr. 9, 1980, An LSI Digital Signal Processor , pp. 383 385. *
ICASSP '80-Proceedings, vol. 1 of 3, Apr. 9, 1980, "An LSI Digital Signal Processor", pp. 383-385.
ICC '80 Confr. Record, vol. 1 of 3, Jun. 1980, "An Integrated Circuit Digital Signal Processor", pp. 11.1.1-11.1.5.
ICC 80 Confr. Record, vol. 1 of 3, Jun. 1980, An Integrated Circuit Digital Signal Processor , pp. 11.1.1 11.1.5. *
ICCC 80 Proceedings , vol. 2 of 2, Oct. 1, 1980, Logic and Fault Simulations of the DSP, a VLSI Dig. Signal Processor, pp. 948 952. *
ICCC '80 Proceedings, vol. 2 of 2, Oct. 1, 1980, "Logic and Fault Simulations of the DSP, a VLSI Dig. Signal Processor," pp. 948-952.
ISSCC 80 Digest of Tech. Papers., Feb. 13, 1980, A Digital Signal Processor for Telecommunications Applications, pp. 44 45. *
ISSCC '80-Digest of Tech. Papers., Feb. 13, 1980, "A Digital Signal Processor for Telecommunications Applications," pp. 44-45.

Cited By (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4694472A (en) * 1982-04-26 1987-09-15 American Telephone And Telegraph Company Clock adjustment method and apparatus for synchronous data communications
US4737907A (en) * 1982-09-21 1988-04-12 Xerox Corporation Multiprocessor control synchronization and instruction downloading
US4787064A (en) * 1982-12-23 1988-11-22 Siemens Aktiengesellschaft Circuit module with interface circuits for connecting to plurality of busses operating in different operating modes
US4635234A (en) * 1983-07-15 1987-01-06 Nec Corporation Memory circuit with an improved output control circuit
US4575848A (en) * 1984-02-01 1986-03-11 Westinghouse Electric Corp. Methods and apparatus for correcting a software clock from an accurate clock
WO1985005707A1 (en) * 1984-05-31 1985-12-19 General Electric Company Fault tolerant, frame synchronization for multiple processor systems
US4589066A (en) * 1984-05-31 1986-05-13 General Electric Company Fault tolerant, frame synchronization for multiple processor systems
EP0200797A1 (en) * 1985-05-07 1986-11-12 Deutsche ITT Industries GmbH Monolithic digital integrated circuit
US4763297A (en) * 1985-05-07 1988-08-09 Deutsche Itt Industries Gmbh Monolithic integrated digital circuit including an internal clock generator and circuitry for processing multi-digit signals
US5006979A (en) * 1985-07-29 1991-04-09 Hitachi, Ltd. Phase synchronization system
US4809305A (en) * 1985-07-30 1989-02-28 Kabushiki Kaisha Toshiba Cyclic digital information transmission system
US4967352A (en) * 1985-08-23 1990-10-30 Hitachi, Ltd. Data processor in which external sync signal may be selectively inhibited
US5497482A (en) * 1985-08-23 1996-03-05 Hitachi, Ltd. Data processor in which external sync signal may be selectively inhibited
US5493686A (en) * 1985-08-23 1996-02-20 Hitachi, Ltd. Data processor in which external sync signal may be selectively inhibited
US5179694A (en) * 1985-08-23 1993-01-12 Hitachi, Ltd. Data processor in which external sync signal may be selectively inhibited
US5239628A (en) * 1985-11-13 1993-08-24 Sony Corporation System for asynchronously generating data block processing start signal upon the occurrence of processing end signal block start signal
GB2183067B (en) * 1985-11-13 1990-04-25 Sony Corp Data processing
WO1987004306A1 (en) * 1985-12-30 1987-07-16 Eta Systems, Inc. Electronic clock tuning system
US4714924A (en) * 1985-12-30 1987-12-22 Eta Systems, Inc. Electronic clock tuning system
US4803702A (en) * 1986-08-05 1989-02-07 Advanced Micro Devices, Inc. Reset and synchronization interface circuit
US4841520A (en) * 1986-10-21 1989-06-20 Amp Incorporated Data transmission system with bus failure detection system
US5020024A (en) * 1987-01-16 1991-05-28 Stratus Computer, Inc. Method and apparatus for detecting selected absence of digital logic synchronism
US5151986A (en) * 1987-08-27 1992-09-29 Motorola, Inc. Microcomputer with on-board chip selects and programmable bus stretching
US5041966A (en) * 1987-10-06 1991-08-20 Nec Corporation Partially distributed method for clock synchronization
US4839907A (en) * 1988-02-26 1989-06-13 American Telephone And Telegraph Company, At&T Bell Laboratories Clock skew correction arrangement
US4873663A (en) * 1988-04-25 1989-10-10 American Telephone And Telegraph Company Control memory using recirculating shift registers for a TDM switching apparatus
EP0347558A3 (en) * 1988-06-24 1991-05-22 International Business Machines Corporation Apparatus for partitioned clock stopping in response to classified processor errors
EP0347558A2 (en) * 1988-06-24 1989-12-27 International Business Machines Corporation Apparatus for partitioned clock stopping in response to classified processor errors
US5206833A (en) * 1988-09-12 1993-04-27 Acer Incorporated Pipelined dual port RAM
US5537602A (en) * 1988-09-16 1996-07-16 Hitachi, Ltd. Process system for controlling bus system to communicate data between resource and processor
US5367662A (en) * 1988-09-16 1994-11-22 Hitachi, Ltd. Distributed machine state controlled processor system with a CPU clocked with a reference signal delayed from a system clock
US5146585A (en) * 1988-10-25 1992-09-08 International Business Machines Corporation Synchronized fault tolerant clocks for multiprocessor systems
US5452436A (en) * 1989-08-28 1995-09-19 Hitachi, Ltd. System for connecting plurality of electronic units to data and clock buses wherein transmitting and receiving data in synchronization with transmitting and receiving clock signals
US5265063A (en) * 1990-07-16 1993-11-23 Nec Corporation Semiconductor memory device having a plurality of SRAMs operable in synchronism with a clock permitting simultaneous access to multiple data
US5465347A (en) * 1990-09-05 1995-11-07 International Business Machines Corporation System for reducing phase difference between clock signals of integrated circuit chips by comparing clock signal from one chip to clock signal from another chip
US5305451A (en) * 1990-09-05 1994-04-19 International Business Machines Corporation Single phase clock distribution circuit for providing clock signals to multiple chip integrated circuit systems
US5504878A (en) * 1991-02-04 1996-04-02 International Business Machines Corporation Method and apparatus for synchronizing plural time-of-day (TOD) clocks with a central TOD reference over non-dedicated serial links using an on-time event (OTE) character
US5444714A (en) * 1992-11-30 1995-08-22 Samsung Electronics Co., Ltd. Communication and exchange processing system
US5630086A (en) * 1993-12-03 1997-05-13 Hewlett-Packard Co. Apparatus systems and methods for controlling electronic memories
US5778415A (en) * 1993-12-03 1998-07-07 Hewlett-Packard Co. Apparatus, systems and methods for controlling electronic memories
US5692165A (en) * 1995-09-12 1997-11-25 Micron Electronics Inc. Memory controller with low skew control signal
WO1997025797A1 (en) * 1996-01-03 1997-07-17 Credence Systems Corporation Signal distribution system
US5712882A (en) * 1996-01-03 1998-01-27 Credence Systems Corporation Signal distribution system
US6098178A (en) * 1998-05-22 2000-08-01 The United States Of America As Represented By The Secretary Of The Navy Time synchronization algorithm for massively parallel processor systems
US6567376B1 (en) 1999-02-25 2003-05-20 Telefonaktiebolaget Lm Ericsson (Publ) Using system frame number to implement timers in telecommunications system having redundancy
US6573757B1 (en) 2000-09-11 2003-06-03 Cypress Semiconductor Corp. Signal line matching technique for ICS/PCBS
US6718474B1 (en) 2000-09-21 2004-04-06 Stratus Technologies Bermuda Ltd. Methods and apparatus for clock management based on environmental conditions
US20020037017A1 (en) * 2000-09-28 2002-03-28 Siemens Aktiengesellschaft. Method for synchronizing a plurality of bus systems, and hierarchical multibus system corresponding thereto
WO2002028003A3 (en) * 2000-09-28 2003-07-17 Siemens Ag Method for synchronizing a plurality of bus systems and corresponding hierarchical multiple bus system
WO2002028003A2 (en) * 2000-09-28 2002-04-04 Siemens Aktiengesellschaft Method for synchronizing a plurality of bus systems and corresponding hierarchical multiple bus system
US7061940B2 (en) 2000-09-28 2006-06-13 Siemens Aktiengesellschaft Method for synchronizing a plurality of bus systems, and hierarchical multibus system corresponding thereto
US20030221446A1 (en) * 2002-02-22 2003-12-04 Lalit Chorida Means and apparatus for microrefrigeration
US7111195B2 (en) * 2002-02-25 2006-09-19 General Electric Company Method and system for external clock to obtain multiple synchronized redundant computers
US20030212835A1 (en) * 2002-02-25 2003-11-13 General Electric Company Method and system for external clock to obtain multiple synchronized redundant computers
US20040008973A1 (en) * 2002-07-12 2004-01-15 Marshall Robert Alexander Method and system for synchronizing operation of remote timer with centeral control control unit
US8909961B2 (en) 2011-11-29 2014-12-09 Ati Technologies Ulc Method and apparatus for adjusting power consumption level of an integrated circuit
WO2018202613A1 (en) * 2017-05-03 2018-11-08 Carl Zeiss Microscopy Gmbh Microscope system and method for time controlling processes in a microscope system
US11314070B2 (en) 2017-05-03 2022-04-26 Carl Zeiss Microscopy Gmbh Microscope system and method for time controlling processes in a microscope system

Similar Documents

Publication Publication Date Title
US4503490A (en) Distributed timing system
EP0135879B1 (en) Interface circuit and method for connecting a memory controller with a synchronous or an asynchronous bus system
US5140680A (en) Method and apparatus for self-timed digital data transfer and bus arbitration
US4368514A (en) Multi-processor system
US3886524A (en) Asynchronous communication bus
US5263172A (en) Multiple speed synchronous bus having single clock path for providing first or second clock speed based upon speed indication signals
US4523274A (en) Data processing system with processors having different processing speeds sharing a common bus
US5654988A (en) Apparatus for generating a pulse clock signal for a multiple-stage synchronizer
US5237696A (en) Method and apparatus for self-timed digital data transfer and bus arbitration
US4835728A (en) Deterministic clock control apparatus for a data processing system
US4663708A (en) Synchronization mechanism for a multiprocessing system
GB1579944A (en) Multi-processor data processing systems
JP2793299B2 (en) On-chip phase generator
US4435757A (en) Clock control for digital computer
US5535343A (en) Method and apparatus for generating write signals
US5465333A (en) Apparatus for programming the speed at which an expansion card generates ready signals to insure compatibility with the speed of an attached bus
EP0375794A1 (en) Method of synchronizing signals which are generated on different chips having on-chip clocking systems with different speed
US5280628A (en) Interruption controlling system using timer circuits
JPH05197673A (en) Logic circuit
EP0276794A2 (en) Data input circuit having latch circuit
US3999170A (en) Multiple access interconnect system
US3970995A (en) Slaving calculator chips
JP2001312327A (en) Data processing system provided with controllable clock for partitioned synchronizing interface
US6954451B1 (en) Distributed time-multiplexed bus architecture and emulation apparatus
US5828872A (en) Implementation of high speed synchronous state machines with short setup and hold time signals

Legal Events

Date Code Title Description
AS Assignment

Owner name: BELL TELEPHONE LABORATORIES, INCORPORATED, 600 MOU

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST.;ASSIGNOR:THOMPSON, JOHN S.;REEL/FRAME:003894/0265

Effective date: 19810608

STCF Information on status: patent grant

Free format text: PATENTED CASE

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FEPP Fee payment procedure

Free format text: PAYER NUMBER DE-ASSIGNED (ORIGINAL EVENT CODE: RMPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 12