US4951601A - Multi-chamber integrated process system - Google Patents

Multi-chamber integrated process system Download PDF

Info

Publication number
US4951601A
US4951601A US07/371,700 US37170089A US4951601A US 4951601 A US4951601 A US 4951601A US 37170089 A US37170089 A US 37170089A US 4951601 A US4951601 A US 4951601A
Authority
US
United States
Prior art keywords
wafer
load lock
blade
chamber
fingers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US07/371,700
Inventor
Dan Maydan
Sasson Somekh
David N. Wang
David Cheng
Masato Toshima
Isaac Harari
Peter D. Hoppe
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US07/371,700 priority Critical patent/US4951601A/en
Application granted granted Critical
Publication of US4951601A publication Critical patent/US4951601A/en
Priority to US07/808,786 priority patent/US5292393A/en
Priority to US08/926,568 priority patent/US5882165A/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Definitions

  • the present invention relates to a multiple chamber silicon wafer VLSI processing system that includes a common load lock and wafer exchange robot and multiple process chambers suitable for sequentially and simultaneously performing different process steps such as deposition and/or dry etching of dielectric, semiconductor and conductor layers.
  • the invention also relates to apparatus for performing multiple integrated processing steps in a continuous sequence, that is, by routing semiconductor wafers between different processing chambers while the system is closed and under vacuum.
  • the typical available VLSI processing reactor systems are single chamber batch-type systems in which the chamber is dedicated to a single type of process such as plasma etching or chemical vapor deposition.
  • These process-dedicated batch-type reactor chambers are designed to provide a high processing throughput for a single process step such as, for example, the chemical vapor deposition of silicon or silicon dioxide or other dielectric or the etching of such layers.
  • Foster et al discloses the in-situ, sequential or simultaneous deposition and etching of layers on a semiconductor wafer.
  • the Maydan and Foster applications are hereby incorporated by reference.
  • single chamber etcher systems are available having an associated vacuum load lock that is used for pre- or post-processing.
  • a number of separate process steps involving distinctly different types of processes such as plasma etching, CVD deposition, physical sputtering and rapid thermal annealing can be performed simultaneously or sequentially on one or more wafers while the system is closed to vacuum.
  • R- ⁇ two-axis
  • robot systems cooperatively provide an overall very compact yet relatively simple wafer handling system that has the additional attributes of inherent low particulate generation as well as isolation of friction surfaces from the wafers.
  • the present invention which accomplishes the above objectives is embodied in an integrated vacuum process system which comprises a vacuum load lock chamber having at least one and preferably a plurality or multiplicity of vacuum processing chambers mounted to the exterior thereof and communicating with the load lock chamber interior via selectively closable slits in the load lock and processing chambers.
  • Each of the processing chambers can be adapted to perform one or more processes selected from, for example, gas chemistry etching, gas chemistry deposition, physical sputtering and rapid thermal anneal of one or more wafers positioned within the chamber.
  • Each processing chamber includes robot means for reversibly transferring a wafer from a selected internal position adjacent the processing chamber opening to an internal wafer support.
  • the load lock chamber incorporates a first external wafer elevator which is adapted for positioning wafers adjacent a load lock chamber entrance and a second internal elevator which is adapted for moving wafers to an internal position adjacent the entrance.
  • An R- ⁇ wafer-handling robot is mounted within the load lock chamber and, preferably, comprises a horizontal wafer-holding blade, a dual-four bar link mechanism mounting the blade. Concentric drive shafts effect rotation of the blade via the four-bar link mechanism to selectively position the blade at the processing chambers and elevators and, extend and retract the blade, also via the four-bar link mechanism, to position the blade at the elevators and at the selected internal positions in the processing chambers for loading and unloading wafers.
  • At least one of the processing chambers includes a wafer-supporting electrode.
  • the process chamber robot means comprises a group of vertically oriented pins, support means mounting the pins in a circular array, and means for moving the support means vertically to remove the wafer from the blade at the selected internal position and transport the wafer to the support electrode and to return the wafer to the blade at the selected internal position.
  • This robot may include a wafer clamp ring mounted to the support ring above the wafer support pins for clamping the wafer to the supporting electrode.
  • the robot of at least one of the processing chambers comprises a first generally circular horizontal array of fingers adapted for holding the wafers; a second generally circular horizontal array of fingers interdigitated with the first fingers and being adapted for holding a generally circular susceptor in a horizontal orientation; a first elevator for mounting the first fingers and moving the first fingers (a) upwardly to lift the wafer off the blade preparatory to lifting movement of the second fingers into a processing position and (b) downwardly to return the wafer to the selected internal position and the load lock blade; and a secondly vertically movable elevator mechanism mounting the second fingers for moving the second fingers (c) upwardly past the first fingers to lift the wafer therefrom onto the susceptor and into the processing position and (d) downwardly for depositing the processed wafer onto the first fingers preparatory to return by the first fingers to the selected internal position and the load lock blade.
  • a second integrated vacuum processing system essentially identical to the above-described first system, but without the external cassette elevator can be mounted to the first system at one, several or all of the process chamber mounting locations to increase the processing capability and throughput.
  • the load lock chambers can be adapted for processing and conditioning wafers therein and can include a plate intermediate upper and lower groups of wafer positions for sealing the upper group within the load lock chamber and isolating the lower group from the process chamber during handling or processing of the upper group.
  • FIG. 1 is a simplified top plan view, partly schematic, of our multiple chamber integrated process system, illustrated with the load lock and chamber covers deleted;
  • FIG. 2 is a vertical cross-section view, partly schematic, taken along line 2--2 in FIG. 1;
  • FIG. 3 is an enlarged, partial top plan view of the robotic wafer handling blade assembly shown in FIG. 1;
  • FIGS. 4, 5 and 6 diagrammatically depict the operation of the wafer handling blade assembly's dual four-bar link mounting system
  • FIGS. 7-11 are highly schematized partial top plan views that diagrammatically depict the R- ⁇ movement of the load lock robot in transferring wafers among the external cassette elevator, the internal load lock elevator and the vacuum processing chambers;
  • FIGS. 12-16 are sequential schematized cross-sectional representation of the dedicated, internal wafer transport system disclosed in the referenced CVD reactor during the process of transferring wafers from the external load lock blade to the reactor susceptor and returning wafers from the reactor susceptor to the load lock blade;
  • FIGS. 17-19 are sequential schematized cross-sectional representation of the dedicated, internal wafer transport system disclosed in the referenced etch reactor during the process of transferring wafers from the external load lock blade to the reactor wafer support electrode and returning wafers from the reactor wafer support electrode to the load lock blade;
  • FIG. 20 is a top plan view partially schematized of an alternative embodiment of our multiple chamber integrated process system which incorporates an additional pair of multiple chamber process systems.
  • FIG. 1 is a top plan view of a presently preferred embodiment of our multiple chamber integrated process system 10.
  • FIG. 2 is a vertical cross-section of system 10.
  • our multiple chamber integrated process system 10 (also termed “multiple chamber system”) comprises an enclosed, generally pentagonal main frame or housing 12 having five sidewalls 13--13 that define an enclosed vacuum load lock enclosure or chamber 14.
  • a number of individual vacuum processing reactors (or chambers), illustratively chambers 16, 18, 20 and 22, are mounted one each on an associated sidewall of the load lock housing.
  • An external cassette elevator 24 is adapted for holding a multiplicity of cassettes, illustratively two cassettes 26 and 28, in a vertical position with the wafers 15--15 horizontal.
  • the external cassette elevator assembly 24 comprises a first, horizontal base plate 30 that is mounted on guide shafts 32 and 34 for reciprocal horizontal indexing movement, as indicated by arrow 29 (FIG. 1), to selectively position each cassette directly opposite and aligned with load lock chamber entrance slit or opening 36.
  • the base plate 30 can be mounted to and driven by a two-position air cylinder-actuated bell crank which is controlled by computer 70.
  • the cassette elevator 24 is also adapted by indexing system 40 for reciprocal vertical indexing movement as indicated by arrow 31 (FIG.
  • Vertical cassette-indexing system 40 comprises a lead screw 42 that is rotated by a motor 44-driven gear set 46 for displacing drive nut 48 mounted to the base plate 30.
  • each door 36 is pivotally mounted as at 37 and can be opened and closed, e.g., by an air cylinder 69 controlled by computer 70. That is, the means such as electrically operated valves for controlling application of air to cylinder 69 are controlled by computer 70.
  • the load lock chamber has an internal storage elevator assembly 50 that holds a number of wafers 15--15, illustratively up to eight, on horizontal support plates 54.
  • the elevator 50 indexes the wafers vertically to present the wafers to the vertical position 56 for loading and unloading by the load lock robot blade assembly 84, as discussed below.
  • the internal storage elevator cassette assembly 50 comprises a base plate 58 and slotted vertical front plate 59 to which the horizontal wafer support plates 54--54 are mounted.
  • a pair of guide shafts 60--60 and drive shaft 61 can extend downwardly from the base plate 58 and through the load lock chamber's bottom wall 62 via seals 63--63, for guiding and moving the elevator assembly 50.
  • Elevator assembly 50 can be raised and lowered by a vertical indexing system 64 comprising a lead screw 65 that is rotated by a motor 66-driven gear set 68 for displacing drive nut 69 that is mounted to the vertical shaft 61.
  • the operation of the elevator vertical drive motor 66 as well as cassette horizontal drive motor 44 is controlled by a conventional controller, a small, general purpose computer or personal computer 70.
  • Robotic wafer transfer system 80 is mounted within the load lock chamber 12 for transferring the wafers 15--15 between the external elevator 24 and the internal elevator 50, between the internal elevator 50 and the individual processing chambers 16-22, and between the processing chambers 16-22.
  • the robot 80 includes a concentric-shaft, rotational drive system 82 that imparts reversible R- ⁇ movement to blade assembly 84 via a dual, four-bar link mechanism 86 for effecting the desired cassette-to-elevator, elevator-to-chamber and chamber-to-chamber wafer transfer.
  • the R movement (straight line extension and retraction) is indicated by arrow 72, FIG. 1, while the pivotal ⁇ movement is along arrow 74.
  • the robot assembly 80 includes a removable base plate 88 that is mounted to the bottom wall 90 of load lock housing 12. O-ring 92 seals the two joined members.
  • Fixed hollow outer shaft 94 is mounted to the base plate 88.
  • Hollow middle shaft 96 is mounted for rotation within the outer shaft 94 by journal bearings 97--97.
  • An inner shaft 98 is mounted by journal bearings 99--99 within the middle shaft 96.
  • the concentric middle shaft 96 and inner shaft 98 are independently rotated, typically by stepper motor-controlled cable and drum drive mechanisms 100 and 102, respectively.
  • the operation of respective stepper motors 150 and 152 is controlled by the controller/computer 70.
  • rotation of the inner shaft 98 and of the outer shaft 96 are converted into precise R and ⁇ movement, respectively, of the robot blade assembly 84 by the dual four-bar link mechanism 86.
  • Drive mechanism 100 comprises a drum 101 which is tied in fixed relationship to middle shaft 96 and is rotated by cable 103.
  • the cable 103 is mounted on, and wound on and unwound from, a drum 105 that is rotated by motor 150-driven belt and pulley system 107.
  • the drum 105, belt and pulley system 107 and motor 150 are mounted on support plate 109, which in turn is mounted to the fixed outer shaft 94. As a consequence of this arrangement, rotation of the motor 150 is translated by cable 103 into rotation of disk 101 and middle shaft 96.
  • drive mechanism 102 comprises a disk or drum 111 which is tied to inner shaft 98 and is rotated by a cable 113.
  • Cable 113 is mounted on a drum 115, which is rotated by motor 152-driven belt and pulley system 117.
  • Drum 115, belt and pulley system 117 and drive motor 152 are mounted on a support plate assembly 119 which itself is mounted to or is part of a member 121.
  • member 121 is affixed to or is part of the disk or drum 101.
  • disk 101 is tied to and rotates middle shaft 96.
  • the disc 101 also rotates the drive system 102, thereby maintaining the angular position of shaft 98 with respect to middle shaft 96.
  • the blade assembly 84 includes arm 104 and a replaceable metal blade or end effector 106 mounted thereto which has a circular pocket 108 for receiving a wafer 15 of a given size.
  • Replaceable blades 106 having different sized pockets 108 can be used to hold different sized wafers.
  • Blade 106 has holes 110--110 adjacent the outer end that are connected to a vacuum pump (not shown) by vacuum line 112, which is routed through the hollow inner shaft 98 (FIG. 2).
  • vacuum line 112 which is routed through the hollow inner shaft 98 (FIG. 2).
  • blade 106 can operate as a vacuum pick, in which case the wafers are picked up at the end of the blade from cassettes 26 and 28 or deposited into the cassettes, in the external atmospheric pressure ambient.
  • the pocket 108 can be used to hold wafers during transfer between the internal load lock elevator 50 and the processing chambers 16-22 or between the process chambers, in the load lock's vacuum ambient.
  • the blade can pick up wafers at atmospheric pressure or in vacuum, and can pick up high temperature wafers.
  • capacitive sensors 114--114 are mounted at the forward end of the blade 106 just aft of the vacuum holes 110--110 for use in sensing the presence/absence of a wafer 15 on the vacuum pick end or in the pocket 108.
  • Electrical leads 115 for the sensors can be routed via inner shaft 98 to computer 70, where the output signals from the sensors 114--114 are used to determine the presence/absence of the wafer 15 on the blade.
  • the output of a plurality of (three) LED optical sensors 121 can be routed along the same path as leads 115 to the computer for detecting the insertion of wafers into the load lock chamber.
  • the dual four-bar link mechanism 84 comprises a first, four-bar link 115 which itself comprises first and second, parallel arms 116 and 117 that are pivotally mounted at spaced points along a connecting link/bracket 118. The second ends of the arms are mounted at spaced points along a second connecting link 119.
  • a second four-bar link 125 comprises first and second arms 126 and 127 that are pivotally mounted in common with arms 116 and 117, respectively, to the link 119.
  • Arm 126 is mounted at the second end thereof to bracket 129 via pivot pin 130.
  • the bracket 129 itself is fixedly mounted by screws 132--132 to disk or collar 134 which is mounted to the upper end of the middle shaft 96.
  • Arm 127 is fixedly mounted by screws 136--136 to the upper end of inner shaft 98, which extends through the collar 134.
  • FIGS. 4, 5 and 6 illustrate movement of the four-bar links 115 and 125 during rotation of the shaft 98 and drive arm 127. Initially, as shown in FIG. 4, the link assemblies 115 and 125 are fully extended to position the vacuum pick end of the blade 106 to pick up or deposit a wafer at the external cassette 26 or 28.
  • the four-bar link assembly 115 crosses over the assembly 125 so that link 118 and blade 106 are fully retracted and the blade is over the flange 134, forming a very compact configuration.
  • the blade assembly 84 and the four-bar links 115 and 125 occupy a minimum area and, thus, traverse a relatively small area of the load lock chamber during rotation.
  • the desired rotation ( ⁇ movement) along path 74 is effected by rotating middle shaft 96 and flange 134, which, in turn, rotates pivot point 138 and the four-bar link mechanism 86 about the inner shaft axis 140 (FIG. 2).
  • the R- ⁇ movement of the robot 80 inserts the blade 106 through the slit valve 30 into a selected process chamber (see, for example, FIG. 11) over the wafer support susceptor or electrode within that chamber.
  • a cooperating one-axis bidirectional vertical movement robot lifts the wafer from the blade 106, transfers the wafer to the associated chamber electrode or susceptor for processing, then returns the wafer to the reinserted blade 106 after processing.
  • FIGS. 7-11 depict the robot's R- ⁇ movement in moving a wafer 15 (FIG. 7) from external cassette elevator 24 to internal elevator 50 and then into process chamber 20 (FIG. 11).
  • reversed rotation of the shaft 98 and drive arm 127 retract the blade assembly 84 into the load lock chamber and position blade 106 at internal elevator 52.
  • upward indexing of the elevator 52 deposits the wafer 15 on one of the cooperating spaced pairs of elevator support plates 54--54.
  • the blade assembly 84 is then retracted further to clear the internal elevator 50; the elevator is indexed downwardly to provide clearance for extension of the blade (see FIG. 2); the elevator 24 is indexed to position the next selected wafer for unloading by the blade 106, then the blade 106 is extended into the cassette 28 to initiate repetition of the above-described unloading cycle.
  • the unloading and loading cycles are repeated until the internal load lock elevator 50 is loaded.
  • the load lock slit valve 36 is closed by door 38 and the load lock chamber 14 and the process chambers are pumped down to vacuum under the control of computer 70.
  • the elevator 50 is indexed to position a selected wafer 15 for unloading and the arm assembly 84 is extended to the position shown in FIG. 8 to position the blade 106 in the elevator 50 so that short downward elevator indexing deposits the selected wafer 15 onto the blade.
  • Drive arm 127 is then rotated by inner shaft 98 to move the blade assembly 84, as indicated by arrow 72, to the fully retracted position shown in FIG. 9.
  • Rotation of the middle shaft 96 and flange 134 (FIG. 2) rotates the blade assembly 84 counterclockwise in the direction 74, FIG. 10, to position the blade 106 for insertion into a selected chamber, here chamber 20.
  • drive arm 127 is rotated by inner shaft 98 to extend the blade 106 and wafer 15 thereon into the process chamber 20 so the chamber's wafer exchange robot can unload the wafer 15 from blade 106 onto the chamber support or pedestal.
  • the computer 70 is programmed to unload wafers 15--15 from the chambers 16-22 into the load lock elevator 50, to unload the wafers in the elevator 50 into the cassettes 26 or 28, and to transfer a wafer from one process chamber such as 20 to another chamber 18, 20 or 22 to implement another processing step.
  • the above-described load lock chamber robot 80 preferably operates cooperatively with dedicated robots within the individual chambers 16-22.
  • the load lock robot 80 presents wafer 15--15 to selected transfer points over associated wafer-supporting electrodes or susceptors within the chambers 16-22 for transfer to the process chamber robots, then retrieves the processed wafer 15, preferably at the same transfer points, from the process chamber robots for removal from the chambers.
  • FIGS. 12 through 16 illustrate the operation of one suitable processing chamber robot wafer transfer mechanism 140, one which is used in the referenced CVD reactor patent application.
  • the exemplary CVD reactor chamber comprises a circular housing 142 (also termed a "chamber"), typically made of aluminum, which defines an inner vacuum chamber 144 that has a processing region/plasma processing region 146 (FIG. 14).
  • the CVD reactor also includes a wafer-holding susceptor 148.
  • a process/purge gas manifold 150 applies process gas and deposition gas to the chamber 144.
  • An RF power supply and matching network 151 (FIG.
  • a process gas plasma from the inlet gas for PECVD operation
  • a circular, near-infrared lamp heating system (not shown) is mounted beneath the susceptor 148 for heating the susceptor 148 and wafer 15 positioned thereon to effect deposition from the processing gas onto the wafer 15 (during thermal CVD and PECVD operation).
  • high frequency RF power of 13.56 MHz is used, but low frequencies have been used as well.
  • the dedicated wafer transport system 140 comprises a plurality of radially-extending wafer-support fingers 152--152 which are spaced about and are aligned with the periphery of the susceptor 148. These fingers are mounted to a semi-circular mounting bar or bracket 154. Similarly, an array of radially-extending susceptor-support fingers 156--156 are spaced circumferentially about the susceptor 148, interdigitated with the wafer support fingers 152--152, and are mounted to a semi-circular bar 158 positioned just inside bar 154.
  • the arcuate mounting bars 154 and 158 are mounted within the housing 154 to standard vertically movable elevator assemblies (not shown) such as, for example, simple vertically movable shafts driven by stepper motors via suitable gear drive or pneumatic cylinders, under control of computer 70.
  • the external load lock blade 106 (with the wafer 15 to be processed supported thereon) is inserted via opening 36 into chamber 144 to a position over the susceptor 148. In this starting position, the wafer fingers 152--152 are positioned between the susceptor 148 and the blade 106.
  • the wafer elevator mechanism is actuated by the computer 70 to raise the wafer-support fingers 152--152 above the load lock blade 106 to pick up the wafer 15.
  • the blade 106 is then withdrawn from the chamber 142.
  • computer 70 implements closing of the door 38 over the blade access slot 36 to seal chamber 142.
  • the susceptor elevator mechanism is actuated by the computer 70 to raise the susceptor-support fingers 156--156 and the susceptor 148 thereon so that the susceptor 148 lifts the wafer 15 from the fingers 152--152 into position for deposition in the processing area 146 immediately adjacent the gas distribution manifold 150.
  • the computer 70 actuates the susceptor elevator mechanism to lower the susceptor fingers 156--156 and the susceptor 148 thereon and deposit the wafer 15 onto the wafer support fingers 152--152.
  • the door 38 is then opened and blade 106 is again inserted through the housing 142 into chamber 144.
  • the wafer elevator mechanism is actuated by computer 70 to lower the wafer support fingers 152--152 and thereby deposit the wafer 15 onto the load lock blade 106.
  • the blade 106 is again retracted by the computer 70, leaving the fingers 152--152 and 156--156 in the position shown in FIG. 12 ready for another wafer insertion processing and withdrawal cycle.
  • FIGS. 17-19 depict an alternative internal wafer transport system 160, one which is used in the etch reactor disclosed in the referenced etch reactor patent application.
  • the etch reactor chamber comprises a housing 162, typically of non-magnetic material such as aluminum, which defines internal etch chamber 164.
  • the reactor system also includes a liquid-cooled cathode 166. Provision is made for applying a gas such as helium between the wafer 15 and the upper surface of the electrode 166 when the wafer 15 is positioned on the electrode (see FIG. 19). The gas is applied at a pressure of, for example, about 4 torr to enhance the thermal conductivity between the wafer 15 and the liquid-cooled electrode 166.
  • a gas such as helium
  • Electrical energy is applied to the powered wafer-supporting electrode 166 from an RF power supply 168 (FIG. 19), preferably at a high frequency, although low frequency can be used.
  • Process gases are supplied to the interior chamber 164 by a gas manifold (not shown) from a gas supply system comprising one or more gas storage sources.
  • the gas manifold is positioned over and closely spaced to the electrode 166 for applying process gas to the process chamber 170 (FIG. 19).
  • the etch reactor also includes two pair of vertical magnetic coils mounted on opposite walls of the chamber 162 for providing a rotatable field parallel to the plane of wafer 15.
  • the magnetic field enhances the etching effectiveness of the reactor, including etch rate and uniformity.
  • the magnetic coils are controlled by the computer 70 for providing a precisely controlled magnetic field that is preferably rotated at a few cycles per minute by the simple expedient of sequentially reversing current to the sets of coils.
  • the wafer exchange system 160 integrally incorporates a multiplicity of vertically-extending wafer support pins 174--174.
  • the pins 174--174 are arranged in a circular configuration and extend through holes in the periphery of the electrode 166.
  • the wafer exchange system 160 may also incorporate a wafer clamp ring 172.
  • Both the wafer support fingers 174--174 and the wafer clamping ring 172 are mounted to a support arm means which comprises a horizontally-extending arm 178--178 (only one radial support arm 178 is shown in the cross-section of FIGS. 17-19) mounted to lift shaft 176 (FIG. 18) for vertical displacement.
  • Vertical movement of the shaft 176, clamp ring 172 and wafer support pins 174--174 is effected by a pneumatic cylinder, the operation which is controlled by computer 70.
  • the assembly 160 is slightly elevated to permit insertion of the load lock blade 106 through door 36 and housing 162 and into the process chamber 170 (FIG. 19).
  • the fixed separation between the top of the wafer support pins 174--174 and the clamping ring 172 is aligned horizontally with the slit 36 in this position so that the blade 106 can pass between the pins 174--174 and the clamping ring 172 and into the plasma etching region 170 over the electrode 166.
  • the shaft 176 is actuated by computer 70 to raise the wafer transport mechanism 160 and cause the wafer support pins 174--174 to lift the wafer 15 from the blade 106.
  • door 38 (FIG. 1) is closed over slit 36 and the computer 70 then effects the lowering of the shaft 176 and the associated wafer transport mechanism 160, thereby also lowering the clamping ring 172 to clamp the wafer 15 between the ring and the electrode 166.
  • the shaft 176 is raised under the control of computer 70 to elevate the pins 174--174 and thereby lift the wafer 15 above the door 36 so the blade 106 can be inserted into the chamber 164 beneath the wafer 15.
  • Shaft 176 is then lowered slightly to position the pins 174--174 and the clamp in the position shown in FIG. 17 so the blade 106 can then be retracted from the chamber through the spacing between the pins and the clamp.
  • a fresh wafer 15 can then be placed on the blade 106 and inserted into the chamber 164 between the clamp 172 and the pins 174--174 to initiate the start or another wafer exchange cycle.
  • the wafer exchange system 160 transfers wafers to and from the external load lock blade 106, clamps the wafers 15 and removes the wafers from the electrode 166 using only perpendicular, point and peripheral contact.
  • the usual sliding and/or rolling friction contact is absent from the disclosed etch reactor system and its wafer exchange system 160, as well as from the disclosed CVD reactor system and its associated wafer exchange system 140. This reduces the tendency to generate particulates within the chambers, as does the positioning of the support and translation apparatus beneath the processing chambers.
  • the load lock chamber 14 can be used for below atmospheric pressure dry processing such as resist descumming, resist ashing and passivation.
  • elevator 50 can incorporate a separator plate 180 between upper and lower wafer sections. When positioned as shown in FIG. 2, the plate 180 effectively seals the load lock chamber 14 and the wafers above the plate from those below. This provides the capability for performing pre-processing and post-processing of the upper wafers in the load lock chamber without affecting the lower wafers. Quite obviously, the plate 180 could be eliminated or moved to a different position so that all wafers are subject to this load lock chamber processing step or so that a variable number of upper wafers are subjected to the processing. Also, unprocessed wafers can be stored above the plate 180 prior to processing and processed wafers stored below the plate preparatory to return to the external cassettes 26, 28, or vice versa.
  • the system 10, FIG. 1 can be integrated with a load lock chamber or other chamber that allows the system to transfer wafers to another process system which is the same as or different from the present system 10.
  • FIG. 20 there is shown one example of another system (actually, two systems) which are essentially identical to the present system 10, except that the external elevator 24 is not used. Accordingly, these two add-on systems are designated by the general reference numerals 10A.
  • Systems 10A are mounted to system 10 in place of the two chambers 18 and 20. Also, while the depicted systems 10A have the same dimensions as system 10 and thus require the elimination of the chambers at the adjacent walls, this limitation is related to ease of representation and is not an inherent system limitation.
  • the dimensions of the sidewalls of the chamber 40A can be varied by lengthening the neck-like entrance 25 to allow use of the full complement of four chambers.
  • the chambers 10 and 10A are not limited to the illustrated pentagon configuration and can incorporate either more or fewer sides and, accordingly, more or fewer chambers.
  • the illustrated systems 10A use load lock 14A and robot 80A (which can be essentially identical to the corresponding elements of the base system 10) to transfer wafers 15--15 between the storage elevator 50A and associated chambers 16A-22A.
  • the main base system load lock 14 and robot 80 are used to transfer wafers between the storage elevator 50A of the add-on systems 10A and the base system.
  • the "other" system such as 10A can be a batch system (for ion implantation or epitaxial processing, etc.).
  • the other system (and system 10) may incorporate a load lock chamber that performs below atmospheric pressure pre-processing or post-processing, or performs atmospheric pressure processing such as wet cleaning.
  • the described multiple chamber process system can incorporate a single type of process capability (such as etching or chemical vapor deposition) in all chambers 16 through 22 or can mix etch and deposition chambers.
  • chambers for other types of processing such as sputtering and rapid thermal annealing can be used alone or mixed with other process chambers.
  • the reactor chamber disclosed in the above-referenced CVD reactor patent application can be used for sputter etching.
  • Raicu U.S. Pat. No. 4,561,907, issued Dec. 31, 1985 which is hereby incorporated by reference in its entirety describes an applicable single wafer rapid thermal annealing approach.
  • the system 10 is thus adaptable to a very large number of different chamber combinations and process sequences using etch, deposition, sputtering, rapid thermal anneal and other process steps in-situ without breaking system vacuum.

Abstract

An integrated modular multiple chamber vacuum processing system is disclosed. The system includes a load lock, may include an external cassette elevator, and an internal load lock wafer elevator, and also includes stations about the periphery of the load lock for connecting one, two or several vacuum process chambers to the load lock chamber. A robot is mounted within the load lock and utilizes a concentric shaft drive system connected to an end effector via a dual four-bar link mechanism for imparting selected R-θ movement to the blade to load and unload wafers at the external elevator, internal elevator and individual process chambers. The system is uniquely adapted for enabling various types of IC processing including etch, deposition, sputtering and rapid thermal annealing chambers, thereby providing the opportunity for multiple step, sequential processing using different processes.

Description

This is a continuation of application Ser. No. 283,015, filed Dec. 8, 1988 now abandoned, which is a continuation of application Ser. No. 944,803, filed Dec. 19, 1986, now abandoned.
BACKGROUND OF THE INVENTION
The present invention relates to a multiple chamber silicon wafer VLSI processing system that includes a common load lock and wafer exchange robot and multiple process chambers suitable for sequentially and simultaneously performing different process steps such as deposition and/or dry etching of dielectric, semiconductor and conductor layers. The invention also relates to apparatus for performing multiple integrated processing steps in a continuous sequence, that is, by routing semiconductor wafers between different processing chambers while the system is closed and under vacuum.
Presently, the typical available VLSI processing reactor systems are single chamber batch-type systems in which the chamber is dedicated to a single type of process such as plasma etching or chemical vapor deposition. These process-dedicated batch-type reactor chambers are designed to provide a high processing throughput for a single process step such as, for example, the chemical vapor deposition of silicon or silicon dioxide or other dielectric or the etching of such layers.
To our knowledge, there are available very few systems that are capable of performing more than one process step in-situ. One of the few exceptions is the magnetron-enhanced gas chemistry plasma reactor described in allowed, co-pending, commonly assigned U.S. patent application Ser. No. 814,638, entitled "Magnetron-Enhanced Plasma Etching Process", filed Dec. 30, 1985, in the name of Maydan et al. The magnetron RIE mode plasma etch reactor described in the Maydan et al application is a modification of the plasma system disclosed in allowed, co-pending, commonly assigned U.S. patent application Ser. No. 664,657, entitled "Apparatus and Method for Magnetron-Enhanced Plasma-Assisted Chemical Vapor Deposition", filed Oct. 25, 1984, in the name of Foster et al. In particular, the Foster et al patent application discloses the in-situ, sequential or simultaneous deposition and etching of layers on a semiconductor wafer. The Maydan and Foster applications are hereby incorporated by reference.
Secondly, single chamber etcher systems are available having an associated vacuum load lock that is used for pre- or post-processing.
Thirdly, an article in the October, 1985 issue of Semiconductor International magazine, entitled "Dry Etching Systems: Gearing up for Larger Wafers", pp. 48-60, schematically portrays a four-chamber dry etching system that uses a common load lock to transfer wafers to the individual etch chambers.
SUMMARY OF THE INVENTION
1. Objects of the Invention
In view of the above-described state of the art, it is one object of the present invention to provide a compact, multiple process chamber system that has the ability to perform different processes, simultaneously on different wafers and/or sequentially on the same wafer, while the system is closed to atmosphere.
It is an additional, related object of the present invention to provide a multiple chamber semiconductor processing system that has integrated process capability. That is, a number of separate process steps involving distinctly different types of processes such as plasma etching, CVD deposition, physical sputtering and rapid thermal annealing can be performed simultaneously or sequentially on one or more wafers while the system is closed to vacuum.
It is an additional object of the present invention to provide a semiconductor integrated circuit wafer processing system having the above advantages and, in addition, having the capability to perform pre- or post-processing conditioning steps in the associated load lock chamber.
It is still another object of the present invention to provide an VLSI semiconductor wafer processing system having the above advantages and characteristics and which, in addition, incorporates a simple two-axis (R-θ) robotic load lock wafer exchange system and a one-axis robot wafer exchange system within the individual chambers. These robot systems cooperatively provide an overall very compact yet relatively simple wafer handling system that has the additional attributes of inherent low particulate generation as well as isolation of friction surfaces from the wafers.
SUMMARY OF THE INVENTION
In one aspect, the present invention which accomplishes the above objectives is embodied in an integrated vacuum process system which comprises a vacuum load lock chamber having at least one and preferably a plurality or multiplicity of vacuum processing chambers mounted to the exterior thereof and communicating with the load lock chamber interior via selectively closable slits in the load lock and processing chambers. Each of the processing chambers can be adapted to perform one or more processes selected from, for example, gas chemistry etching, gas chemistry deposition, physical sputtering and rapid thermal anneal of one or more wafers positioned within the chamber. Each processing chamber includes robot means for reversibly transferring a wafer from a selected internal position adjacent the processing chamber opening to an internal wafer support. The load lock chamber incorporates a first external wafer elevator which is adapted for positioning wafers adjacent a load lock chamber entrance and a second internal elevator which is adapted for moving wafers to an internal position adjacent the entrance. An R-θ wafer-handling robot is mounted within the load lock chamber and, preferably, comprises a horizontal wafer-holding blade, a dual-four bar link mechanism mounting the blade. Concentric drive shafts effect rotation of the blade via the four-bar link mechanism to selectively position the blade at the processing chambers and elevators and, extend and retract the blade, also via the four-bar link mechanism, to position the blade at the elevators and at the selected internal positions in the processing chambers for loading and unloading wafers.
In another aspect, at least one of the processing chambers includes a wafer-supporting electrode. The process chamber robot means comprises a group of vertically oriented pins, support means mounting the pins in a circular array, and means for moving the support means vertically to remove the wafer from the blade at the selected internal position and transport the wafer to the support electrode and to return the wafer to the blade at the selected internal position. This robot may include a wafer clamp ring mounted to the support ring above the wafer support pins for clamping the wafer to the supporting electrode.
In still another aspect, the robot of at least one of the processing chambers comprises a first generally circular horizontal array of fingers adapted for holding the wafers; a second generally circular horizontal array of fingers interdigitated with the first fingers and being adapted for holding a generally circular susceptor in a horizontal orientation; a first elevator for mounting the first fingers and moving the first fingers (a) upwardly to lift the wafer off the blade preparatory to lifting movement of the second fingers into a processing position and (b) downwardly to return the wafer to the selected internal position and the load lock blade; and a secondly vertically movable elevator mechanism mounting the second fingers for moving the second fingers (c) upwardly past the first fingers to lift the wafer therefrom onto the susceptor and into the processing position and (d) downwardly for depositing the processed wafer onto the first fingers preparatory to return by the first fingers to the selected internal position and the load lock blade.
A second integrated vacuum processing system essentially identical to the above-described first system, but without the external cassette elevator can be mounted to the first system at one, several or all of the process chamber mounting locations to increase the processing capability and throughput.
The load lock chambers can be adapted for processing and conditioning wafers therein and can include a plate intermediate upper and lower groups of wafer positions for sealing the upper group within the load lock chamber and isolating the lower group from the process chamber during handling or processing of the upper group.
BRIEF DESCRIPTION OF THE DRAWINGS
The above and other aspects and advantages of the present invention are described in conjunction with the following drawing figures, in which:
FIG. 1 is a simplified top plan view, partly schematic, of our multiple chamber integrated process system, illustrated with the load lock and chamber covers deleted;
FIG. 2 is a vertical cross-section view, partly schematic, taken along line 2--2 in FIG. 1;
FIG. 3 is an enlarged, partial top plan view of the robotic wafer handling blade assembly shown in FIG. 1;
FIGS. 4, 5 and 6 diagrammatically depict the operation of the wafer handling blade assembly's dual four-bar link mounting system;
FIGS. 7-11 are highly schematized partial top plan views that diagrammatically depict the R-θ movement of the load lock robot in transferring wafers among the external cassette elevator, the internal load lock elevator and the vacuum processing chambers;
FIGS. 12-16 are sequential schematized cross-sectional representation of the dedicated, internal wafer transport system disclosed in the referenced CVD reactor during the process of transferring wafers from the external load lock blade to the reactor susceptor and returning wafers from the reactor susceptor to the load lock blade;
FIGS. 17-19 are sequential schematized cross-sectional representation of the dedicated, internal wafer transport system disclosed in the referenced etch reactor during the process of transferring wafers from the external load lock blade to the reactor wafer support electrode and returning wafers from the reactor wafer support electrode to the load lock blade; and
FIG. 20 is a top plan view partially schematized of an alternative embodiment of our multiple chamber integrated process system which incorporates an additional pair of multiple chamber process systems.
DETAILED DESCRIPTION OF THE INVENTION
FIG. 1 is a top plan view of a presently preferred embodiment of our multiple chamber integrated process system 10. FIG. 2 is a vertical cross-section of system 10.
Referring primarily to FIGS. 1 and 2, our multiple chamber integrated process system 10 (also termed "multiple chamber system") comprises an enclosed, generally pentagonal main frame or housing 12 having five sidewalls 13--13 that define an enclosed vacuum load lock enclosure or chamber 14.
A number of individual vacuum processing reactors (or chambers), illustratively chambers 16, 18, 20 and 22, are mounted one each on an associated sidewall of the load lock housing.
An external cassette elevator 24 is adapted for holding a multiplicity of cassettes, illustratively two cassettes 26 and 28, in a vertical position with the wafers 15--15 horizontal. The external cassette elevator assembly 24 comprises a first, horizontal base plate 30 that is mounted on guide shafts 32 and 34 for reciprocal horizontal indexing movement, as indicated by arrow 29 (FIG. 1), to selectively position each cassette directly opposite and aligned with load lock chamber entrance slit or opening 36. For example, the base plate 30 can be mounted to and driven by a two-position air cylinder-actuated bell crank which is controlled by computer 70. The cassette elevator 24 is also adapted by indexing system 40 for reciprocal vertical indexing movement as indicated by arrow 31 (FIG. 2), to selectively present wafers within the cassette adjacent to the load lock slit 36. Vertical cassette-indexing system 40 comprises a lead screw 42 that is rotated by a motor 44-driven gear set 46 for displacing drive nut 48 mounted to the base plate 30.
The process chambers 16-22 and the associated main frame walls 13--13 also have communicating slits 36--36 which are similar or identical to the load lock entrance slit 36. Doors or slit valves 38 are provided for sealing these access slits 36. Referring to FIG. 1, each door 36 is pivotally mounted as at 37 and can be opened and closed, e.g., by an air cylinder 69 controlled by computer 70. That is, the means such as electrically operated valves for controlling application of air to cylinder 69 are controlled by computer 70.
The load lock chamber has an internal storage elevator assembly 50 that holds a number of wafers 15--15, illustratively up to eight, on horizontal support plates 54. The elevator 50 indexes the wafers vertically to present the wafers to the vertical position 56 for loading and unloading by the load lock robot blade assembly 84, as discussed below.
Typically, the internal storage elevator cassette assembly 50 comprises a base plate 58 and slotted vertical front plate 59 to which the horizontal wafer support plates 54--54 are mounted. In the illustrated embodiment, a pair of guide shafts 60--60 and drive shaft 61 can extend downwardly from the base plate 58 and through the load lock chamber's bottom wall 62 via seals 63--63, for guiding and moving the elevator assembly 50. Elevator assembly 50 can be raised and lowered by a vertical indexing system 64 comprising a lead screw 65 that is rotated by a motor 66-driven gear set 68 for displacing drive nut 69 that is mounted to the vertical shaft 61. The operation of the elevator vertical drive motor 66 as well as cassette horizontal drive motor 44 (preferably stepper motors) is controlled by a conventional controller, a small, general purpose computer or personal computer 70.
Robotic wafer transfer system 80 is mounted within the load lock chamber 12 for transferring the wafers 15--15 between the external elevator 24 and the internal elevator 50, between the internal elevator 50 and the individual processing chambers 16-22, and between the processing chambers 16-22. The robot 80 includes a concentric-shaft, rotational drive system 82 that imparts reversible R-θ movement to blade assembly 84 via a dual, four-bar link mechanism 86 for effecting the desired cassette-to-elevator, elevator-to-chamber and chamber-to-chamber wafer transfer. The R movement (straight line extension and retraction) is indicated by arrow 72, FIG. 1, while the pivotal θ movement is along arrow 74.
Robot 80
Referring further to FIG. 2, the robot assembly 80 includes a removable base plate 88 that is mounted to the bottom wall 90 of load lock housing 12. O-ring 92 seals the two joined members. Fixed hollow outer shaft 94 is mounted to the base plate 88. Hollow middle shaft 96 is mounted for rotation within the outer shaft 94 by journal bearings 97--97. An inner shaft 98 is mounted by journal bearings 99--99 within the middle shaft 96. The concentric middle shaft 96 and inner shaft 98 are independently rotated, typically by stepper motor-controlled cable and drum drive mechanisms 100 and 102, respectively. The operation of respective stepper motors 150 and 152 is controlled by the controller/computer 70. As discussed below, rotation of the inner shaft 98 and of the outer shaft 96 are converted into precise R and θ movement, respectively, of the robot blade assembly 84 by the dual four-bar link mechanism 86.
Drive mechanism 100 comprises a drum 101 which is tied in fixed relationship to middle shaft 96 and is rotated by cable 103. The cable 103 is mounted on, and wound on and unwound from, a drum 105 that is rotated by motor 150-driven belt and pulley system 107. The drum 105, belt and pulley system 107 and motor 150 are mounted on support plate 109, which in turn is mounted to the fixed outer shaft 94. As a consequence of this arrangement, rotation of the motor 150 is translated by cable 103 into rotation of disk 101 and middle shaft 96.
Similarly, drive mechanism 102 comprises a disk or drum 111 which is tied to inner shaft 98 and is rotated by a cable 113. Cable 113 is mounted on a drum 115, which is rotated by motor 152-driven belt and pulley system 117. Drum 115, belt and pulley system 117 and drive motor 152 are mounted on a support plate assembly 119 which itself is mounted to or is part of a member 121. In turn, member 121 is affixed to or is part of the disk or drum 101. As mentioned, disk 101 is tied to and rotates middle shaft 96. Thus, when drive system 100 rotates middle shaft 96 by rotating the connected disc 101, the disc 101 also rotates the drive system 102, thereby maintaining the angular position of shaft 98 with respect to middle shaft 96.
Referring primarily to FIGS. 1 and 3, the blade assembly 84 includes arm 104 and a replaceable metal blade or end effector 106 mounted thereto which has a circular pocket 108 for receiving a wafer 15 of a given size. Replaceable blades 106 having different sized pockets 108 can be used to hold different sized wafers. Blade 106 has holes 110--110 adjacent the outer end that are connected to a vacuum pump (not shown) by vacuum line 112, which is routed through the hollow inner shaft 98 (FIG. 2). Thus, blade 106 can operate as a vacuum pick, in which case the wafers are picked up at the end of the blade from cassettes 26 and 28 or deposited into the cassettes, in the external atmospheric pressure ambient.
Alternatively, the pocket 108 can be used to hold wafers during transfer between the internal load lock elevator 50 and the processing chambers 16-22 or between the process chambers, in the load lock's vacuum ambient. In short, the blade can pick up wafers at atmospheric pressure or in vacuum, and can pick up high temperature wafers.
Referring further to FIG. 3, capacitive sensors 114--114 are mounted at the forward end of the blade 106 just aft of the vacuum holes 110--110 for use in sensing the presence/absence of a wafer 15 on the vacuum pick end or in the pocket 108. Electrical leads 115 for the sensors can be routed via inner shaft 98 to computer 70, where the output signals from the sensors 114--114 are used to determine the presence/absence of the wafer 15 on the blade. Also, the output of a plurality of (three) LED optical sensors 121 can be routed along the same path as leads 115 to the computer for detecting the insertion of wafers into the load lock chamber.
The dual four-bar link mechanism 84 comprises a first, four-bar link 115 which itself comprises first and second, parallel arms 116 and 117 that are pivotally mounted at spaced points along a connecting link/bracket 118. The second ends of the arms are mounted at spaced points along a second connecting link 119.
A second four-bar link 125 comprises first and second arms 126 and 127 that are pivotally mounted in common with arms 116 and 117, respectively, to the link 119. Arm 126 is mounted at the second end thereof to bracket 129 via pivot pin 130. The bracket 129 itself is fixedly mounted by screws 132--132 to disk or collar 134 which is mounted to the upper end of the middle shaft 96. Arm 127 is fixedly mounted by screws 136--136 to the upper end of inner shaft 98, which extends through the collar 134.
Because of this cooperative mounting using common link 119, the reversible rotation of the inner shaft 98 rotates the drive arm 127 and thereby transforms the shaft rotation into bidirectional translation of link 118 and arm assembly 84. As a consequence of the fixed relative positions of the pivot points 120 and 122 on link 119, of pivot points 138 and 140 on link 129, and of the pivot points on link 118, the two, four- bar links 115 and 125 maintain their parallelogram configuration during rotation The movement of the link 118 and blade assembly 84 is thus parallel to (along) axis 136 through the pivot points associated with link 118 and link 129.
FIGS. 4, 5 and 6 illustrate movement of the four- bar links 115 and 125 during rotation of the shaft 98 and drive arm 127. Initially, as shown in FIG. 4, the link assemblies 115 and 125 are fully extended to position the vacuum pick end of the blade 106 to pick up or deposit a wafer at the external cassette 26 or 28.
Referring to FIG. 5, rotation of the inner shaft 98 and drive arm 127 moves link 118 inwardly into the load lock chamber 19, thereby retracting blade 106 (R movement) to the position over the inner elevator 52 for picking up or depositing a wafer from the inner elevator.
Upon additional rotation, as shown in FIG. 6, the four-bar link assembly 115 crosses over the assembly 125 so that link 118 and blade 106 are fully retracted and the blade is over the flange 134, forming a very compact configuration. In this retracted, compact configuration, the blade assembly 84 and the four- bar links 115 and 125 occupy a minimum area and, thus, traverse a relatively small area of the load lock chamber during rotation. The desired rotation (θ movement) along path 74 is effected by rotating middle shaft 96 and flange 134, which, in turn, rotates pivot point 138 and the four-bar link mechanism 86 about the inner shaft axis 140 (FIG. 2).
The R-θ movement of the robot 80 inserts the blade 106 through the slit valve 30 into a selected process chamber (see, for example, FIG. 11) over the wafer support susceptor or electrode within that chamber. Within the chamber, preferably a cooperating one-axis bidirectional vertical movement robot lifts the wafer from the blade 106, transfers the wafer to the associated chamber electrode or susceptor for processing, then returns the wafer to the reinserted blade 106 after processing. Co-pending, commonly assigned U.S. patent application Ser. No. 944,492, entitled "Thermal CVD/PECVD Reactor and Use for Thermal Vapor Deposition of Silicon Dioxide and In-Situ Multi-Step Planarized Process" concurrently filed, in the names of John A. Adamik, Kenneth S. Collins, Ilya Perlov, Sal P. Umotoy, Cissy Leung, John M. White, David N. K. Wang, Dan Maydan and Kam Law, discloses a chemical vapor deposition/plasma-enhanced chemical vapor reactor system adopted for thermal chemical vapor deposition (CVD), plasma-enhanced chemical vapor deposition (PECVD), plasma etchback, reactor self-cleaning, and sputtering film topography modification (also called "referenced CVD reactor".) The referenced CVD reactor has a one-axis bidirectional robot system uniquely adapted for the above-described internal wafer transfer in such reactors. In addition, co-pending, commonly assigned U.S. patent application Ser. No. 944,843, entitled "Magnetic Field-Enhanced Plasma Etch Reactor", concurrently filed, in the names of Dana L. Andrews, David Cheng, Mei Chang, Dan Maydan, Sasson Somekh, Kenneth R. Stalder, John M. White, Jerry Y. Wong, Vladimir J. Zeitlin and David N. K. Wang (also called "referenced etch reactor"), describes a multiple process etch reactor having a single axis robot which is uniquely designed for etch reactors. The referenced CVD reactor patent application and the referenced etch reactor patent application are both incorporated by reference.
Operation of Robot 80
As one example of an overall sequence of operation of the robot 80, FIGS. 7-11 depict the robot's R-θ movement in moving a wafer 15 (FIG. 7) from external cassette elevator 24 to internal elevator 50 and then into process chamber 20 (FIG. 11).
Initially, as is also depicted in FIG. 3, rotation of drive arm 127 by shaft 98 extends the blade 106 into cassette 28, then downward indexing of the cassette 28 deposits a wafer 15 onto the vacuum pick end of the blade.
As shown in FIG. 8, reversed rotation of the shaft 98 and drive arm 127 (FIG. 2) retract the blade assembly 84 into the load lock chamber and position blade 106 at internal elevator 52. There, upward indexing of the elevator 52 deposits the wafer 15 on one of the cooperating spaced pairs of elevator support plates 54--54. The blade assembly 84 is then retracted further to clear the internal elevator 50; the elevator is indexed downwardly to provide clearance for extension of the blade (see FIG. 2); the elevator 24 is indexed to position the next selected wafer for unloading by the blade 106, then the blade 106 is extended into the cassette 28 to initiate repetition of the above-described unloading cycle. The unloading and loading cycles are repeated until the internal load lock elevator 50 is loaded.
Next, the load lock slit valve 36 is closed by door 38 and the load lock chamber 14 and the process chambers are pumped down to vacuum under the control of computer 70. The elevator 50 is indexed to position a selected wafer 15 for unloading and the arm assembly 84 is extended to the position shown in FIG. 8 to position the blade 106 in the elevator 50 so that short downward elevator indexing deposits the selected wafer 15 onto the blade. Drive arm 127 is then rotated by inner shaft 98 to move the blade assembly 84, as indicated by arrow 72, to the fully retracted position shown in FIG. 9.
Rotation of the middle shaft 96 and flange 134 (FIG. 2) rotates the blade assembly 84 counterclockwise in the direction 74, FIG. 10, to position the blade 106 for insertion into a selected chamber, here chamber 20.
Next, as shown in FIG. 11, drive arm 127 is rotated by inner shaft 98 to extend the blade 106 and wafer 15 thereon into the process chamber 20 so the chamber's wafer exchange robot can unload the wafer 15 from blade 106 onto the chamber support or pedestal.
While an exemplary wafer transfer sequence between external elevator 24-to-internal elevator 50-to-process chamber such as 20 has been illustrated, as is obvious the computer 70 is programmed to unload wafers 15--15 from the chambers 16-22 into the load lock elevator 50, to unload the wafers in the elevator 50 into the cassettes 26 or 28, and to transfer a wafer from one process chamber such as 20 to another chamber 18, 20 or 22 to implement another processing step.
Exemplary Processing Chamber Robot Operation
As mentioned above, the above-described load lock chamber robot 80 preferably operates cooperatively with dedicated robots within the individual chambers 16-22. The load lock robot 80 presents wafer 15--15 to selected transfer points over associated wafer-supporting electrodes or susceptors within the chambers 16-22 for transfer to the process chamber robots, then retrieves the processed wafer 15, preferably at the same transfer points, from the process chamber robots for removal from the chambers.
1. CVD/PECVD Reactor
FIGS. 12 through 16 illustrate the operation of one suitable processing chamber robot wafer transfer mechanism 140, one which is used in the referenced CVD reactor patent application. The exemplary CVD reactor chamber comprises a circular housing 142 (also termed a "chamber"), typically made of aluminum, which defines an inner vacuum chamber 144 that has a processing region/plasma processing region 146 (FIG. 14). The CVD reactor also includes a wafer-holding susceptor 148. A process/purge gas manifold 150 applies process gas and deposition gas to the chamber 144. An RF power supply and matching network 151 (FIG. 12) is used to create and sustain a process gas plasma from the inlet gas (for PECVD operation) and a circular, near-infrared lamp heating system (not shown) is mounted beneath the susceptor 148 for heating the susceptor 148 and wafer 15 positioned thereon to effect deposition from the processing gas onto the wafer 15 (during thermal CVD and PECVD operation). Preferably, high frequency RF power of 13.56 MHz is used, but low frequencies have been used as well.
Referring further to FIG. 12, the dedicated wafer transport system 140 comprises a plurality of radially-extending wafer-support fingers 152--152 which are spaced about and are aligned with the periphery of the susceptor 148. These fingers are mounted to a semi-circular mounting bar or bracket 154. Similarly, an array of radially-extending susceptor-support fingers 156--156 are spaced circumferentially about the susceptor 148, interdigitated with the wafer support fingers 152--152, and are mounted to a semi-circular bar 158 positioned just inside bar 154. Because of the spacing between the adjacent fingers 152--152 and between the adjacent fingers 156--156, only one finger 152 and one finger 156 appears in the cross-sectional view of FIGS. 12-16. The arcuate mounting bars 154 and 158 are mounted within the housing 154 to standard vertically movable elevator assemblies (not shown) such as, for example, simple vertically movable shafts driven by stepper motors via suitable gear drive or pneumatic cylinders, under control of computer 70.
Referring still further to FIG. 12, in operation, the external load lock blade 106 (with the wafer 15 to be processed supported thereon) is inserted via opening 36 into chamber 144 to a position over the susceptor 148. In this starting position, the wafer fingers 152--152 are positioned between the susceptor 148 and the blade 106.
Next, as shown in FIG. 13, the wafer elevator mechanism is actuated by the computer 70 to raise the wafer-support fingers 152--152 above the load lock blade 106 to pick up the wafer 15. The blade 106 is then withdrawn from the chamber 142.
As shown in FIG. 14, after effecting retraction of the blade 106, computer 70 implements closing of the door 38 over the blade access slot 36 to seal chamber 142. Next, the susceptor elevator mechanism is actuated by the computer 70 to raise the susceptor-support fingers 156--156 and the susceptor 148 thereon so that the susceptor 148 lifts the wafer 15 from the fingers 152--152 into position for deposition in the processing area 146 immediately adjacent the gas distribution manifold 150.
After processing, and referring to FIG. 15, the computer 70 actuates the susceptor elevator mechanism to lower the susceptor fingers 156--156 and the susceptor 148 thereon and deposit the wafer 15 onto the wafer support fingers 152--152. The door 38 is then opened and blade 106 is again inserted through the housing 142 into chamber 144. Next, as shown in FIG. 16, the wafer elevator mechanism is actuated by computer 70 to lower the wafer support fingers 152--152 and thereby deposit the wafer 15 onto the load lock blade 106. After the downwardly moving fingers 152--152 clear the blade 106, the blade 106 is again retracted by the computer 70, leaving the fingers 152--152 and 156--156 in the position shown in FIG. 12 ready for another wafer insertion processing and withdrawal cycle.
2. Etch Reactor
FIGS. 17-19 depict an alternative internal wafer transport system 160, one which is used in the etch reactor disclosed in the referenced etch reactor patent application.
The etch reactor chamber comprises a housing 162, typically of non-magnetic material such as aluminum, which defines internal etch chamber 164.
The reactor system also includes a liquid-cooled cathode 166. Provision is made for applying a gas such as helium between the wafer 15 and the upper surface of the electrode 166 when the wafer 15 is positioned on the electrode (see FIG. 19). The gas is applied at a pressure of, for example, about 4 torr to enhance the thermal conductivity between the wafer 15 and the liquid-cooled electrode 166.
Electrical energy is applied to the powered wafer-supporting electrode 166 from an RF power supply 168 (FIG. 19), preferably at a high frequency, although low frequency can be used.
Process gases are supplied to the interior chamber 164 by a gas manifold (not shown) from a gas supply system comprising one or more gas storage sources. The gas manifold is positioned over and closely spaced to the electrode 166 for applying process gas to the process chamber 170 (FIG. 19).
The etch reactor also includes two pair of vertical magnetic coils mounted on opposite walls of the chamber 162 for providing a rotatable field parallel to the plane of wafer 15. The magnetic field enhances the etching effectiveness of the reactor, including etch rate and uniformity. The magnetic coils are controlled by the computer 70 for providing a precisely controlled magnetic field that is preferably rotated at a few cycles per minute by the simple expedient of sequentially reversing current to the sets of coils.
The wafer exchange system 160 integrally incorporates a multiplicity of vertically-extending wafer support pins 174--174. The pins 174--174 are arranged in a circular configuration and extend through holes in the periphery of the electrode 166. The wafer exchange system 160 may also incorporate a wafer clamp ring 172. Both the wafer support fingers 174--174 and the wafer clamping ring 172 are mounted to a support arm means which comprises a horizontally-extending arm 178--178 (only one radial support arm 178 is shown in the cross-section of FIGS. 17-19) mounted to lift shaft 176 (FIG. 18) for vertical displacement. Vertical movement of the shaft 176, clamp ring 172 and wafer support pins 174--174 is effected by a pneumatic cylinder, the operation which is controlled by computer 70.
Referring further to FIG. 17, in operation, initially the assembly 160 is slightly elevated to permit insertion of the load lock blade 106 through door 36 and housing 162 and into the process chamber 170 (FIG. 19). Note, the fixed separation between the top of the wafer support pins 174--174 and the clamping ring 172 is aligned horizontally with the slit 36 in this position so that the blade 106 can pass between the pins 174--174 and the clamping ring 172 and into the plasma etching region 170 over the electrode 166.
Next, as shown in FIG. 18, the shaft 176 is actuated by computer 70 to raise the wafer transport mechanism 160 and cause the wafer support pins 174--174 to lift the wafer 15 from the blade 106.
After retraction of the blade 106, door 38 (FIG. 1) is closed over slit 36 and the computer 70 then effects the lowering of the shaft 176 and the associated wafer transport mechanism 160, thereby also lowering the clamping ring 172 to clamp the wafer 15 between the ring and the electrode 166.
After processing, the shaft 176 is raised under the control of computer 70 to elevate the pins 174--174 and thereby lift the wafer 15 above the door 36 so the blade 106 can be inserted into the chamber 164 beneath the wafer 15. Shaft 176 is then lowered slightly to position the pins 174--174 and the clamp in the position shown in FIG. 17 so the blade 106 can then be retracted from the chamber through the spacing between the pins and the clamp. A fresh wafer 15 can then be placed on the blade 106 and inserted into the chamber 164 between the clamp 172 and the pins 174--174 to initiate the start or another wafer exchange cycle.
As described above, the wafer exchange system 160 transfers wafers to and from the external load lock blade 106, clamps the wafers 15 and removes the wafers from the electrode 166 using only perpendicular, point and peripheral contact. The usual sliding and/or rolling friction contact is absent from the disclosed etch reactor system and its wafer exchange system 160, as well as from the disclosed CVD reactor system and its associated wafer exchange system 140. This reduces the tendency to generate particulates within the chambers, as does the positioning of the support and translation apparatus beneath the processing chambers.
In addition, the load lock chamber 14 can be used for below atmospheric pressure dry processing such as resist descumming, resist ashing and passivation. Moreover, but certainly not to exhaust the possibilities, elevator 50 can incorporate a separator plate 180 between upper and lower wafer sections. When positioned as shown in FIG. 2, the plate 180 effectively seals the load lock chamber 14 and the wafers above the plate from those below. This provides the capability for performing pre-processing and post-processing of the upper wafers in the load lock chamber without affecting the lower wafers. Quite obviously, the plate 180 could be eliminated or moved to a different position so that all wafers are subject to this load lock chamber processing step or so that a variable number of upper wafers are subjected to the processing. Also, unprocessed wafers can be stored above the plate 180 prior to processing and processed wafers stored below the plate preparatory to return to the external cassettes 26, 28, or vice versa.
The system 10, FIG. 1, can be integrated with a load lock chamber or other chamber that allows the system to transfer wafers to another process system which is the same as or different from the present system 10. Referring to FIG. 20, there is shown one example of another system (actually, two systems) which are essentially identical to the present system 10, except that the external elevator 24 is not used. Accordingly, these two add-on systems are designated by the general reference numerals 10A. Systems 10A are mounted to system 10 in place of the two chambers 18 and 20. Also, while the depicted systems 10A have the same dimensions as system 10 and thus require the elimination of the chambers at the adjacent walls, this limitation is related to ease of representation and is not an inherent system limitation. For example, the dimensions of the sidewalls of the chamber 40A can be varied by lengthening the neck-like entrance 25 to allow use of the full complement of four chambers. Also, the chambers 10 and 10A are not limited to the illustrated pentagon configuration and can incorporate either more or fewer sides and, accordingly, more or fewer chambers. The illustrated systems 10A use load lock 14A and robot 80A (which can be essentially identical to the corresponding elements of the base system 10) to transfer wafers 15--15 between the storage elevator 50A and associated chambers 16A-22A. The main base system load lock 14 and robot 80 are used to transfer wafers between the storage elevator 50A of the add-on systems 10A and the base system.
In another exemplary approach, the "other" system such as 10A can be a batch system (for ion implantation or epitaxial processing, etc.). The other system (and system 10) may incorporate a load lock chamber that performs below atmospheric pressure pre-processing or post-processing, or performs atmospheric pressure processing such as wet cleaning.
As is also quite apparent, the described multiple chamber process system can incorporate a single type of process capability (such as etching or chemical vapor deposition) in all chambers 16 through 22 or can mix etch and deposition chambers. Furthermore, chambers for other types of processing such as sputtering and rapid thermal annealing can be used alone or mixed with other process chambers. For example, the reactor chamber disclosed in the above-referenced CVD reactor patent application can be used for sputter etching. Also, Raicu U.S. Pat. No. 4,561,907, issued Dec. 31, 1985, which is hereby incorporated by reference in its entirety describes an applicable single wafer rapid thermal annealing approach. The system 10 is thus adaptable to a very large number of different chamber combinations and process sequences using etch, deposition, sputtering, rapid thermal anneal and other process steps in-situ without breaking system vacuum.

Claims (22)

Having thus described preferred and alternative embodiments of our multiple chamber integrated process system, what is claimed is:
1. An integrated vacuum processing system for workpieces such as semiconductor wafers, comprising:
a vacuum load lock chamber, having a closable entrance;
at least one vacuum processing chamber mounted to said load lock chamber and communicating therewith via openings in the adjacent chambers;
each of said processing chambers including a wafer support means and being adapted for performing a process selected from at least one of gas chemistry etching, gas chemistry deposition, physical sputtering and rapid annealing on at least one wafer positioned on the support and, further including means for reversibly moving a wafer along an axis from a selected internal position adjacent the wafer support means to and onto the wafer support means; and
a wafer handling robot mounted within the load lock chamber, comprising: a wafer support blade; a foldable dual four-bar link mechanism mounting the blade at a first, output end thereof and having a second, opposite actuator end comprising an input link rotatably mounted at a selected location with the chamber for moving the four-bar link mechanism between a folded configuration with the output end on one side of the selected mounting position and selected extended orientations with the output end on the opposite side of the selected mounting position, including an extended configuration with the wafer support blade at said selected internal position; means for rotating the input link to extend and retract the foldable dual four-bar link mechanism and support blade; and means for rotating the dual four-bar link mechanism and wafer support blade.
2. The processing system of claim 1, further comprising:
an internal elevator mounted within the load lock chamber, said internal elevator having a number of wafer mounting positions and being adapted for selectively moving said wafer mounting positions to a first selected load lock position internal and adjacent to the load lock chamber entrance independent of adjacent wafer mounting positions, for transferring wafers to and from said wafer support blade.
3. The processing system of claim 1, further comprising an external elevator mounted outside the load lock chamber, said external elevator having a number of wafer mounting positions and being movable for selectively locating said wafer mounting positions at a second selected load lock position external and adjacent to the load lock chamber entrance for transferring wafers to and from said wafer support blade.
4. The integrated vacuum processing system of claim 1, at least one of the processing chambers being adapted for gas chemistry deposition.
5. The integrated vacuum processing system of claim 1, at least one of the processing chambers being adapted for gas chemistry etching.
6. The integrated vacuum processing system of claim 2, at least one of the processing chambers further comprising:
an electrode assembly having a horizontal upper face for supporting the wafer, said electrode face being generally aligned with the selected internal position; and
said moving means comprising: a group of generally vertically oriented pins; support means mounting the pins in a spaced array; and means for sequentially moving the support means upwardly and downwardly to remove the wafer from the wafer support blade at the selected internal position adjacent the processing chamber opening and onto the wafer support electrode and to return the wafer to the wafer support blade at the selected internal position.
7. The integrated vacuum processing system of claim 6, the processing chamber being adapted for gas chemistry etching.
8. The integrated vacuum processing system of claim 2, the moving means of at least one of the processing chambers further comprising:
a generally horizontal group of vertically oriented pins;
a generally horizontal circular clamp ring means;
a generally cylindrical wafer support electrode assembly having an upper face for supporting the wafer;
a support arm assembly mounting the clamp ring means at an upper end thereof, and further mounting said pins in a generally spaced array of diameter less than the clamp ring diameter, said upper ends of the pins being spaced vertically below said clamping ring;
a vertically movable elevator mechanism mounted within the chamber, mounting said support arm assembly for vertical movement thereof;
said cylindrical electrode having vertical slots therein for permitting vertical movement of said support pins therethrough; and
means for sequentially moving the shaft upwardly and downwardly to permit positioning of the load lock wafer blade over the wafer support electrode at the selected internal position, removing the wafer from the blade at the selected internal position, clamping the wafer to the wafer support electrode and returning the wafer to the blade at the selected internal position.
9. The integrated vacuum processing system of claim 8, the processing chamber being adapted for gas chemistry etching.
10. The integrated vacuum processing system of claim 1, the load lock chamber being adapted for processing a wafer positioned therein.
11. The integrated vacuum processing system of claim 2, the first elevator having a plate intermediate first and second groups of wafer positions for sealing the first group within the load lock chamber and isolating the second group from the load lock chamber.
12. The integrated vacuum processing system of claim 11, further comprising means for applying gas to the load lock chamber to condition said first group of wafers.
13. The integrated processing system of claim 17, further comprising a second integrated process system mounted to the load lock chamber, said second integrated process system comprising:
a second vacuum load lock chamber, having a closable entrance; and
at least one second vacuum processing chamber mounted to said second load lock chamber and communicating therewith via openings in the adjacent chambers;
each of said second processing chambers including a wafer support means and being adapted for performing a process sequence selected from at least one of gas chemistry etching, gas chemistry deposition, physical sputtering and rapid annealing on a wafer positioned on the support and, further including means for reversibly moving a wafer along an axis from a selected internal position adjacent the wafer support means thereof to and onto the wafer support means thereof; an internal elevator mounted within the load lock chamber, said internal elevator having a number of wafer mounting positions and being adapted for selectively moving wafers to an internal position adjacent the second load lock chamber entrance; and
said second integrated processing system comprising a wafer handling robot mounted within the load lock chamber comprising a wafer support blade; a foldable dual four-bar link mechanism mounting the blade at a first, output end thereof and having a second, opposite actuator end comprising an input link rotatably mounted at a selected location within the chamber for moving the dual four-bar link mechanism between a folded configuration with the output end on one side of the selected mounting position and selected extended orientations with the output end on the opposite side of the selected mounting position, including an extended configuration with the wafer support blade at said selected internal position; means for rotating the input link for extending and retracting the foldable dual four-bar link mechanism and mounted support blade; and means for rotating the dual four-bar link mechanism and wafer support blade.
14. The integrated vacuum processing system of claim 13, at least one of the load lock chambers being adapted for processing a wafer positioned therein.
15. The integrated vacuum processing system of claim 13, at least one of the internal load lock chamber elevators having a plate intermediate first and second groups of wafer positions for sealing the first group within the associated load lock chamber and isolating the second group from the associated load lock chamber.
16. The integrated vacuum processing system of claim 15, further comprising means for applying gas to the said load lock chamber to condition said first group of wafers.
17. The integrated vacuum processing system of claim 1, the moving means of at least one of the processing chambers further comprising:
a first generally circular horizontal array of fingers adapted for holding the wafer;
a second generally circular horizontal array of fingers interdigitated with the first fingers, the second fingers being adapted for holding a generally circular susceptor in a horizontal orientation;
a first vertically movable elevator mechanism mounting the first fingers for moving the first fingers (a) upwardly to lift the wafer off the blade preparatory to lifting movement of the second fingers into a processing position and (b) downwardly to return the wafer to the selected internal position and the load lock blade; and
a second vertically movable elevator mechanism mounting the second fingers for moving the second fingers (c) upwardly past the first fingers to lift the wafer therefrom and onto the susceptor and into the said processing position, and (d) downwardly for depositing the processed wafer onto the first fingers preparatory to return by the first fingers to the selected internal position and the load lock blade.
18. An integrated vacuum processing system comprising:
a load lock chamber having a closable entrance;
at least a pair of vacuum processing chambers mounted to said load lock chamber and communicating therewith via openings in the adjoining chambers;
each of said vacuum processing chambers including a wafer support and robot means for reversibly moving a wafer from a selected internal position adjacent the chamber opening to and onto the wafer support;
a first elevator mounted externally to the load lock chamber, said first elevator having a number of wafer mounting positions and being adapted for selectively moving said wafer mounting positions to a position adjacent the load lock chamber entrance;
a second elevator mounted within the load lock chamber, said second elevator having a number of wafer mounting positions and being adapted for selectively moving wafers to a position adjacent the load lock chamber entrance;
a blade assembly mounted within said load lock chamber, comprising: a wafer support blade and a dual four-bar link pivot mechanism, each of said two four-bar link thereof comprising first and second side links pivotally mounted at opposite ends thereof to connecting end links, said two four-bar links being joined to a common middle link and being joined to opposite first and second end links, the first end link being mounted to the wafer support blade and the second end link mounting the associated first and second side links at respective first and second shafts; and
means for imparting R and θ movement to the wafer support blade via the dual four-bar link mechanism for selectively positioning the blade, comprising: (a) a hollow rotatable drive shaft having a collar at the upper end thereof, said first pivot shaft extending within the hollow shaft for co-axial independent rotation, and said second shaft being mounted to said collar, spaced from said first shaft; (b) means for rotating the hollow drive shaft to rotate the blade assembly about the first shaft; and (c) means for rotating the first shaft to extend and retract the blade along a generally straight axis through the opposite end links.
19. The integrated vacuum processing system of claim 18, said robot means further comprising:
a first, generally circular horizontal array of fingers adapted for holding the wafer;
a second generally circular horizontal array of fingers interdigitated with the first fingers, the second fingers being adapted for holding a generally circular wafer support in a horizontal orientation;
a first vertically movable elevator mechanism mounting the first fingers for moving the first fingers (a) upwardly to lift the wafer off the blade preparatory to lifting movement of the second fingers into a processing position and (b) downwardly to return the wafer to the blade; and
a second, vertically movable elevator mechanism mounting the second fingers for moving the second fingers (c) upwardly past the first fingers to lift the wafer therefrom and onto the susceptor and into the said processing position, and (d) downwardly for depositing the processed wafer onto the first fingers preparatory to return by the first fingers to the blade.
20. The integrated vacuum processing system of claim 18, said robot means further comprising:
a group of wafer support pins;
a generally circular clamp ring;
a generally cylindrical wafer support electrode assembly having an upper face for supporting the wafer;
a support arm assembly mounting the clamping ring at an upper end thereof, and further mounting said pins vertically in a generally circular array of diameter less than the clamp ring diameter, said upper ends of the pins being spaced vertically below said clamping ring;
an elevator mechanism mounted within the chamber, for moving the support arm assembly vertically;
said cylindrical electrode having vertical slots therein for permitting vertical movement of said wafer support pins therethrough; and
means for sequentially moving the shaft upwardly and downwardly to selected positions to permit positioning of the wafer blade over the wafer support electrode, removing the wafer from the blade at the selected internal chamber position, clamping the wafer to the wafer support electrode and returning the wafer to the blade at the selected internal chamber position.
21. The integrated vacuum processing system of claim 20, the second elevator having a plate intermediate first and second groups of wafer positions for sealing the first group within the load lock chamber and isolating the second group from the load lock chamber.
22. The integrated vacuum processing system of claim 20, further comprising means for applying ambient gas to said load lock chamber to condition said first group of wafers.
US07/371,700 1986-12-19 1989-06-23 Multi-chamber integrated process system Expired - Lifetime US4951601A (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US07/371,700 US4951601A (en) 1986-12-19 1989-06-23 Multi-chamber integrated process system
US07/808,786 US5292393A (en) 1986-12-19 1991-12-16 Multichamber integrated process system
US08/926,568 US5882165A (en) 1986-12-19 1997-09-10 Multiple chamber integrated process system

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US94480386A 1986-12-19 1986-12-19
US28301588A 1988-12-08 1988-12-08
US07/371,700 US4951601A (en) 1986-12-19 1989-06-23 Multi-chamber integrated process system

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US28301588A Continuation 1986-12-19 1988-12-08

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US45181789A Continuation 1986-12-19 1989-12-15

Publications (1)

Publication Number Publication Date
US4951601A true US4951601A (en) 1990-08-28

Family

ID=27403355

Family Applications (1)

Application Number Title Priority Date Filing Date
US07/371,700 Expired - Lifetime US4951601A (en) 1986-12-19 1989-06-23 Multi-chamber integrated process system

Country Status (1)

Country Link
US (1) US4951601A (en)

Cited By (704)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5102495A (en) * 1986-04-18 1992-04-07 General Signal Corporation Method providing multiple-processing of substrates
US5102812A (en) * 1989-11-09 1992-04-07 Bell Communications Research Method of making a lateral bipolar heterojunction structure
US5160407A (en) * 1991-01-02 1992-11-03 Applied Materials, Inc. Low pressure anisotropic etch process for tantalum silicide or titanium silicide layer formed over polysilicon layer deposited on silicon oxide layer on semiconductor wafer
US5171717A (en) * 1990-05-25 1992-12-15 International Business Machines Corporation Method for batch cleaving semiconductor wafers and coating cleaved facets
US5174827A (en) * 1989-07-26 1992-12-29 Consorzio Ce.Te.V Centro Tecnologie Del Vuoto Double chamber vacuum apparatus for thin layer deposition
US5180432A (en) * 1990-01-08 1993-01-19 Lsi Logic Corporation Apparatus for conducting a refractory metal deposition process
US5227708A (en) * 1989-10-20 1993-07-13 Applied Materials, Inc. Two-axis magnetically coupled robot
US5234303A (en) * 1990-05-15 1993-08-10 Seiko Instruments Inc. In-vacuum conveyance robot
WO1993017448A1 (en) * 1992-02-25 1993-09-02 Ag Processing Technology, Inc. D.B.A. Ag Associates Gas phase doping of semiconductor material in a cold-wall radiantly heated reactor under reduced pressure
US5248371A (en) * 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
US5286296A (en) * 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
US5288379A (en) * 1991-12-04 1994-02-22 Anelva Corporation Multi-chamber integrated process system
US5292675A (en) * 1991-12-24 1994-03-08 Semiconductor Energy Laboratory Co., Ltd. Method for forming a MOS transistor and structure thereof
US5292554A (en) * 1992-11-12 1994-03-08 Applied Materials, Inc. Deposition apparatus using a perforated pumping plate
US5292393A (en) * 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US5294572A (en) * 1989-03-06 1994-03-15 Asm International N.V. Method and apparatus for depositing a layer on a substrate
US5304248A (en) * 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
US5308431A (en) * 1986-04-18 1994-05-03 General Signal Corporation System providing multiple processing of substrates
US5308210A (en) * 1990-07-23 1994-05-03 Dainippon Screen Mfg. Co., Ltd. Interface apparatus for transporting substrates between substrate processing apparatus
US5310410A (en) * 1990-04-06 1994-05-10 Sputtered Films, Inc. Method for processing semi-conductor wafers in a multiple vacuum and non-vacuum chamber apparatus
US5326725A (en) * 1993-03-11 1994-07-05 Applied Materials, Inc. Clamping ring and susceptor therefor
US5328722A (en) * 1992-11-06 1994-07-12 Applied Materials, Inc. Metal chemical vapor deposition process using a shadow ring
US5330633A (en) * 1990-02-19 1994-07-19 Canon Kabushiki Kaisha Process for forming metal deposited film containing aluminum as main component by use of alkyl aluminum hydride
EP0606751A1 (en) * 1993-01-13 1994-07-20 Applied Materials, Inc. Method for depositing polysilicon films having improved uniformity and apparatus therefor
US5333986A (en) * 1991-07-29 1994-08-02 Tokyo Electron Limited Transfer apparatus
EP0608620A1 (en) * 1993-01-28 1994-08-03 Applied Materials, Inc. Vacuum Processing apparatus having improved throughput
US5336363A (en) * 1993-09-24 1994-08-09 Applied Materials, Inc. Low temperature dry etch of copper
US5338398A (en) * 1991-03-28 1994-08-16 Applied Materials, Inc. Tungsten silicide etch process selective to photoresist and oxide
US5344542A (en) * 1986-04-18 1994-09-06 General Signal Corporation Multiple-processing and contamination-free plasma etching system
US5350488A (en) * 1992-12-10 1994-09-27 Applied Materials, Inc. Process for etching high copper content aluminum films
US5356486A (en) * 1991-03-04 1994-10-18 Applied Materials, Inc. Combined wafer support and temperature monitoring device
US5378660A (en) * 1993-02-12 1995-01-03 Applied Materials, Inc. Barrier layers and aluminum contacts
US5387067A (en) * 1993-01-14 1995-02-07 Applied Materials, Inc. Direct load/unload semiconductor wafer cassette apparatus and transfer system
US5395198A (en) * 1992-06-19 1995-03-07 International Business Machines Corporation Vacuum loading chuck and fixture for flexible printed circuit panels
US5407449A (en) * 1992-03-10 1995-04-18 Asm International N.V. Device for treating micro-circuit wafers
US5410122A (en) * 1993-03-15 1995-04-25 Applied Materials, Inc. Use of electrostatic forces to reduce particle contamination in semiconductor plasma processing chambers
WO1995018459A1 (en) * 1993-12-23 1995-07-06 Heikki Ihantola Apparatus and method for processing of semiconductors, such as silicon chips
US5432073A (en) * 1993-09-27 1995-07-11 United Microelectronics Corporation Method for metal deposition without poison via
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5445491A (en) * 1991-08-27 1995-08-29 Toshiba Kikai Kabushiki Kaisha Method for multichamber sheet-after-sheet type treatment
US5445484A (en) * 1990-11-26 1995-08-29 Hitachi, Ltd. Vacuum processing system
WO1995031582A1 (en) * 1994-05-16 1995-11-23 Ag Associates, Inc. Chemical vapor deposition reactor and method
US5474410A (en) * 1993-03-14 1995-12-12 Tel-Varian Limited Multi-chamber system provided with carrier units
US5478780A (en) * 1990-03-30 1995-12-26 Siemens Aktiengesellschaft Method and apparatus for producing conductive layers or structures for VLSI circuits
US5494494A (en) * 1992-06-24 1996-02-27 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing substrates
US5494523A (en) * 1993-05-13 1996-02-27 Applied Materials, Inc. Controlling plasma particulates by contouring the plasma sheath using materials of differing RF impedances
US5498768A (en) * 1988-07-27 1996-03-12 Hitachi, Ltd. Process for forming multilayer wiring
EP0704551A1 (en) 1994-09-27 1996-04-03 Applied Materials, Inc. Method of processing a substrate in a vacuum processing chamber
EP0608633A3 (en) * 1993-01-28 1996-05-01 Applied Materials Inc Method for multilayer cvd processing in a single chamber.
US5514620A (en) * 1989-12-01 1996-05-07 Seiko Instruments Inc. Method of producing PN junction device
US5516732A (en) * 1992-12-04 1996-05-14 Sony Corporation Wafer processing machine vacuum front end method and apparatus
US5516367A (en) * 1993-04-05 1996-05-14 Applied Materials, Inc. Chemical vapor deposition chamber with a purge guide
US5522955A (en) * 1994-07-07 1996-06-04 Brodd; Ralph J. Process and apparatus for producing thin lithium coatings on electrically conductive foil for use in solid state rechargeable electrochemical cells
US5527733A (en) * 1989-07-27 1996-06-18 Seiko Instruments Inc. Impurity doping method with adsorbed diffusion source
US5535306A (en) * 1993-01-28 1996-07-09 Applied Materials Inc. Self-calibration system for robot mechanisms
US5536128A (en) * 1988-10-21 1996-07-16 Hitachi, Ltd. Method and apparatus for carrying a variety of products
US5537311A (en) * 1993-01-28 1996-07-16 Applied Materials, Inc. Apparatus for aligning substrates for loading and unloading using a robot mechanism
US5539266A (en) * 1993-01-28 1996-07-23 Applied Materials Inc. Dual coaxial magnetic couplers for vacuum chamber robot assembly
US5554563A (en) * 1995-04-04 1996-09-10 Taiwan Semiconductor Manufacturing Company In situ hot bake treatment that prevents precipitate formation after a contact layer etch back step
US5556147A (en) * 1993-07-15 1996-09-17 Applied Materials, Inc. Wafer tray and ceramic blade for semiconductor processing apparatus
EP0732622A2 (en) * 1995-03-16 1996-09-18 Eastman Kodak Company Apparatus for moving photographic film cartridges between lighted and darkened environments
US5558487A (en) * 1994-01-25 1996-09-24 Sony Corporation Transporting system for an article
US5558482A (en) * 1992-07-29 1996-09-24 Tokyo Electron Limited Multi-chamber system
US5563095A (en) * 1994-12-01 1996-10-08 Frey; Jeffrey Method for manufacturing semiconductor devices
US5577879A (en) * 1992-12-28 1996-11-26 Brooks Automation, Inc. Articulated arm transfer device
US5580419A (en) * 1994-03-23 1996-12-03 Trw Inc. Process of making semiconductor device using focused ion beam for resistless in situ etching, deposition, and nucleation
US5580388A (en) * 1993-01-21 1996-12-03 Moore Epitaxial, Inc. Multi-layer susceptor for rapid thermal process reactors
US5583408A (en) * 1989-10-20 1996-12-10 Applied Materials Two-axis magnetically coupled robot
US5582866A (en) * 1993-01-28 1996-12-10 Applied Materials, Inc. Single substrate vacuum processing apparatus having improved exhaust system
US5588789A (en) * 1995-07-06 1996-12-31 Brooks Automation Load arm for load lock
US5588827A (en) * 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
US5590994A (en) * 1992-10-06 1997-01-07 Balzers Aktiengesellschaft Chamber, at least for the transport of workpieces, a chamber combination, a vacuum treatment facility as well as a transport method
US5595936A (en) * 1993-08-04 1997-01-21 Hyundai Electronics Industries Co., Ltd. Method for forming contacts in semiconductor device
EP0758148A2 (en) * 1995-08-07 1997-02-12 Applied Materials, Inc. Method and apparatus for forming electrical contacts in multi-layer integrated circuits
WO1997005527A1 (en) * 1995-07-27 1997-02-13 Semiconductor Systems, Inc. Method and apparatus for curing photoresist
US5607009A (en) * 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
US5607276A (en) * 1995-07-06 1997-03-04 Brooks Automation, Inc. Batchloader for substrate carrier on load lock
US5609459A (en) * 1995-07-06 1997-03-11 Brooks Automation, Inc. Door drive mechanisms for substrate carrier and load lock
US5611655A (en) * 1993-04-23 1997-03-18 Tokyo Electron Limited Vacuum process apparatus and vacuum processing method
US5613821A (en) * 1995-07-06 1997-03-25 Brooks Automation, Inc. Cluster tool batchloader of substrate carrier
US5622595A (en) * 1992-06-16 1997-04-22 Applied Materials, Inc Reducing particulate contamination during semiconductor device processing
WO1997015423A1 (en) 1995-10-27 1997-05-01 Brooks Automation Inc. Substrate transport apparatus with dual substrate holders
US5628828A (en) * 1994-03-04 1997-05-13 Hitachi , Ltd. Processing method and equipment for processing a semiconductor device having holder/carrier with flattened surface
EP0782175A2 (en) * 1995-12-28 1997-07-02 JENOPTIK Aktiengesellschaft Apparatus for handling wafer like objects
US5651823A (en) * 1993-07-16 1997-07-29 Semiconductor Systems, Inc. Clustered photolithography system
US5658828A (en) * 1989-11-30 1997-08-19 Sgs-Thomson Microelectronics, Inc. Method for forming an aluminum contact through an insulating layer
US5664926A (en) * 1995-07-11 1997-09-09 Progressive System Technologies, Inc. Stage assembly for a substrate processing system
US5664925A (en) * 1995-07-06 1997-09-09 Brooks Automation, Inc. Batchloader for load lock
EP0799903A2 (en) 1996-04-05 1997-10-08 Applied Materials, Inc. Methods of sputtering a metal onto a substrate and semiconductor processing apparatus
US5678980A (en) * 1989-10-20 1997-10-21 Applied Materials, Inc. Robot assembly
US5685684A (en) * 1990-11-26 1997-11-11 Hitachi, Ltd. Vacuum processing system
US5691236A (en) * 1996-06-29 1997-11-25 United Microelectronics Corporation Method and apparatus for performing chemical vapor deposition
WO1997045863A1 (en) * 1996-05-31 1997-12-04 Sls Partners, Inc. Radial multiple chamber microelectronics processing apparatus and process of making and using the apparatus
US5697748A (en) * 1993-07-15 1997-12-16 Applied Materials, Inc. Wafer tray and ceramic blade for semiconductor processing apparatus
US5720590A (en) * 1993-04-16 1998-02-24 Brooks Automation, Inc. Articulated arm transfer device
EP0828286A2 (en) * 1996-08-19 1998-03-11 Shin-Etsu Handotai Company Limited Method of manufacturing mirror-polished silicon wafers, and apparatus for processing silicon wafers
US5738767A (en) * 1994-01-11 1998-04-14 Intevac, Inc. Substrate handling and processing system for flat panel displays
US5746460A (en) * 1995-12-08 1998-05-05 Applied Materials, Inc. End effector for semiconductor wafer transfer device and method of moving a wafer with an end effector
US5755888A (en) * 1994-09-01 1998-05-26 Matsushita Electric Industrial Co., Ltd. Method and apparatus of forming thin films
US5759360A (en) * 1995-03-13 1998-06-02 Applied Materials, Inc. Wafer clean sputtering process
US5765983A (en) * 1996-05-30 1998-06-16 Brooks Automation, Inc. Robot handling apparatus
US5769952A (en) * 1994-06-07 1998-06-23 Tokyo Electron, Ltd. Reduced pressure and normal pressure treatment apparatus
US5769588A (en) * 1990-04-19 1998-06-23 Applied Materials, Inc. Dual cassette load lock
US5783055A (en) * 1995-02-28 1998-07-21 Hitachi, Ltd. Multi-chamber sputtering apparatus
US5784799A (en) * 1990-08-29 1998-07-28 Hitachi, Ltd. Vacuum processing apparatus for substate wafers
US5789890A (en) * 1996-03-22 1998-08-04 Genmark Automation Robot having multiple degrees of freedom
US5804505A (en) * 1992-10-27 1998-09-08 Nec Corporation Method of producing semiconductor device having buried contact structure
US5812403A (en) * 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5810935A (en) * 1994-12-06 1998-09-22 Electronics And Telecommunications Research Institute Apparatus for transferring a wafer
US5817366A (en) * 1996-07-29 1998-10-06 Tdk Corporation Method for manufacturing organic electroluminescent element and apparatus therefor
US5820692A (en) * 1996-01-16 1998-10-13 Fsi Interntional Vacuum compatible water vapor and rinse process module
US5826545A (en) * 1995-09-18 1998-10-27 Diagnon Corporation Animal caging system
US5850071A (en) * 1996-02-16 1998-12-15 Kokusai Electric Co., Ltd. Substrate heating equipment for use in a semiconductor fabricating apparatus
US5851909A (en) * 1989-08-11 1998-12-22 Seiko Instruments Inc. Method of producing semiconductor device using an adsorption layer
US5854468A (en) * 1996-01-25 1998-12-29 Brooks Automation, Inc. Substrate heating apparatus with cantilevered lifting arm
WO1999003135A1 (en) * 1997-07-11 1999-01-21 Applied Materials, Inc. Lid assembly
US5877087A (en) * 1995-11-21 1999-03-02 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
US5879574A (en) * 1996-11-13 1999-03-09 Applied Materials, Inc. Systems and methods for detecting end of chamber clean in a thermal (non-plasma) process
US5879739A (en) * 1997-02-20 1999-03-09 Tower Semiconductor Ltd. Batch process for forming metal plugs in a dielectric layer of a semiconductor wafer
US5885052A (en) * 1996-06-03 1999-03-23 Kabushiki Kaisha Toyoda Jidoshokki Seisakusho Transferring apparatus and robot arm
US5891793A (en) * 1997-04-04 1999-04-06 Advanced Micro Devices, Inc. Transistor fabrication process employing a common chamber for gate oxide and gate conductor formation
US5893795A (en) * 1997-07-11 1999-04-13 Applied Materials, Inc. Apparatus for moving a cassette
EP0787971A3 (en) * 1996-02-02 1999-04-28 Moore Epitaxial, Inc. A cluster tool layer thickness measurement apparatus
US5902494A (en) * 1996-02-09 1999-05-11 Applied Materials, Inc. Method and apparatus for reducing particle generation by limiting DC bias spike
US5904542A (en) * 1997-03-26 1999-05-18 Advanced Micro Devices, Inc. Performing a semiconductor fabrication sequence within a common chamber and without opening the chamber beginning with forming a field dielectric and concluding with a gate dielectric
US5908281A (en) * 1996-09-20 1999-06-01 Brooks Automation Inc. Coaxial drive loader arm
US5926724A (en) * 1995-12-02 1999-07-20 Hyundai Electronics Industries Co., Ltd. Device isolation technology
WO1999036587A1 (en) * 1998-01-15 1999-07-22 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US5931626A (en) * 1998-01-16 1999-08-03 Brooks Automation Inc. Robot mounting de-coupling technique
US5932014A (en) * 1993-05-07 1999-08-03 Fujitsu Limited Apparatus for producing semiconductor device
US5932286A (en) * 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
US5937318A (en) * 1985-11-19 1999-08-10 Warner, Jr.; Raymond M. Monocrystalline three-dimensional integrated circuit
US5937308A (en) * 1997-03-26 1999-08-10 Advanced Micro Devices, Inc. Semiconductor trench isolation structure formed substantially within a single chamber
US5935340A (en) * 1996-11-13 1999-08-10 Applied Materials, Inc. Method and apparatus for gettering fluorine from chamber material surfaces
US5939831A (en) * 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US5943600A (en) * 1995-03-13 1999-08-24 Applied Materials, Inc. Treatment of a titanium nitride layer to improve resistance to elevated temperatures
US5943230A (en) * 1996-12-19 1999-08-24 Applied Materials, Inc. Computer-implemented inter-chamber synchronization in a multiple chamber substrate processing system
US5951770A (en) * 1997-06-04 1999-09-14 Applied Materials, Inc. Carousel wafer transfer system
US5954472A (en) * 1996-07-15 1999-09-21 Brooks Automation, Inc. Batch loader arm
US5958510A (en) * 1996-01-08 1999-09-28 Applied Materials, Inc. Method and apparatus for forming a thin polymer layer on an integrated circuit structure
US5963840A (en) * 1996-11-13 1999-10-05 Applied Materials, Inc. Methods for depositing premetal dielectric layer at sub-atmospheric and high temperature conditions
US5968587A (en) * 1996-11-13 1999-10-19 Applied Materials, Inc. Systems and methods for controlling the temperature of a vapor deposition apparatus
US5971701A (en) * 1996-02-09 1999-10-26 Hitachi, Ltd. Semiconductor manufacturing apparatus for transferring articles with a bearing-less joint and method for manufacturing semiconductor device
US5976259A (en) * 1985-02-14 1999-11-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, manufacturing method, and system
US5976919A (en) * 1994-06-10 1999-11-02 Matsushita Electric Industrial Co., Ltd. Apparatus and method of manufacturing semiconductor element
EP0953657A2 (en) * 1998-05-02 1999-11-03 Leybold Systems GmbH Vaccum coating apparatus
EP0959146A2 (en) * 1998-05-21 1999-11-24 International Business Machines Corporation Dual chamber ion beam sputter deposition system
WO1999060610A1 (en) * 1998-05-20 1999-11-25 Applied Komatsu Technology, Inc. An automated substrate processing system
US5993916A (en) * 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5994209A (en) * 1996-11-13 1999-11-30 Applied Materials, Inc. Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
WO1999061678A1 (en) * 1998-05-26 1999-12-02 Whitesell Andrew B A substrate handling and processing system and method
US6013134A (en) * 1998-02-18 2000-01-11 International Business Machines Corporation Advance integrated chemical vapor deposition (AICVD) for semiconductor devices
US6019848A (en) * 1996-11-13 2000-02-01 Applied Materials, Inc. Lid assembly for high temperature processing chamber
US6024393A (en) * 1996-11-04 2000-02-15 Applied Materials, Inc. Robot blade for handling of semiconductor substrate
US6034000A (en) * 1997-07-28 2000-03-07 Applied Materials, Inc. Multiple loadlock system
US6045666A (en) * 1995-08-07 2000-04-04 Applied Materials, Inc. Aluminum hole filling method using ionized metal adhesion layer
WO2000019498A1 (en) * 1998-10-01 2000-04-06 Applied Materials, Inc. In situ deposition of low k si carbide barrier layer, etch stop, and anti-reflective coating for damascene applications
US6053688A (en) * 1997-08-25 2000-04-25 Cheng; David Method and apparatus for loading and unloading wafers from a wafer carrier
WO2000026974A2 (en) * 1998-10-30 2000-05-11 Applied Materials, Inc. Semiconductor processing chamber calibration tool
US6063441A (en) * 1997-12-02 2000-05-16 Applied Materials, Inc. Processing chamber and method for confining plasma
US6062798A (en) * 1996-06-13 2000-05-16 Brooks Automation, Inc. Multi-level substrate processing apparatus
US6068441A (en) * 1997-11-21 2000-05-30 Asm America, Inc. Substrate transfer system for semiconductor processing equipment
US6068704A (en) * 1996-11-26 2000-05-30 Tokyo Electron Limited Transfer arm apparatus and semiconductor processing system using the same
US6077788A (en) * 1989-02-27 2000-06-20 Hitachi, Ltd. Method and apparatus for processing samples
US6077321A (en) * 1996-11-08 2000-06-20 Dainippon Screen Mfg. Co., Ltd. Wet/dry substrate processing apparatus
US6080285A (en) * 1998-09-14 2000-06-27 Applied Materials, Inc. Multiple step ionized metal plasma deposition process for conformal step coverage
US6086362A (en) * 1998-05-20 2000-07-11 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
US6086952A (en) * 1998-06-15 2000-07-11 Applied Materials, Inc. Chemical vapor deposition of a copolymer of p-xylylene and a multivinyl silicon/oxygen comonomer
US6103055A (en) * 1986-04-18 2000-08-15 Applied Materials, Inc. System for processing substrates
US6106634A (en) * 1999-02-11 2000-08-22 Applied Materials, Inc. Methods and apparatus for reducing particle contamination during wafer transport
US6110836A (en) * 1999-04-22 2000-08-29 Applied Materials, Inc. Reactive plasma etch cleaning of high aspect ratio openings
US6110556A (en) * 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
US6114216A (en) * 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US6121163A (en) * 1996-02-09 2000-09-19 Applied Materials, Inc. Method and apparatus for improving the film quality of plasma enhanced CVD films at the interface
US6121743A (en) * 1996-03-22 2000-09-19 Genmark Automation, Inc. Dual robotic arm end effectors having independent yaw motion
US6120229A (en) * 1999-02-01 2000-09-19 Brooks Automation Inc. Substrate carrier as batchloader
US6123502A (en) * 1997-07-08 2000-09-26 Brooks Automation, Inc. Substrate holder having vacuum holding and gravity holding
US6132517A (en) * 1997-02-21 2000-10-17 Applied Materials, Inc. Multiple substrate processing apparatus for enhanced throughput
US6132165A (en) * 1998-02-23 2000-10-17 Applied Materials, Inc. Single drive, dual plane robot
US6139697A (en) * 1997-01-31 2000-10-31 Applied Materials, Inc. Low temperature integrated via and trench fill process and apparatus
US6139923A (en) * 1996-02-09 2000-10-31 Applied Materials, Inc. Method and apparatus for reducing particle contamination in a substrate processing chamber
US6158941A (en) * 1995-10-27 2000-12-12 Brooks Automation, Inc. Substrate transport apparatus with double substrate holders
US6166509A (en) * 1999-07-07 2000-12-26 Applied Materials, Inc. Detection system for substrate clamp
US6167837B1 (en) 1998-01-15 2001-01-02 Torrex Equipment Corp. Apparatus and method for plasma enhanced chemical vapor deposition (PECVD) in a single wafer reactor
US6168672B1 (en) * 1998-03-06 2001-01-02 Applied Materials Inc. Method and apparatus for automatically performing cleaning processes in a semiconductor wafer processing system
US6174374B1 (en) * 1991-05-28 2001-01-16 Semiconductor Energy Laboratory Co., Ltd. Method for annealing a semiconductor
US6176668B1 (en) 1998-05-20 2001-01-23 Applied Komatsu Technology, Inc. In-situ substrate transfer shuttle
US6177302B1 (en) * 1990-11-09 2001-01-23 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a thin film transistor using multiple sputtering chambers
US6176667B1 (en) 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
US6183564B1 (en) 1998-11-12 2001-02-06 Tokyo Electron Limited Buffer chamber for integrating physical and chemical vapor deposition chambers together in a processing system
US6183183B1 (en) 1997-01-16 2001-02-06 Asm America, Inc. Dual arm linear hand-off wafer transfer assembly
US6193506B1 (en) 1995-05-24 2001-02-27 Brooks Automation, Inc. Apparatus and method for batch thermal conditioning of substrates
US20010000011A1 (en) * 1993-05-26 2001-03-15 Hongyong Zhang Method for producing semiconductor device
US6207558B1 (en) 1999-10-21 2001-03-27 Applied Materials, Inc. Barrier applications for aluminum planarization
US6206176B1 (en) 1998-05-20 2001-03-27 Applied Komatsu Technology, Inc. Substrate transfer shuttle having a magnetic drive
US6207005B1 (en) * 1997-07-29 2001-03-27 Silicon Genesis Corporation Cluster tool apparatus using plasma immersion ion implantation
US6213704B1 (en) 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Method and apparatus for substrate transfer and processing
US6217272B1 (en) 1998-10-01 2001-04-17 Applied Science And Technology, Inc. In-line sputter deposition system
US6221766B1 (en) * 1997-01-24 2001-04-24 Steag Rtp Systems, Inc. Method and apparatus for processing refractory metals on semiconductor substrates
US6231297B1 (en) 1995-10-27 2001-05-15 Brooks Automation, Inc. Substrate transport apparatus with angled arms
US6230650B1 (en) 1985-10-14 2001-05-15 Semiconductor Energy Laboratory Co., Ltd. Microwave enhanced CVD system under magnetic field
US6235634B1 (en) 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6245661B1 (en) * 1990-05-31 2001-06-12 Canon Kabushiki Kaisha Method of producing a wiring for a semiconductor circuit
US6254717B1 (en) * 1998-04-23 2001-07-03 Sandia Corporation Method and apparatus for monitoring plasma processing operations
US20010006827A1 (en) * 1999-12-27 2001-07-05 Semiconductor Energy Laboratory Co., Ltd. Film formation apparatus and method for forming a film
US6256825B1 (en) * 1996-07-15 2001-07-10 Taiwan Semiconductor Manufacturing Company Removal of particulate contamination in loadlocks
US6267423B1 (en) 1995-12-08 2001-07-31 Applied Materials, Inc. End effector for semiconductor wafer transfer device and method of moving a wafer with an end effector
EP1120474A1 (en) * 2000-01-26 2001-08-01 Applied Materials, Inc. Method for plasma-enhanced chemical vapor deposition of a metal nitride layer
GB2359416A (en) * 1999-09-07 2001-08-22 Bosch Gmbh Robert Etching unit for HF vapour etching of substrates
US6283060B1 (en) * 1997-05-09 2001-09-04 Semiconductor Energy Laboratory Co., Ltd. Plasma CVD apparatus
US6283692B1 (en) 1998-12-01 2001-09-04 Applied Materials, Inc. Apparatus for storing and moving a cassette
US6288561B1 (en) * 1988-05-16 2001-09-11 Elm Technology Corporation Method and apparatus for probing, testing, burn-in, repairing and programming of integrated circuits in a closed environment using a single apparatus
US20010020516A1 (en) * 1999-09-24 2001-09-13 Applied Materials, Inc. Apparatus for performing self cleaning method of forming deep trenches in silicon substrates
US6293746B1 (en) * 1998-07-25 2001-09-25 Daihen Corporation Transfer robot
US6293386B1 (en) * 1999-05-10 2001-09-25 Fitel Innovations Leadframe transport and method therefor
US6293749B1 (en) 1997-11-21 2001-09-25 Asm America, Inc. Substrate transfer system for semiconductor processing equipment
US6298534B1 (en) * 1997-11-21 2001-10-09 Applied Materials, Inc. Chamber component removal system, apparatus and method
US6298685B1 (en) 1999-11-03 2001-10-09 Applied Materials, Inc. Consecutive deposition system
US6312525B1 (en) 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
WO2001083333A1 (en) * 2000-04-29 2001-11-08 Advanced Technology Materials, Inc. Throughput enhancement for single wafer reactor
US6328858B1 (en) 1998-10-01 2001-12-11 Nexx Systems Packaging, Llc Multi-layer sputter deposition apparatus
US6328815B1 (en) 1999-02-19 2001-12-11 Taiwan Semiconductor Manufacturing Company Multiple chamber vacuum processing system configuration for improving the stability of mark shielding process
US6329229B1 (en) 1993-11-05 2001-12-11 Semiconductor Energy Laboratory Co., Ltd. Method for processing semiconductor device, apparatus for processing a semiconductor and apparatus for processing semiconductor device
US6337231B1 (en) 1993-05-26 2002-01-08 Semiconductor Energy Laboratory Co., Ltd. Method for producing semiconductor device
US6338313B1 (en) * 1995-07-19 2002-01-15 Silison Genesis Corporation System for the plasma treatment of large area substrates
US20020009538A1 (en) * 2000-05-12 2002-01-24 Yasuyuki Arai Method of manufacturing a light-emitting device
US6347636B1 (en) 1996-11-13 2002-02-19 Applied Materials, Inc. Methods and apparatus for gettering fluorine from chamber material surfaces
US20020022363A1 (en) * 1998-02-04 2002-02-21 Thomas L. Ritzdorf Method for filling recessed micro-structures with metallization in the production of a microelectronic device
US6352593B1 (en) 1997-08-11 2002-03-05 Torrex Equipment Corp. Mini-batch process chamber
US6352594B2 (en) 1997-08-11 2002-03-05 Torrex Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
US20020030443A1 (en) * 2000-09-08 2002-03-14 Toshimitsu Konuma Light emitting device, method of manufacturing the same, and thin film forming apparatus
US20020034886A1 (en) * 2000-09-15 2002-03-21 Applied Materials, Inc. Double dual slot load lock for process equipment
US6362115B1 (en) 1998-12-09 2002-03-26 Applied Materials, Inc. In-situ generation of p-xylyiene from liquid precursors
US6361648B1 (en) 1999-06-18 2002-03-26 Applied Materials, Inc. Wafer transfer station for a chemical mechanical polisher
US6372643B1 (en) * 1996-06-14 2002-04-16 Micron Technology, Inc. Method for forming a selective contact and local interconnect in situ and semiconductor devices carrying the same
US6374508B1 (en) 1998-06-12 2002-04-23 Applied Materials, Inc. Apparatus and method for aligning a substrate on a support member
US20020046707A1 (en) * 2000-07-26 2002-04-25 Biberger Maximilian A. High pressure processing chamber for semiconductor substrate
US20020060363A1 (en) * 1997-05-14 2002-05-23 Applied Materials, Inc. Reliability barrier integration for Cu application
US6408537B1 (en) * 1997-07-11 2002-06-25 Asm America, Inc. Substrate cooling system
US6413380B1 (en) 2000-08-14 2002-07-02 International Business Machines Corporation Method and apparatus for providing deposited layer structures and articles so produced
US6415843B1 (en) 2001-01-10 2002-07-09 Anadigics, Inc. Spatula for separation of thinned wafer from mounting carrier
US6423384B1 (en) 1999-06-25 2002-07-23 Applied Materials, Inc. HDP-CVD deposition of low dielectric constant amorphous carbon film
US6429142B1 (en) * 1999-02-23 2002-08-06 Taiwan Semiconductor Manufacturing Company In-situ photoresist removal by an attachable chamber with light source
US6440261B1 (en) 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
US6444277B1 (en) 1993-01-28 2002-09-03 Applied Materials, Inc. Method for depositing amorphous silicon thin films onto large area glass substrates by chemical vapor deposition at high deposition rates
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US20020132047A1 (en) * 2001-03-12 2002-09-19 Shunpei Yamazaki Film forming apparatus and film forming method
US6454909B1 (en) * 1999-07-07 2002-09-24 Tokyo Electron Limited Method and apparatus for forming a film on an object to be processed
US6458684B1 (en) 1995-11-21 2002-10-01 Applied Materials, Inc. Single step process for blanket-selective CVD aluminum deposition
US6458723B1 (en) 1999-06-24 2002-10-01 Silicon Genesis Corporation High temperature implant apparatus
US6458254B2 (en) * 1997-09-25 2002-10-01 Midwest Research Institute Plasma & reactive ion etching to prepare ohmic contacts
US6461437B1 (en) * 2000-01-26 2002-10-08 Mitsubishi Denki Kabushiki Kaisha Apparatus used for fabricating liquid crystal device and method of fabricating the same
US6464795B1 (en) 1999-05-21 2002-10-15 Applied Materials, Inc. Substrate support member for a processing chamber
US6468353B1 (en) 1997-06-04 2002-10-22 Applied Materials, Inc. Method and apparatus for improved substrate handling
US6471913B1 (en) 2000-02-09 2002-10-29 Semitool, Inc. Method and apparatus for processing a microelectronic workpiece including an apparatus and method for executing a processing step at an elevated temperature
US6471837B1 (en) * 1997-09-29 2002-10-29 Unaxis Trading Ag Vacuum coating installation and coupling device
US6475356B1 (en) 1996-11-21 2002-11-05 Applied Materials, Inc. Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US6481956B1 (en) 1995-10-27 2002-11-19 Brooks Automation Inc. Method of transferring substrates with two different substrate holding end effectors
US6482752B1 (en) * 1993-10-26 2002-11-19 Semiconductor Energy Laboratory Co., Ltd. Substrate processing apparatus and method and a manufacturing method of a thin film semiconductor device
US6489741B1 (en) 1998-08-25 2002-12-03 Genmark Automation, Inc. Robot motion compensation system
US6488984B1 (en) * 1998-10-29 2002-12-03 Applied Materials Inc. Film deposition method and apparatus
US20030002958A1 (en) * 2001-07-02 2003-01-02 Talmer Mark A. Fast swap dual substrate transport for load lock
US6503365B1 (en) * 1998-04-21 2003-01-07 Samsung Electronics Co., Ltd. Multi-chamber system having compact installation set-up for an etching facility for semiconductor device manufacturing
US20030013300A1 (en) * 2001-07-16 2003-01-16 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6514838B2 (en) 1998-02-17 2003-02-04 Silicon Genesis Corporation Method for non mass selected ion implant profile control
US6518193B1 (en) * 2001-03-09 2003-02-11 Lsi Logic Corporation Substrate processing system
US6517303B1 (en) 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6519504B1 (en) * 1995-07-19 2003-02-11 Hitachi, Ltd. Vacuum processing apparatus and semiconductor manufacturing line using the same
US6530733B2 (en) 2000-07-27 2003-03-11 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US6537916B2 (en) 1998-09-28 2003-03-25 Tokyo Electron Limited Removal of CMP residue from semiconductor substrate using supercritical carbon dioxide process
US6541353B1 (en) * 2000-08-31 2003-04-01 Micron Technology, Inc. Atomic layer doping apparatus and method
US20030062258A1 (en) * 1998-07-10 2003-04-03 Woodruff Daniel J. Electroplating apparatus with segmented anode array
US6544890B2 (en) * 1999-02-26 2003-04-08 Nec Corporation Process for fabricating semiconductor device having silicide layer with low resistance and uniform profile and sputtering system used therein
US6547510B1 (en) 1998-05-04 2003-04-15 Brooks Automation Inc. Substrate transport apparatus with coaxial drive shafts and dual independent scara arms
US6549825B2 (en) * 2000-09-14 2003-04-15 Olympus Optical Co., Ltd. Alignment apparatus
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US20030084918A1 (en) * 2001-11-07 2003-05-08 Kim Yong Bae Integrated dry-wet processing apparatus and method for removing material on semiconductor wafers using dry-wet processes
US6562715B1 (en) 2000-08-09 2003-05-13 Applied Materials, Inc. Barrier layer structure for copper metallization and method of forming the structure
US6573201B1 (en) * 1998-06-17 2003-06-03 Ebara Corporation Method and apparatus for protection of substrate surface
US6575737B1 (en) 1997-06-04 2003-06-10 Applied Materials, Inc. Method and apparatus for improved substrate handling
US6576534B1 (en) 1991-09-21 2003-06-10 Semiconductor Energy Laboratory Co., Ltd. Method for forming a semiconductor
US6579425B2 (en) * 2001-07-16 2003-06-17 Sharp Laboratories Of America, Inc. System and method for forming base coat and thin film layers by sequential sputter depositing
US20030116894A1 (en) * 2001-12-26 2003-06-26 Korwin Michel J. Multi-cell thermal processing unit
US6586340B2 (en) 2001-03-13 2003-07-01 Samsung Electronics Co., Ltd. Wafer processing apparatus and wafer processing method using the same
US20030121535A1 (en) * 1999-11-02 2003-07-03 Biberger Maximilian Albert Method for supercritical processing of multiple workpieces
US6591850B2 (en) 2001-06-29 2003-07-15 Applied Materials, Inc. Method and apparatus for fluid flow control
US20030132213A1 (en) * 2000-12-29 2003-07-17 Kim Sam H. Apparatus and method for uniform substrate heating and contaminate collection
US20030134038A1 (en) * 1997-08-11 2003-07-17 Paranjpe Ajit P. Method and apparatus for layer by layer deposition of thin films
US6604853B2 (en) * 2001-10-11 2003-08-12 Taiwan Semiconductor Manufacturing Co., Ltd Accelerated thermal stress cycle test
US6605531B1 (en) 1997-11-26 2003-08-12 Applied Materials, Inc. Hole-filling technique using CVD aluminum and PVD aluminum integration
US20030152445A1 (en) * 2002-02-08 2003-08-14 Takayuki Yamagishi Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
US20030162314A1 (en) * 2002-02-25 2003-08-28 Shunpei Yamazaki Fabrication system and a fabrication method of light emitting device
US20030161943A1 (en) * 1996-12-30 2003-08-28 Applied Materials, Inc. Fully planarized dual damascene metallization using copper line interconnect and selective CVD aluminum plug
US20030167612A1 (en) * 1999-11-30 2003-09-11 Applied Materials, Inc. Dual wafer load lock
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
WO2003080887A2 (en) * 2001-07-25 2003-10-02 Applied Materials, Inc. Methods and apparatus for annealing in physical vapor deposition systems
US20030189232A1 (en) * 2002-04-09 2003-10-09 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US20030194484A1 (en) * 2002-04-15 2003-10-16 Semiconductor Engergy Laboratory Co., Ltd. Method of fabricating light-emitting device and apparatus for manufacturing light-emitting device
US6635583B2 (en) 1998-10-01 2003-10-21 Applied Materials, Inc. Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating
US6645303B2 (en) 1996-11-14 2003-11-11 Applied Materials, Inc. Heater/lift assembly for high temperature processing chamber
US20030209324A1 (en) * 2000-10-16 2003-11-13 Fink Steven T. Plasma reactor with reduced reaction chamber
US6656779B1 (en) * 1998-10-06 2003-12-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor apparatus having semiconductor circuits made of semiconductor devices, and method of manufacture thereof
US20030221620A1 (en) * 2002-06-03 2003-12-04 Semiconductor Energy Laboratory Co., Ltd. Vapor deposition device
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6663713B1 (en) 1996-01-08 2003-12-16 Applied Materials Inc. Method and apparatus for forming a thin polymer layer on an integrated circuit structure
US6672819B1 (en) * 1995-07-19 2004-01-06 Hitachi, Ltd. Vacuum processing apparatus and semiconductor manufacturing line using the same
US6673722B1 (en) 1985-10-14 2004-01-06 Semiconductor Energy Laboratory Co., Ltd. Microwave enhanced CVD system under magnetic field
US6682288B2 (en) 2000-07-27 2004-01-27 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US20040021177A1 (en) * 2002-08-02 2004-02-05 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method of the same
US6688375B1 (en) 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
US20040026041A1 (en) * 2001-08-08 2004-02-12 Takayuki Yamagishi Semiconductor-processing reaction chamber
US20040031961A1 (en) * 1990-05-29 2004-02-19 Semiconductor Energy Laboratory Co., Ltd. Thin-film transistor
US20040031442A1 (en) * 2002-05-17 2004-02-19 Semiconductor Energy Laboratory Co., Ltd. Evaporation method, evaporation device and method of fabricating light emitting device
US6696367B1 (en) 2002-09-27 2004-02-24 Asm America, Inc. System for the improved handling of wafers within a process tool
US20040043609A1 (en) * 2002-02-26 2004-03-04 Yoshiaki Yamamoto Method of fabricating semiconductor device
US20040040660A1 (en) * 2001-10-03 2004-03-04 Biberger Maximilian Albert High pressure processing chamber for multiple semiconductor substrates
US6709715B1 (en) 1999-06-17 2004-03-23 Applied Materials Inc. Plasma enhanced chemical vapor deposition of copolymer of parylene N and comonomers with various double bonds
US6709721B2 (en) 2001-03-28 2004-03-23 Applied Materials Inc. Purge heater design and process development for the improvement of low k film properties
US20040067308A1 (en) * 2002-10-07 2004-04-08 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6723213B2 (en) * 2001-03-01 2004-04-20 Vacuum Metallurgical Co., Ltd. Titanium target assembly for sputtering and method for preparing the same
US6722642B1 (en) 2002-11-06 2004-04-20 Tokyo Electron Limited High pressure compatible vacuum chuck for semiconductor wafer including lift mechanism
US20040077134A1 (en) * 2002-08-29 2004-04-22 Toru Takayama Manufacturing method for a semiconductor device and heat treatment method therefor
US6726776B1 (en) 1995-11-21 2004-04-27 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US20040099915A1 (en) * 2002-05-17 2004-05-27 Toru Takayama Silicon nitride film, and semiconductor device and method of manufacturing the same
US6746196B1 (en) * 1999-01-12 2004-06-08 Tokyo Electron Limited Vacuum treatment device
US20040110329A1 (en) * 2001-01-20 2004-06-10 Seung Ki Joo Method and apparatus for fabricating thin film transistor including crystalline active layer
US20040112409A1 (en) * 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
US6753213B2 (en) 1994-07-28 2004-06-22 Semiconductor Energy Laboratory Co., Ltd. Laser processing method
US20040123804A1 (en) * 2002-09-20 2004-07-01 Semiconductor Energy Laboratory Co., Ltd. Fabrication system and manufacturing method of light emitting device
US20040132293A1 (en) * 2002-09-20 2004-07-08 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device and heat treatment method
US20040132399A1 (en) * 2001-03-29 2004-07-08 Kazuyoshi Yasukawa Production system
US6763281B2 (en) 1999-04-19 2004-07-13 Applied Materials, Inc Apparatus for alignment of automated workpiece handling systems
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US20040154647A1 (en) * 2003-02-07 2004-08-12 Supercritical Systems, Inc. Method and apparatus of utilizing a coating for enhanced holding of a semiconductor substrate during high pressure processing
US20040157420A1 (en) * 2003-02-06 2004-08-12 Supercritical Systems, Inc. Vacuum chuck utilizing sintered material and method of providing thereof
US20040157463A1 (en) * 2003-02-10 2004-08-12 Supercritical Systems, Inc. High-pressure processing chamber for a semiconductor wafer
US6776880B1 (en) 1999-07-23 2004-08-17 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating an EL display device, and apparatus for forming a thin film
US6777352B2 (en) 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US6781746B2 (en) 2000-03-27 2004-08-24 Semiconductor Energy Laboratory Co., Ltd. Self-light emitting device and method of manufacturing the same
US6780464B2 (en) 1997-08-11 2004-08-24 Torrex Equipment Thermal gradient enhanced CVD deposition at low pressure
US6780374B2 (en) 2000-12-08 2004-08-24 Semitool, Inc. Method and apparatus for processing a microelectronic workpiece at an elevated temperature
US6784033B1 (en) 1984-02-15 2004-08-31 Semiconductor Energy Laboratory Co., Ltd. Method for the manufacture of an insulated gate field effect semiconductor device
US6790788B2 (en) 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US20040219687A1 (en) * 1989-02-27 2004-11-04 Yoshimi Torii Method and apparatus for processing samples
US6814573B2 (en) * 2001-12-14 2004-11-09 Jh Corporation Vacuum heat-treatment apparatus
US20040226390A1 (en) * 2003-05-16 2004-11-18 Beginski David A. Sensor signal transmission from processing system
US20040229459A1 (en) * 1999-12-30 2004-11-18 Yu Jick M. Integration of annealing capability into metal deposition or CMP tool
US20040227111A1 (en) * 2003-05-16 2004-11-18 Beginski David A. High temperature wafer edge sensor
US20040229449A1 (en) * 2000-04-25 2004-11-18 Biberger Maximilian A. Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US6821912B2 (en) 2000-07-27 2004-11-23 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US20040264044A1 (en) * 2003-06-24 2004-12-30 Shimadzu Corporation Composite coating device and method of forming overcoat on magnetic head using the same
US6838393B2 (en) 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US20050004697A1 (en) * 2003-07-03 2005-01-06 Krupyshev Alexander G. Substrate apparatus calibration and synchronization procedure
US20050014370A1 (en) * 2003-02-10 2005-01-20 Supercritical Systems, Inc. High-pressure processing chamber for a semiconductor wafer
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US20050016454A1 (en) * 1999-12-15 2005-01-27 Applied Materials, Inc. Dual substrate loadlock process equipment
US20050020080A1 (en) * 1997-11-26 2005-01-27 Tony Chiang Method of depositing a diffusion barrier layer and a metal conductive layer
US20050025628A1 (en) * 2003-07-29 2005-02-03 Supercritical Systems, Inc. Control of fluid flow in the processing of an object with a fluid
US20050035514A1 (en) * 2003-08-11 2005-02-17 Supercritical Systems, Inc. Vacuum chuck apparatus and method for holding a wafer during high pressure processing
US20050042889A1 (en) * 2001-12-14 2005-02-24 Albert Lee Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US20050051101A1 (en) * 1999-03-08 2005-03-10 Kuznetsov Vladimir Ivanovich Method and device for rotating a wafer
US6871656B2 (en) 1997-05-27 2005-03-29 Tokyo Electron Limited Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process
US20050067002A1 (en) * 2003-09-25 2005-03-31 Supercritical Systems, Inc. Processing chamber including a circulation loop integrally formed in a chamber housing
US6875271B2 (en) 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US20050072733A1 (en) * 2003-10-02 2005-04-07 Joseph Consolini Resist recovery method
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6883250B1 (en) 2003-11-04 2005-04-26 Asm America, Inc. Non-contact cool-down station for wafers
US20050095088A1 (en) * 2003-10-20 2005-05-05 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US20050100683A1 (en) * 2003-11-06 2005-05-12 Tokyo Electron Limited Method of improving post-develop photoresist profile on a deposited dielectric film
US20050101154A1 (en) * 1999-06-18 2005-05-12 Judy Huang Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6897100B2 (en) 1993-11-05 2005-05-24 Semiconductor Energy Laboratory Co., Ltd. Method for processing semiconductor device apparatus for processing a semiconductor and apparatus for processing semiconductor device
WO2005054537A2 (en) * 2003-12-01 2005-06-16 Structured Materials Industries, Inc. System and method for forming multi-component films
US20050136591A1 (en) * 2001-11-29 2005-06-23 Jae-Hyuck An Method of and apparatus for performing sequential processes requiring different amounts of time in the manufacturing of semiconductor devices
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6916412B2 (en) 1999-04-13 2005-07-12 Semitool, Inc. Adaptable electrochemical processing chamber
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US20050150757A1 (en) * 1997-03-17 2005-07-14 Applied Komatsu Technology, Inc. Heated and cooled vacuum chamber shield
US6926798B2 (en) 1999-11-02 2005-08-09 Tokyo Electron Limited Apparatus for supercritical processing of a workpiece
US20050186063A1 (en) * 2003-11-13 2005-08-25 Applied Materials, Inc. Calibration of high speed loader to substrate transport system
US6936906B2 (en) 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
WO2005080627A1 (en) * 2004-02-17 2005-09-01 Engle George M Formation of photoconductive and photovoltaic films
US20050194096A1 (en) * 2003-08-29 2005-09-08 Crossing Automation, Inc. Method and apparatus for semiconductor processing
US20050203664A1 (en) * 1999-04-19 2005-09-15 Applied Materials, Inc. Method and apparatus for aligning a cassette
US20050208767A1 (en) * 1997-11-26 2005-09-22 Applied Materials, Inc. Method of depositing a tantalum nitride / tantalum diffusion barrier layer system
US20050205110A1 (en) * 2004-02-26 2005-09-22 Applied Materials, Inc. Method for front end of line fabrication
US6949450B2 (en) * 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US20050223837A1 (en) * 2003-11-10 2005-10-13 Blueshift Technologies, Inc. Methods and systems for driving robotic components of a semiconductor handling system
US20050237442A1 (en) * 1990-11-13 2005-10-27 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and driving method for the same
US20050268848A1 (en) * 2004-04-28 2005-12-08 Nanodynamics, Inc Atomic layer deposition apparatus and process
US20050272254A1 (en) * 1997-11-26 2005-12-08 Applied Materials, Inc. Method of depositing low resistivity barrier layers for copper interconnects
US6974763B1 (en) * 1994-04-13 2005-12-13 Semiconductor Energy Laboratory Co., Ltd. Method of forming semiconductor device by crystallizing amorphous silicon and forming crystallization promoting material in the same chamber
US20050277302A1 (en) * 2004-05-28 2005-12-15 Nguyen Son V Advanced low dielectric constant barrier layers
US6979840B1 (en) 1991-09-25 2005-12-27 Semiconductor Energy Laboratory Co., Ltd. Thin film transistors having anodized metal film between the gate wiring and drain wiring
US20060003672A1 (en) * 1998-03-09 2006-01-05 Masao Yoshida Polishing apparatus
US20060024451A1 (en) * 2004-07-30 2006-02-02 Applied Materials Inc. Enhanced magnetic shielding for plasma-based semiconductor processing tool
US20060029489A1 (en) * 2000-03-16 2006-02-09 Applied Materials, Inc. Apparatus for storing and moving a cassette
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6998579B2 (en) 2000-12-29 2006-02-14 Applied Materials, Inc. Chamber for uniform substrate heating
US7001468B1 (en) 2002-02-15 2006-02-21 Tokyo Electron Limited Pressure energized pressure vessel opening and closing device and method of providing therefor
US20060040475A1 (en) * 2004-08-18 2006-02-23 Emerson David T Multi-chamber MOCVD growth apparatus for high performance/high throughput
US7020537B2 (en) 1999-04-13 2006-03-28 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US7018504B1 (en) 2000-09-11 2006-03-28 Asm America, Inc. Loadlock with integrated pre-clean chamber
US20060065189A1 (en) * 2004-09-30 2006-03-30 Darko Babic Method and system for homogenization of supercritical fluid in a high pressure processing system
US20060073041A1 (en) * 2004-10-05 2006-04-06 Supercritical Systems Inc. Temperature controlled high pressure pump
US7030041B2 (en) 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US20060086850A1 (en) * 2004-06-30 2006-04-27 Cohen Douglas J Lifting lid crusher
US20060105514A1 (en) * 1996-03-10 2006-05-18 Semiconductor Energy Laboratory Co., Ltd. Thin film semiconductor device and method of manufacturing the same
US7049226B2 (en) 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US20060130971A1 (en) * 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
US20060151735A1 (en) * 2004-06-14 2006-07-13 Jae-Chull Lee Curved slit valve door with flexible coupling
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US7089680B1 (en) 1990-08-29 2006-08-15 Hitachi, Ltd. Vacuum processing apparatus and operating method therefor
US20060182615A1 (en) * 2001-09-21 2006-08-17 Shinichi Kurita Method for transferring substrates in a load lock chamber
US7097712B1 (en) 1992-12-04 2006-08-29 Semiconductor Energy Laboratory Co., Ltd. Apparatus for processing a semiconductor
US7100954B2 (en) 2003-07-11 2006-09-05 Nexx Systems, Inc. Ultra-thin wafer handling system
US7102763B2 (en) 2000-07-08 2006-09-05 Semitool, Inc. Methods and apparatus for processing microelectronic workpieces using metrology
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US20060205192A1 (en) * 2005-03-09 2006-09-14 Varian Semiconductor Equipment Associates, Inc. Shallow-junction fabrication in semiconductor devices via plasma implantation and deposition
US20060216197A1 (en) * 2005-03-28 2006-09-28 Jones William D High pressure fourier transform infrared cell
US20060215729A1 (en) * 2005-03-28 2006-09-28 Wuester Christopher D Process flow thermocouple
US7115196B2 (en) 1998-03-20 2006-10-03 Semitool, Inc. Apparatus and method for electrochemically depositing metal on a semiconductor workpiece
US20060225772A1 (en) * 2005-03-29 2006-10-12 Jones William D Controlled pressure differential in a high-pressure processing chamber
US20060225811A1 (en) * 2005-03-30 2006-10-12 Alexei Sheydayi Gate valve for plus-atmospheric pressure semiconductor process vessels
US20060231028A1 (en) * 1999-09-13 2006-10-19 Hayashi Otsuki Method for depositing metallic nitride series thin film
US20060234515A1 (en) * 2003-12-18 2006-10-19 Tokyo Electron Limited Film forming method
US20060251499A1 (en) * 2005-05-09 2006-11-09 Lunday Andrew P Linear substrate delivery system with intermediate carousel
US20060263158A1 (en) * 2005-05-18 2006-11-23 Chris Corso Hole saw with replaceable cutting tip
WO2006124966A2 (en) * 2005-05-17 2006-11-23 Applied Materials, Inc. Low temperature absorption layer deposition and high speed optical annealing system
US7140393B2 (en) 2004-12-22 2006-11-28 Tokyo Electron Limited Non-contact shuttle valve for flow diversion in high pressure systems
US7151053B2 (en) 2001-12-14 2006-12-19 Applied Materials, Inc. Method of depositing dielectric materials including oxygen-doped silicon carbide in damascene applications
US20060285945A1 (en) * 2002-07-22 2006-12-21 Christopher Hofmeister Substrate processing apparatus
US20070006936A1 (en) * 2005-07-07 2007-01-11 Applied Materials, Inc. Load lock chamber with substrate temperature regulation
US20070015360A1 (en) * 2005-07-18 2007-01-18 Applied Materials, Inc. Contact clean by remote plasma and repair of silicide surface
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US20070051312A1 (en) * 2003-08-07 2007-03-08 Ofer Sneh Perimeter partition-valve with protected seals and associated small size process chambers and multiple chamber systems
US7189318B2 (en) 1999-04-13 2007-03-13 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US20070061031A1 (en) * 2005-09-14 2007-03-15 Lowrance Robert B Methods and apparatus for a band to band transfer module
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US7229911B2 (en) 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
US20070166133A1 (en) * 2006-01-13 2007-07-19 Applied Materials, Inc. Decoupled chamber body
US7250374B2 (en) 2004-06-30 2007-07-31 Tokyo Electron Limited System and method for processing a substrate using supercritical carbon dioxide processing
USRE39756E1 (en) * 1990-08-29 2007-08-07 Hitachi, Ltd. Vacuum processing operating method with wafers, substrates and/or semiconductors
US20070183871A1 (en) * 2002-07-22 2007-08-09 Christopher Hofmeister Substrate processing apparatus
USRE39775E1 (en) * 1990-08-29 2007-08-21 Hitachi, Ltd. Vacuum processing operating method with wafers, substrates and/or semiconductors
US7262133B2 (en) 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US7270137B2 (en) 2003-04-28 2007-09-18 Tokyo Electron Limited Apparatus and method of securing a workpiece during high-pressure processing
US7288205B2 (en) 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US7291565B2 (en) 2005-02-15 2007-11-06 Tokyo Electron Limited Method and system for treating a substrate with a high pressure fluid using fluorosilicic acid
US20070264840A1 (en) * 2004-10-15 2007-11-15 Hitachi Kokusai Electric Inc. Substrate Processing Apparatus and Method of Manufacturing Semiconductor Device
US20070274810A1 (en) * 2006-05-26 2007-11-29 Holtkamp William H Linearly distributed semiconductor workpiece processing tool
US20070280816A1 (en) * 2006-06-02 2007-12-06 Shinichi Kurita Multiple slot load lock chamber and method of operation
US7307019B2 (en) 2004-09-29 2007-12-11 Tokyo Electron Limited Method for supercritical carbon dioxide processing of fluoro-carbon films
US20080038095A1 (en) * 2002-11-15 2008-02-14 Oc Oerlikon Balzers Ag Apparatus for vacuum treating two dimensionally extended substrates and method for manufacturing such substrates
US20080066678A1 (en) * 2006-09-19 2008-03-20 Intevac Inc. Apparatus and methods for transporting and processing substrates
US7351315B2 (en) 2003-12-05 2008-04-01 Semitool, Inc. Chambers, systems, and methods for electrochemically processing microfeature workpieces
USRE40192E1 (en) * 1991-05-31 2008-04-01 Oc Oerlikon Balzers Ag Vacuum process apparatus
US7351314B2 (en) 2003-12-05 2008-04-01 Semitool, Inc. Chambers, systems, and methods for electrochemically processing microfeature workpieces
US20080087214A1 (en) * 2006-08-04 2008-04-17 Jae-Chull Lee Load lock chamber with decoupled slit valve door seal compartment
US20080138176A1 (en) * 2006-12-12 2008-06-12 Semes Co., Ltd. Apparatus for manufacturing semiconductor device
US7387868B2 (en) 2002-03-04 2008-06-17 Tokyo Electron Limited Treatment of a dielectric layer using supercritical CO2
US20080160210A1 (en) * 2004-02-26 2008-07-03 Haichun Yang Passivation layer formation by plasma clean process to reduce native oxide growth
US20080163096A1 (en) * 2003-11-10 2008-07-03 Pannese Patrick D Methods and systems for controlling a semiconductor fabrication process
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US20080190364A1 (en) * 2007-02-13 2008-08-14 Applied Materials, Inc. Substrate support assembly
US7416979B2 (en) 2001-07-25 2008-08-26 Applied Materials, Inc. Deposition methods for barrier and tungsten materials
US20080202416A1 (en) * 2006-01-19 2008-08-28 Provencher Timothy J High temperature ALD inlet manifold
US7422775B2 (en) 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7435447B2 (en) 2005-02-15 2008-10-14 Tokyo Electron Limited Method and system for determining flow conditions in a high pressure processing system
US7434590B2 (en) 2004-12-22 2008-10-14 Tokyo Electron Limited Method and apparatus for clamping a substrate in a high pressure processing system
US7439191B2 (en) 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US20080276867A1 (en) * 2007-05-09 2008-11-13 Jason Schaller Transfer chamber with vacuum extension for shutter disks
US20080302281A1 (en) * 2005-11-23 2008-12-11 Bernard William J Surface Treatment of Metallic Articles in an Atmospheric Furnace
US7491036B2 (en) 2004-11-12 2009-02-17 Tokyo Electron Limited Method and system for cooling a pump
US20090078374A1 (en) * 2006-09-19 2009-03-26 Intevac, Inc. Apparatus and methods for transporting and processing substrates
US20090101472A1 (en) * 2007-10-19 2009-04-23 Tokyo Electron Limited Workpiece transfer mechanism, workpiece transfer method and workpiece processing system
US7524383B2 (en) 2005-05-25 2009-04-28 Tokyo Electron Limited Method and system for passivating a processing chamber
US20090155452A1 (en) * 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Thin film deposition apparatus and method thereof
US20090162179A1 (en) * 2002-07-22 2009-06-25 Brooks Automation, Inc. Substrate processing apparatus
US20090170227A1 (en) * 2003-04-10 2009-07-02 Semiconductor Energy Laboratory Co., Ltd. Mask and container and manufacturing
US20090191030A1 (en) * 2006-09-19 2009-07-30 Intevac, Inc. Apparatus and methods for transporting and processing substrates
US20090217871A1 (en) * 2008-02-28 2009-09-03 Asm Genitech Korea Ltd. Thin film deposition apparatus and method of maintaining the same
US7585398B2 (en) 1999-04-13 2009-09-08 Semitool, Inc. Chambers, systems, and methods for electrochemically processing microfeature workpieces
US7595263B2 (en) 2003-06-18 2009-09-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
EP2187433A1 (en) 2008-11-12 2010-05-19 Intevac, Inc. Apparatus and method for transporting and processing substrates
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US20100159124A1 (en) * 2000-05-02 2010-06-24 Semiconductor Energy Laboratory Co., Ltd. Film-forming apparatus, method of cleaning the same, and method of manufacturing a light-emitting device
US20100183825A1 (en) * 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
WO2010083856A1 (en) * 2009-01-21 2010-07-29 Oerlikon Trading Ag, Trübbach Vacuum chamber for coating installations and method for producing a vacuum chamber for coating installations
US20100190343A1 (en) * 2009-01-28 2010-07-29 Asm America, Inc. Load lock having secondary isolation chamber
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
WO2010094802A1 (en) * 2009-02-22 2010-08-26 Mapper Lithography Ip B.V. Preparation unit for lithogrpahy machine
US7789971B2 (en) 2005-05-13 2010-09-07 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide
JP2010199517A (en) * 2009-02-27 2010-09-09 Intevac Inc Substrate carrying and processing apparatus and method
US20100234992A1 (en) * 2009-03-12 2010-09-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor wafer robot alignment system and method
US20100243427A1 (en) * 2009-03-31 2010-09-30 Soladigm, Inc. Fabrication of low defectivity electrochromic devices
US7845618B2 (en) 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
US7858518B2 (en) 1998-04-07 2010-12-28 Micron Technology, Inc. Method for forming a selective contact and local interconnect in situ
WO2010148584A1 (en) * 2009-06-26 2010-12-29 东莞宏威数码机械有限公司 Cluster transmission equipment
US20100329827A1 (en) * 2006-09-19 2010-12-30 Gee Sun Hoey Linear vacuum robot with z motion and articulated arm
US7867914B2 (en) 2002-04-16 2011-01-11 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20110038692A1 (en) * 2009-08-17 2011-02-17 Brooks Automation, Inc. Substrate processing apparatus
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US20110162801A1 (en) * 2008-09-16 2011-07-07 Beijing Nmc Co., Ltd. Plasma processing apparatus
US7988399B2 (en) 2003-11-10 2011-08-02 Brooks Automation, Inc. Mid-entry load lock for semiconductor handling system
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US8187970B2 (en) 2001-07-25 2012-05-29 Applied Materials, Inc. Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8371792B2 (en) 2002-07-22 2013-02-12 Brooks Automation, Inc. Substrate processing apparatus
US20130053997A1 (en) * 2011-08-23 2013-02-28 Tomohiro Ohashi Vacuum processing apparatus and vacuum processing method
DE102012103295A1 (en) 2012-01-09 2013-07-11 Aixtron Se Device useful for coating semiconductor substrates, comprises processing unit, which is centrally arranged transfer module, loading- or unloading interface, power modules comprising a gas mixing system, pipelines, and a service space
US8486777B2 (en) 2009-10-23 2013-07-16 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing microcrystalline semiconductor and thin film transistor
US8500388B2 (en) 2003-11-10 2013-08-06 Brooks Automation, Inc. Semiconductor wafer handling and transport
US20140053700A1 (en) * 2012-01-17 2014-02-27 Beijing Boe Display Technology Co., Ltd. Cutting Device
US20140080304A1 (en) * 2012-09-14 2014-03-20 Stmicroelectronics, Inc. Integrated tool for semiconductor manufacturing
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US20140250651A1 (en) * 2013-03-07 2014-09-11 Cosmetic Laboratories Of America, Llc Article assembly apparatus having rotary article pick and place
TWI458612B (en) * 2009-11-10 2014-11-01 Intevac Inc Linear vacuum robot with z motion and articulated arm
US8895116B2 (en) 2010-11-04 2014-11-25 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of crystalline semiconductor film and manufacturing method of semiconductor device
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US20150034700A1 (en) * 2002-07-01 2015-02-05 Semigear Inc Reflow treating unit & substrate treating apparatus
US20150034702A1 (en) * 2013-08-01 2015-02-05 Semigear Inc Apparatus & method for treating substrate
US20150034699A1 (en) * 2013-08-01 2015-02-05 Semigear Inc Reflow treating unit & substrate treating apparatus
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
TWI474426B (en) * 2007-05-09 2015-02-21 Applied Materials Inc Transfer chamber with vacuum extension for shutter disks
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8975152B2 (en) 2011-11-08 2015-03-10 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9023732B2 (en) 2013-03-15 2015-05-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9236266B2 (en) 2011-08-01 2016-01-12 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299582B2 (en) 2013-11-12 2016-03-29 Applied Materials, Inc. Selective etch for metal-containing materials
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
WO2016077269A1 (en) * 2014-11-11 2016-05-19 Applied Materials, Inc. Substrate transfer chamber
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9454053B2 (en) 2011-12-12 2016-09-27 View, Inc. Thin-film devices and fabrication
US9478432B2 (en) 2014-09-25 2016-10-25 Applied Materials, Inc. Silicon oxide selective removal
US9490120B2 (en) 2011-11-18 2016-11-08 First Solar, Inc. Vapor transport deposition method and system for material co-deposition
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9575418B2 (en) 2011-04-28 2017-02-21 Mapper Lithography Ip B.V. Apparatus for transferring a substrate in a lithography system
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9948155B2 (en) 2013-11-13 2018-04-17 Brooks Automation, Inc. Sealed robot drive
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10078274B2 (en) 2009-02-22 2018-09-18 Mapper Lithography Ip B.V. Method and arrangement for handling and processing substrates
US10087019B2 (en) * 2014-11-14 2018-10-02 Mapper Lithography Ip B.V. Load lock system and method for transferring substrates in a lithography system
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10283390B2 (en) * 2015-05-29 2019-05-07 Semes Co., Ltd. Apparatus for processing substrate
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10348172B2 (en) 2013-11-13 2019-07-09 Brooks Automation, Inc. Sealed switched reluctance motor
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
WO2019161109A1 (en) * 2017-02-15 2019-08-22 Yield Engineering Systems Plasma spreading apparatus and system, and method for spreading plasma in process ovens
US20190259628A1 (en) * 2016-11-21 2019-08-22 Beijing Naura Microelectronics Equipment Co., Ltd. Annealing method, process chamber and annealing apparatus
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10564221B2 (en) 2013-11-13 2020-02-18 Brooks Automation, Inc. Method and apparatus for brushless electrical machine control
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10742092B2 (en) 2013-11-13 2020-08-11 Brooks Automation, Inc. Position feedback for sealed environments
US20200262016A1 (en) * 2019-02-14 2020-08-20 Okuma Corporation Automatic pallet changer in machine tool
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US20200312637A1 (en) * 2019-03-29 2020-10-01 Tokyo Electron Limited Plasma processing apparatus and maintenance method thereof
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11171008B2 (en) * 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US11830731B2 (en) 2019-10-22 2023-11-28 Asm Ip Holding B.V. Semiconductor deposition reactor manifolds
US11923729B2 (en) 2022-08-02 2024-03-05 Brook Automation US, LLC Position feedback for sealed environments

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4405435A (en) * 1980-08-27 1983-09-20 Hitachi, Ltd. Apparatus for performing continuous treatment in vacuum
US4501527A (en) * 1982-05-25 1985-02-26 Ernst Leitz Wetzlar Gmbh Device for automatically transporting disk shaped objects
US4553069A (en) * 1984-01-05 1985-11-12 General Ionex Corporation Wafer holding apparatus for ion implantation
US4592306A (en) * 1983-12-05 1986-06-03 Pilkington Brothers P.L.C. Apparatus for the deposition of multi-layer coatings
US4715921A (en) * 1986-10-24 1987-12-29 General Signal Corporation Quad processor

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4405435A (en) * 1980-08-27 1983-09-20 Hitachi, Ltd. Apparatus for performing continuous treatment in vacuum
US4501527A (en) * 1982-05-25 1985-02-26 Ernst Leitz Wetzlar Gmbh Device for automatically transporting disk shaped objects
US4592306A (en) * 1983-12-05 1986-06-03 Pilkington Brothers P.L.C. Apparatus for the deposition of multi-layer coatings
US4553069A (en) * 1984-01-05 1985-11-12 General Ionex Corporation Wafer holding apparatus for ion implantation
US4715921A (en) * 1986-10-24 1987-12-29 General Signal Corporation Quad processor

Cited By (1263)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6204197B1 (en) 1984-02-15 2001-03-20 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, manufacturing method, and system
US6784033B1 (en) 1984-02-15 2004-08-31 Semiconductor Energy Laboratory Co., Ltd. Method for the manufacture of an insulated gate field effect semiconductor device
US6113701A (en) * 1985-02-14 2000-09-05 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, manufacturing method, and system
US5976259A (en) * 1985-02-14 1999-11-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, manufacturing method, and system
US6673722B1 (en) 1985-10-14 2004-01-06 Semiconductor Energy Laboratory Co., Ltd. Microwave enhanced CVD system under magnetic field
US6230650B1 (en) 1985-10-14 2001-05-15 Semiconductor Energy Laboratory Co., Ltd. Microwave enhanced CVD system under magnetic field
US5937318A (en) * 1985-11-19 1999-08-10 Warner, Jr.; Raymond M. Monocrystalline three-dimensional integrated circuit
US6103055A (en) * 1986-04-18 2000-08-15 Applied Materials, Inc. System for processing substrates
US5102495A (en) * 1986-04-18 1992-04-07 General Signal Corporation Method providing multiple-processing of substrates
US5344542A (en) * 1986-04-18 1994-09-06 General Signal Corporation Multiple-processing and contamination-free plasma etching system
US5308431A (en) * 1986-04-18 1994-05-03 General Signal Corporation System providing multiple processing of substrates
US5292393A (en) * 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US6288561B1 (en) * 1988-05-16 2001-09-11 Elm Technology Corporation Method and apparatus for probing, testing, burn-in, repairing and programming of integrated circuits in a closed environment using a single apparatus
US20030151421A1 (en) * 1988-05-16 2003-08-14 Leedy Glenn J. Method and apparatus for probing, testing, burn-in, repairing and programming of integrated circuits in a closed environment using a single apparatus
US6891387B2 (en) 1988-05-16 2005-05-10 Elm Technology Corporation System for probing, testing, burn-in, repairing and programming of integrated circuits
US20040222809A1 (en) * 1988-05-16 2004-11-11 Glenn Leedy System for probing, testing, burn-in, repairing and programming of integrated circuits
US6838896B2 (en) 1988-05-16 2005-01-04 Elm Technology Corporation Method and system for probing, testing, burn-in, repairing and programming of integrated circuits in a closed environment using a single apparatus
US5498768A (en) * 1988-07-27 1996-03-12 Hitachi, Ltd. Process for forming multilayer wiring
US5536128A (en) * 1988-10-21 1996-07-16 Hitachi, Ltd. Method and apparatus for carrying a variety of products
US20040219687A1 (en) * 1989-02-27 2004-11-04 Yoshimi Torii Method and apparatus for processing samples
US6077788A (en) * 1989-02-27 2000-06-20 Hitachi, Ltd. Method and apparatus for processing samples
US7132293B2 (en) 1989-02-27 2006-11-07 Hitachi, Ltd. Method and apparatus for processing samples
US5294572A (en) * 1989-03-06 1994-03-15 Asm International N.V. Method and apparatus for depositing a layer on a substrate
US5174827A (en) * 1989-07-26 1992-12-29 Consorzio Ce.Te.V Centro Tecnologie Del Vuoto Double chamber vacuum apparatus for thin layer deposition
US5527733A (en) * 1989-07-27 1996-06-18 Seiko Instruments Inc. Impurity doping method with adsorbed diffusion source
US5851909A (en) * 1989-08-11 1998-12-22 Seiko Instruments Inc. Method of producing semiconductor device using an adsorption layer
US5678980A (en) * 1989-10-20 1997-10-21 Applied Materials, Inc. Robot assembly
US5227708A (en) * 1989-10-20 1993-07-13 Applied Materials, Inc. Two-axis magnetically coupled robot
US5879127A (en) * 1989-10-20 1999-03-09 Applied Materials, Inc. Robot assembly
US5583408A (en) * 1989-10-20 1996-12-10 Applied Materials Two-axis magnetically coupled robot
US5990585A (en) * 1989-10-20 1999-11-23 Applied Materials, Inc. Two-axis magnetically coupled robot
US5355066A (en) * 1989-10-20 1994-10-11 Applied Materials, Inc. Two-axis magnetically coupled robot
US5764012A (en) * 1989-10-20 1998-06-09 Applied Materials, Inc. Two-axis magnetically coupled robot
US5102812A (en) * 1989-11-09 1992-04-07 Bell Communications Research Method of making a lateral bipolar heterojunction structure
US5658828A (en) * 1989-11-30 1997-08-19 Sgs-Thomson Microelectronics, Inc. Method for forming an aluminum contact through an insulating layer
US5514620A (en) * 1989-12-01 1996-05-07 Seiko Instruments Inc. Method of producing PN junction device
US5180432A (en) * 1990-01-08 1993-01-19 Lsi Logic Corporation Apparatus for conducting a refractory metal deposition process
US5330633A (en) * 1990-02-19 1994-07-19 Canon Kabushiki Kaisha Process for forming metal deposited film containing aluminum as main component by use of alkyl aluminum hydride
US5478780A (en) * 1990-03-30 1995-12-26 Siemens Aktiengesellschaft Method and apparatus for producing conductive layers or structures for VLSI circuits
US5310410A (en) * 1990-04-06 1994-05-10 Sputtered Films, Inc. Method for processing semi-conductor wafers in a multiple vacuum and non-vacuum chamber apparatus
US5769588A (en) * 1990-04-19 1998-06-23 Applied Materials, Inc. Dual cassette load lock
US6454519B1 (en) * 1990-04-19 2002-09-24 Applied Materials, Inc. Dual cassette load lock
US5234303A (en) * 1990-05-15 1993-08-10 Seiko Instruments Inc. In-vacuum conveyance robot
US5171717A (en) * 1990-05-25 1992-12-15 International Business Machines Corporation Method for batch cleaving semiconductor wafers and coating cleaved facets
US20040031961A1 (en) * 1990-05-29 2004-02-19 Semiconductor Energy Laboratory Co., Ltd. Thin-film transistor
US20090101910A1 (en) * 1990-05-29 2009-04-23 Hongyong Zhang Thin-film transistor
US7355202B2 (en) 1990-05-29 2008-04-08 Semiconductor Energy Co., Ltd. Thin-film transistor
US6245661B1 (en) * 1990-05-31 2001-06-12 Canon Kabushiki Kaisha Method of producing a wiring for a semiconductor circuit
US5308210A (en) * 1990-07-23 1994-05-03 Dainippon Screen Mfg. Co., Ltd. Interface apparatus for transporting substrates between substrate processing apparatus
US6634116B2 (en) 1990-08-09 2003-10-21 Hitachi, Ltd. Vacuum processing apparatus
US6330756B1 (en) 1990-08-29 2001-12-18 Hitachi, Ltd. Vacuum processing apparatus and operating method therefor
US6467187B2 (en) 1990-08-29 2002-10-22 Hitachi, Ltd. Vacuum processing apparatus and operating method therefor
US20040074103A1 (en) * 1990-08-29 2004-04-22 Shigekazu Kato Vacuum processing apparatus and operating method therefor
US6446353B2 (en) 1990-08-29 2002-09-10 Hitachi, Ltd. Vacuum processing apparatus
US6055740A (en) * 1990-08-29 2000-05-02 Hitachi, Ltd. Vacuum processing apparatus and operating method therefor
US6880264B2 (en) 1990-08-29 2005-04-19 Hitachi, Ltd. Vacuum processing apparatus and operating method therefor
US6588121B2 (en) 1990-08-29 2003-07-08 Hitachi, Ltd. Vacuum processing apparatus
US6662465B2 (en) 1990-08-29 2003-12-16 Hitachi, Ltd. Vacuum processing apparatus
US6457253B2 (en) 1990-08-29 2002-10-01 Hitachi, Ltd. Vacuum processing apparatus
US6332280B2 (en) 1990-08-29 2001-12-25 Hitachi, Ltd. Vacuum processing apparatus
US6044576A (en) * 1990-08-29 2000-04-04 Hitachi, Ltd. Vacuum processing and operating method using a vacuum chamber
US6655044B2 (en) 1990-08-29 2003-12-02 Hitachi, Ltd. Vacuum processing apparatus and operating method therefor
US6886272B2 (en) 1990-08-29 2005-05-03 Hitachi, Ltd. Vacuum processing apparatus and operating method therefor
US6108929A (en) * 1990-08-29 2000-08-29 Hitachi, Ltd. Vacuum processing apparatus
US6460270B2 (en) 1990-08-29 2002-10-08 Hitachi, Ltd. Vacuum processing apparatus
US6263588B1 (en) 1990-08-29 2001-07-24 Hitachi, Ltd. Vacuum processing apparatus and operating method therefor
US6625899B2 (en) 1990-08-29 2003-09-30 Hitachi, Ltd. Vacuum processing apparatus
US6904699B2 (en) 1990-08-29 2005-06-14 Hitachi, Ltd. Vacuum processing apparatus and operating method therefor
US6070341A (en) * 1990-08-29 2000-06-06 Hitachi, Ltd. Vacuum processing and operating method with wafers, substrates and/or semiconductors
US6463678B2 (en) 1990-08-29 2002-10-15 Hitachi, Ltd. Substrate changing-over mechanism in a vaccum tank
US6330755B1 (en) 1990-08-29 2001-12-18 Hitachi, Ltd. Vacuum processing and operating method
US20040074104A1 (en) * 1990-08-29 2004-04-22 Shigekazu Kato Vacuum processing apparatus and operating method therefor
US6112431A (en) * 1990-08-29 2000-09-05 Hitachi, Ltd. Vacuum processing and operating method
USRE39756E1 (en) * 1990-08-29 2007-08-07 Hitachi, Ltd. Vacuum processing operating method with wafers, substrates and/or semiconductors
US6490810B2 (en) 1990-08-29 2002-12-10 Hitachi, Ltd. Vacuum processing apparatus
US6301801B1 (en) 1990-08-29 2001-10-16 Shigekazu Kato Vacuum processing apparatus and operating method therefor
USRE39823E1 (en) * 1990-08-29 2007-09-11 Hitachi, Ltd. Vacuum processing operating method with wafers, substrates and/or semiconductors
US6463676B1 (en) 1990-08-29 2002-10-15 Hitachi, Ltd. Vacuum processing apparatus and operating method therefor
US20060032073A1 (en) * 1990-08-29 2006-02-16 Shigekazu Kato Vacuum processing apparatus and operating method therefor
USRE39824E1 (en) * 1990-08-29 2007-09-11 Hitachi, Ltd. Vacuum processing apparatus and operating method with wafers, substrates and/or semiconductors
US6470596B2 (en) 1990-08-29 2002-10-29 Hitachi, Ltd. Vacuum processing apparatus and operating method therefor
US6487794B2 (en) 1990-08-29 2002-12-03 Hitachi, Ltd. Substrate changing-over mechanism in vacuum tank
US6484414B2 (en) 1990-08-29 2002-11-26 Hitachi, Ltd. Vacuum processing apparatus
US7367135B2 (en) 1990-08-29 2008-05-06 Hitachi, Ltd. Vacuum processing apparatus and operating method therefor
US7089680B1 (en) 1990-08-29 2006-08-15 Hitachi, Ltd. Vacuum processing apparatus and operating method therefor
US5784799A (en) * 1990-08-29 1998-07-28 Hitachi, Ltd. Vacuum processing apparatus for substate wafers
US6473989B2 (en) 1990-08-29 2002-11-05 Hitachi, Ltd. Conveying system for a vacuum processing apparatus
US20040187338A1 (en) * 1990-08-29 2004-09-30 Shigekazu Kato Vacuum processing apparatus and operating method therefor
US6012235A (en) * 1990-08-29 2000-01-11 Hitachi, Ltd. Vacuum processing apparatus and operating method therefor
USRE39776E1 (en) 1990-08-29 2007-08-21 Hitachi, Ltd. Vacuum processing apparatus and operating method with wafers, substrates and/or semiconductors
US6467186B2 (en) 1990-08-29 2002-10-22 Hitachi, Ltd. Transferring device for a vacuum processing apparatus and operating method therefor
US6499229B2 (en) 1990-08-29 2002-12-31 Hitachi, Ltd. Vacuum processing apparatus
US6314658B2 (en) 1990-08-29 2001-11-13 Hitachi, Ltd. Vacuum processing apparatus and operating method therefor
US6484415B2 (en) 1990-08-29 2002-11-26 Hitachi, Ltd. Vacuum processing apparatus
US6968630B2 (en) 1990-08-29 2005-11-29 Hitachi, Ltd. Vacuum processing apparatus and operating method therefor
US6301802B1 (en) 1990-08-29 2001-10-16 Hitachi, Ltd. Vacuum processing apparatus and operating method therefor
US6487793B2 (en) 1990-08-29 2002-12-03 Hitachi, Ltd. Vacuum processing apparatus and operating method therefor
USRE39775E1 (en) * 1990-08-29 2007-08-21 Hitachi, Ltd. Vacuum processing operating method with wafers, substrates and/or semiconductors
US6487791B2 (en) 1990-08-29 2002-12-03 Hitachi, Ltd. Vacuum processing apparatus
US6505415B2 (en) 1990-08-29 2003-01-14 Hitachi, Ltd. Vacuum processing apparatus
US20040187337A1 (en) * 1990-08-29 2004-09-30 Shigekazu Kato Vacuum processing apparatus and operating method therefor
US5950330A (en) * 1990-08-29 1999-09-14 Hitachi, Ltd. Vacuum processing apparatus and operating method therefor
US6261877B1 (en) 1990-09-11 2001-07-17 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing gate insulated field effect transistors
US6177302B1 (en) * 1990-11-09 2001-01-23 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a thin film transistor using multiple sputtering chambers
US20030170939A1 (en) * 1990-11-09 2003-09-11 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing gate insulated field effects transistors
US7507615B2 (en) 1990-11-09 2009-03-24 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing gate insulated field effect transistors
US6566175B2 (en) 1990-11-09 2003-05-20 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing gate insulated field effect transistors
US7462515B2 (en) 1990-11-13 2008-12-09 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and driving method for the same
US20050237442A1 (en) * 1990-11-13 2005-10-27 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and driving method for the same
US5685684A (en) * 1990-11-26 1997-11-11 Hitachi, Ltd. Vacuum processing system
US5445484A (en) * 1990-11-26 1995-08-29 Hitachi, Ltd. Vacuum processing system
US5851299A (en) * 1990-12-05 1998-12-22 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
US5304248A (en) * 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
US5160407A (en) * 1991-01-02 1992-11-03 Applied Materials, Inc. Low pressure anisotropic etch process for tantalum silicide or titanium silicide layer formed over polysilicon layer deposited on silicon oxide layer on semiconductor wafer
US5286296A (en) * 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
US5567909A (en) * 1991-03-04 1996-10-22 Applied Materials Inc. Method for supporting a wafer in a combined wafer support and temperature monitoring device
US5356486A (en) * 1991-03-04 1994-10-18 Applied Materials, Inc. Combined wafer support and temperature monitoring device
US5338398A (en) * 1991-03-28 1994-08-16 Applied Materials, Inc. Tungsten silicide etch process selective to photoresist and oxide
US6494162B1 (en) 1991-05-28 2002-12-17 Semiconductor Energy Laboratory Co., Ltd. Method for annealing a semiconductor
US6174374B1 (en) * 1991-05-28 2001-01-16 Semiconductor Energy Laboratory Co., Ltd. Method for annealing a semiconductor
US6770143B2 (en) * 1991-05-28 2004-08-03 Semiconductor Energy Laboratory Co., Ltd. Method for annealing a semiconductor
USRE40192E1 (en) * 1991-05-31 2008-04-01 Oc Oerlikon Balzers Ag Vacuum process apparatus
US5333986A (en) * 1991-07-29 1994-08-02 Tokyo Electron Limited Transfer apparatus
US5445491A (en) * 1991-08-27 1995-08-29 Toshiba Kikai Kabushiki Kaisha Method for multichamber sheet-after-sheet type treatment
US6924212B2 (en) 1991-09-21 2005-08-02 Semiconductor Energy Laboratory Co., Ltd. Method for forming a semiconductor
US20050260834A1 (en) * 1991-09-21 2005-11-24 Semiconductor Energy Laboratory Co., Ltd. Method for forming a semiconductor
US6576534B1 (en) 1991-09-21 2003-06-10 Semiconductor Energy Laboratory Co., Ltd. Method for forming a semiconductor
US7368367B2 (en) 1991-09-21 2008-05-06 Semiconductor Energy Laboratory Co., Ltd. Method for forming a semiconductor
US20030207524A1 (en) * 1991-09-21 2003-11-06 Semiconductor Energy Laboratory Co., Ltd. Method for forming a semiconductor
US20060060852A1 (en) * 1991-09-25 2006-03-23 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for forming the same
US6979840B1 (en) 1991-09-25 2005-12-27 Semiconductor Energy Laboratory Co., Ltd. Thin film transistors having anodized metal film between the gate wiring and drain wiring
US7642584B2 (en) 1991-09-25 2010-01-05 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for forming the same
US5288379A (en) * 1991-12-04 1994-02-22 Anelva Corporation Multi-chamber integrated process system
US5292675A (en) * 1991-12-24 1994-03-08 Semiconductor Energy Laboratory Co., Ltd. Method for forming a MOS transistor and structure thereof
US20060267097A1 (en) * 1991-12-24 2006-11-30 Semiconductor Energy Laboratory Co., Ltd. Method for forming a MOS transistor and structure thereof
US7087962B1 (en) 1991-12-24 2006-08-08 Semiconductor Energy Laboratory Co., Ltd. Method for forming a MOS transistor having lightly dopped drain regions and structure thereof
WO1993017448A1 (en) * 1992-02-25 1993-09-02 Ag Processing Technology, Inc. D.B.A. Ag Associates Gas phase doping of semiconductor material in a cold-wall radiantly heated reactor under reduced pressure
US5324684A (en) * 1992-02-25 1994-06-28 Ag Processing Technologies, Inc. Gas phase doping of semiconductor material in a cold-wall radiantly heated reactor under reduced pressure
US5407449A (en) * 1992-03-10 1995-04-18 Asm International N.V. Device for treating micro-circuit wafers
US5622595A (en) * 1992-06-16 1997-04-22 Applied Materials, Inc Reducing particulate contamination during semiconductor device processing
US5395198A (en) * 1992-06-19 1995-03-07 International Business Machines Corporation Vacuum loading chuck and fixture for flexible printed circuit panels
US5494494A (en) * 1992-06-24 1996-02-27 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing substrates
US5534072A (en) * 1992-06-24 1996-07-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing subtrates
US5505779A (en) * 1992-06-24 1996-04-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing substrates
US5558482A (en) * 1992-07-29 1996-09-24 Tokyo Electron Limited Multi-chamber system
US5248371A (en) * 1992-08-13 1993-09-28 General Signal Corporation Hollow-anode glow discharge apparatus
US5590994A (en) * 1992-10-06 1997-01-07 Balzers Aktiengesellschaft Chamber, at least for the transport of workpieces, a chamber combination, a vacuum treatment facility as well as a transport method
US5865588A (en) * 1992-10-06 1999-02-02 Balzers Aktiengesellschaft Chamber, at least for the transport of workpieces, a chamber combination, a vacuum treatment facility as well as a transport method
US6096231A (en) * 1992-10-06 2000-08-01 Balzers Aktiengesellschaft Chamber, at least for the transport of workpieces, a chamber combination, a vacuum treatment facility as well as a transport method
US6364955B2 (en) * 1992-10-06 2002-04-02 Unaxis Balzers Aktiengesellschaft Chamber, at least for the transport of workpieces, a chamber combination, a vacuum treatment facility as well as a transport method
US5804505A (en) * 1992-10-27 1998-09-08 Nec Corporation Method of producing semiconductor device having buried contact structure
US5328722A (en) * 1992-11-06 1994-07-12 Applied Materials, Inc. Metal chemical vapor deposition process using a shadow ring
US5292554A (en) * 1992-11-12 1994-03-08 Applied Materials, Inc. Deposition apparatus using a perforated pumping plate
US5516732A (en) * 1992-12-04 1996-05-14 Sony Corporation Wafer processing machine vacuum front end method and apparatus
US7097712B1 (en) 1992-12-04 2006-08-29 Semiconductor Energy Laboratory Co., Ltd. Apparatus for processing a semiconductor
US5350488A (en) * 1992-12-10 1994-09-27 Applied Materials, Inc. Process for etching high copper content aluminum films
EP0811468A3 (en) * 1992-12-28 1998-04-08 Brooks Automation, Inc. Articulated arm transfer device
US5577879A (en) * 1992-12-28 1996-11-26 Brooks Automation, Inc. Articulated arm transfer device
EP0811468A2 (en) * 1992-12-28 1997-12-10 Brooks Automation, Inc. Articulated arm transfer device
EP0606751A1 (en) * 1993-01-13 1994-07-20 Applied Materials, Inc. Method for depositing polysilicon films having improved uniformity and apparatus therefor
US5576059A (en) * 1993-01-13 1996-11-19 Applied Materials, Inc. Depositing polysilicon films having improved uniformity and apparatus therefor
US6402850B1 (en) 1993-01-13 2002-06-11 Applied Materials, Inc. Depositing polysilicon films having improved uniformity and apparatus therefor
US5387067A (en) * 1993-01-14 1995-02-07 Applied Materials, Inc. Direct load/unload semiconductor wafer cassette apparatus and transfer system
US5580388A (en) * 1993-01-21 1996-12-03 Moore Epitaxial, Inc. Multi-layer susceptor for rapid thermal process reactors
US5710407A (en) * 1993-01-21 1998-01-20 Moore Epitaxial, Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US6310327B1 (en) 1993-01-21 2001-10-30 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5683518A (en) * 1993-01-21 1997-11-04 Moore Epitaxial, Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US6151447A (en) * 1993-01-21 2000-11-21 Moore Technologies Rapid thermal processing apparatus for processing semiconductor wafers
US5539266A (en) * 1993-01-28 1996-07-23 Applied Materials Inc. Dual coaxial magnetic couplers for vacuum chamber robot assembly
US5607009A (en) * 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
EP0608620A1 (en) * 1993-01-28 1994-08-03 Applied Materials, Inc. Vacuum Processing apparatus having improved throughput
US5582866A (en) * 1993-01-28 1996-12-10 Applied Materials, Inc. Single substrate vacuum processing apparatus having improved exhaust system
US6444277B1 (en) 1993-01-28 2002-09-03 Applied Materials, Inc. Method for depositing amorphous silicon thin films onto large area glass substrates by chemical vapor deposition at high deposition rates
US5674786A (en) * 1993-01-28 1997-10-07 Applied Materials, Inc. Method of heating and cooling large area glass substrates
US6338874B1 (en) 1993-01-28 2002-01-15 Applied Materials, Inc. Method for multilayer CVD processing in a single chamber
EP0608633A3 (en) * 1993-01-28 1996-05-01 Applied Materials Inc Method for multilayer cvd processing in a single chamber.
US5512320A (en) * 1993-01-28 1996-04-30 Applied Materials, Inc. Vacuum processing apparatus having improved throughput
US5535306A (en) * 1993-01-28 1996-07-09 Applied Materials Inc. Self-calibration system for robot mechanisms
US5537311A (en) * 1993-01-28 1996-07-16 Applied Materials, Inc. Apparatus for aligning substrates for loading and unloading using a robot mechanism
US5504043A (en) * 1993-02-12 1996-04-02 Applied Materials, Inc. Barrier layers and aluminum contacts
US5378660A (en) * 1993-02-12 1995-01-03 Applied Materials, Inc. Barrier layers and aluminum contacts
US5326725A (en) * 1993-03-11 1994-07-05 Applied Materials, Inc. Clamping ring and susceptor therefor
US5474410A (en) * 1993-03-14 1995-12-12 Tel-Varian Limited Multi-chamber system provided with carrier units
US5410122A (en) * 1993-03-15 1995-04-25 Applied Materials, Inc. Use of electrostatic forces to reduce particle contamination in semiconductor plasma processing chambers
US5932286A (en) * 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
US5516367A (en) * 1993-04-05 1996-05-14 Applied Materials, Inc. Chemical vapor deposition chamber with a purge guide
US6103014A (en) * 1993-04-05 2000-08-15 Applied Materials, Inc. Chemical vapor deposition chamber
US5899658A (en) * 1993-04-16 1999-05-04 Brooks Automation Inc. Articulated arm transfer device
US5813823A (en) * 1993-04-16 1998-09-29 Brooks Automation, Inc. Articulated arm transfer device
US5720590A (en) * 1993-04-16 1998-02-24 Brooks Automation, Inc. Articulated arm transfer device
US5611655A (en) * 1993-04-23 1997-03-18 Tokyo Electron Limited Vacuum process apparatus and vacuum processing method
US5932014A (en) * 1993-05-07 1999-08-03 Fujitsu Limited Apparatus for producing semiconductor device
US5494523A (en) * 1993-05-13 1996-02-27 Applied Materials, Inc. Controlling plasma particulates by contouring the plasma sheath using materials of differing RF impedances
US6765229B2 (en) 1993-05-26 2004-07-20 Semiconductor Energy Laboratory Co., Ltd. Method for producing semiconductor device
US6924506B2 (en) 1993-05-26 2005-08-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device having channel formation region comprising silicon and containing a group IV element
US6337231B1 (en) 1993-05-26 2002-01-08 Semiconductor Energy Laboratory Co., Ltd. Method for producing semiconductor device
US20010000011A1 (en) * 1993-05-26 2001-03-15 Hongyong Zhang Method for producing semiconductor device
US5570994A (en) * 1993-07-15 1996-11-05 Applied Materials, Inc. Wafer tray and ceramic blade for semiconductor processing apparatus
US5697748A (en) * 1993-07-15 1997-12-16 Applied Materials, Inc. Wafer tray and ceramic blade for semiconductor processing apparatus
US5556147A (en) * 1993-07-15 1996-09-17 Applied Materials, Inc. Wafer tray and ceramic blade for semiconductor processing apparatus
US5636964A (en) * 1993-07-15 1997-06-10 Applied Materials, Inc. Wafer tray and ceramic blade for semiconductor processing apparatus
US5651823A (en) * 1993-07-16 1997-07-29 Semiconductor Systems, Inc. Clustered photolithography system
US5766824A (en) * 1993-07-16 1998-06-16 Semiconductor Systems, Inc. Method and apparatus for curing photoresist
US5595936A (en) * 1993-08-04 1997-01-21 Hyundai Electronics Industries Co., Ltd. Method for forming contacts in semiconductor device
US5336363A (en) * 1993-09-24 1994-08-09 Applied Materials, Inc. Low temperature dry etch of copper
US5432073A (en) * 1993-09-27 1995-07-11 United Microelectronics Corporation Method for metal deposition without poison via
US7691692B2 (en) 1993-10-26 2010-04-06 Semiconductor Energy Laboratory Co., Ltd. Substrate processing apparatus and a manufacturing method of a thin film semiconductor device
US6482752B1 (en) * 1993-10-26 2002-11-19 Semiconductor Energy Laboratory Co., Ltd. Substrate processing apparatus and method and a manufacturing method of a thin film semiconductor device
US20090029509A1 (en) * 1993-10-26 2009-01-29 Semiconductor Energy Laboratory Co., Ltd. Substrate processing apparatus and method and a manufacturing method of a thin film semiconductor device
US7452794B2 (en) 1993-10-26 2008-11-18 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of a thin film semiconductor device
US20070173046A1 (en) * 1993-10-26 2007-07-26 Semiconductor Energy Laboratory Co., Ltd. Substrate processing apparatus and method and a manufacturing method of a thin film semiconductor device
US8304350B2 (en) 1993-10-26 2012-11-06 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US20100144077A1 (en) * 1993-10-26 2010-06-10 Semiconductor Energy Laboratory Co., Ltd. Substrate processing apparatus and method and a manufacturing method of a thin film semiconductor device
US20020197760A1 (en) * 1993-10-26 2002-12-26 Semiconductor Energy Laboratory Co., Ltd. Substrate processing apparatus and method and a manufacturing method of a thin film semiconductor device
US7271082B2 (en) 1993-10-26 2007-09-18 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US6897100B2 (en) 1993-11-05 2005-05-24 Semiconductor Energy Laboratory Co., Ltd. Method for processing semiconductor device apparatus for processing a semiconductor and apparatus for processing semiconductor device
US6329229B1 (en) 1993-11-05 2001-12-11 Semiconductor Energy Laboratory Co., Ltd. Method for processing semiconductor device, apparatus for processing a semiconductor and apparatus for processing semiconductor device
US5588827A (en) * 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
WO1995018459A1 (en) * 1993-12-23 1995-07-06 Heikki Ihantola Apparatus and method for processing of semiconductors, such as silicon chips
US6174366B1 (en) 1993-12-23 2001-01-16 Heikki Ihantola Apparatus and method for processing of semiconductors, such as silicon chips
US5738767A (en) * 1994-01-11 1998-04-14 Intevac, Inc. Substrate handling and processing system for flat panel displays
US5558487A (en) * 1994-01-25 1996-09-24 Sony Corporation Transporting system for an article
US5628828A (en) * 1994-03-04 1997-05-13 Hitachi , Ltd. Processing method and equipment for processing a semiconductor device having holder/carrier with flattened surface
US5580419A (en) * 1994-03-23 1996-12-03 Trw Inc. Process of making semiconductor device using focused ion beam for resistless in situ etching, deposition, and nucleation
US6974763B1 (en) * 1994-04-13 2005-12-13 Semiconductor Energy Laboratory Co., Ltd. Method of forming semiconductor device by crystallizing amorphous silicon and forming crystallization promoting material in the same chamber
US5493987A (en) * 1994-05-16 1996-02-27 Ag Associates, Inc. Chemical vapor deposition reactor and method
WO1995031582A1 (en) * 1994-05-16 1995-11-23 Ag Associates, Inc. Chemical vapor deposition reactor and method
US5769952A (en) * 1994-06-07 1998-06-23 Tokyo Electron, Ltd. Reduced pressure and normal pressure treatment apparatus
US5976919A (en) * 1994-06-10 1999-11-02 Matsushita Electric Industrial Co., Ltd. Apparatus and method of manufacturing semiconductor element
US5522955A (en) * 1994-07-07 1996-06-04 Brodd; Ralph J. Process and apparatus for producing thin lithium coatings on electrically conductive foil for use in solid state rechargeable electrochemical cells
US6753213B2 (en) 1994-07-28 2004-06-22 Semiconductor Energy Laboratory Co., Ltd. Laser processing method
US5755888A (en) * 1994-09-01 1998-05-26 Matsushita Electric Industrial Co., Ltd. Method and apparatus of forming thin films
US5817576A (en) * 1994-09-27 1998-10-06 Applied Materials, Inc. Utilization of SiH4 soak and purge in deposition processes
EP0704551A1 (en) 1994-09-27 1996-04-03 Applied Materials, Inc. Method of processing a substrate in a vacuum processing chamber
US6193813B1 (en) 1994-09-27 2001-02-27 Applied Materials, Inc. Utilization of SiH4 soak and purge in deposition processes
US5780360A (en) * 1994-09-27 1998-07-14 Applied Materials, Inc. Purge in silicide deposition processes dichlorosilane
US5563095A (en) * 1994-12-01 1996-10-08 Frey; Jeffrey Method for manufacturing semiconductor devices
US5753531A (en) * 1994-12-01 1998-05-19 The University Of Maryland At College Park Method for continuously making a semiconductor device
US6019850A (en) * 1994-12-01 2000-02-01 Frey; Jeffrey Apparatus for making a semiconductor device in a continuous manner
US5810935A (en) * 1994-12-06 1998-09-22 Electronics And Telecommunications Research Institute Apparatus for transferring a wafer
US5783055A (en) * 1995-02-28 1998-07-21 Hitachi, Ltd. Multi-chamber sputtering apparatus
US5943600A (en) * 1995-03-13 1999-08-24 Applied Materials, Inc. Treatment of a titanium nitride layer to improve resistance to elevated temperatures
US5759360A (en) * 1995-03-13 1998-06-02 Applied Materials, Inc. Wafer clean sputtering process
EP0732622A2 (en) * 1995-03-16 1996-09-18 Eastman Kodak Company Apparatus for moving photographic film cartridges between lighted and darkened environments
EP0732622A3 (en) * 1995-03-16 1997-02-05 Eastman Kodak Co Apparatus for moving photographic film cartridges between lighted and darkened environments
US5554563A (en) * 1995-04-04 1996-09-10 Taiwan Semiconductor Manufacturing Company In situ hot bake treatment that prevents precipitate formation after a contact layer etch back step
US6193506B1 (en) 1995-05-24 2001-02-27 Brooks Automation, Inc. Apparatus and method for batch thermal conditioning of substrates
US5609459A (en) * 1995-07-06 1997-03-11 Brooks Automation, Inc. Door drive mechanisms for substrate carrier and load lock
US5664925A (en) * 1995-07-06 1997-09-09 Brooks Automation, Inc. Batchloader for load lock
US5613821A (en) * 1995-07-06 1997-03-25 Brooks Automation, Inc. Cluster tool batchloader of substrate carrier
US5607276A (en) * 1995-07-06 1997-03-04 Brooks Automation, Inc. Batchloader for substrate carrier on load lock
WO1997002195A1 (en) * 1995-07-06 1997-01-23 Brooks Automation, Inc. Load arm for load lock
US5588789A (en) * 1995-07-06 1996-12-31 Brooks Automation Load arm for load lock
US5664926A (en) * 1995-07-11 1997-09-09 Progressive System Technologies, Inc. Stage assembly for a substrate processing system
US6632324B2 (en) 1995-07-19 2003-10-14 Silicon Genesis Corporation System for the plasma treatment of large area substrates
US6672819B1 (en) * 1995-07-19 2004-01-06 Hitachi, Ltd. Vacuum processing apparatus and semiconductor manufacturing line using the same
US6519504B1 (en) * 1995-07-19 2003-02-11 Hitachi, Ltd. Vacuum processing apparatus and semiconductor manufacturing line using the same
US6705828B2 (en) * 1995-07-19 2004-03-16 Hitachi, Ltd. Vacuum processing apparatus and semiconductor manufacturing line using the same
US6338313B1 (en) * 1995-07-19 2002-01-15 Silison Genesis Corporation System for the plasma treatment of large area substrates
WO1997005527A1 (en) * 1995-07-27 1997-02-13 Semiconductor Systems, Inc. Method and apparatus for curing photoresist
US6136095A (en) * 1995-08-07 2000-10-24 Applied Materials, Inc. Apparatus for filling apertures in a film layer on a semiconductor substrate
US6217721B1 (en) 1995-08-07 2001-04-17 Applied Materials, Inc. Filling narrow apertures and forming interconnects with a metal utilizing a crystallographically oriented liner layer
EP0758148A2 (en) * 1995-08-07 1997-02-12 Applied Materials, Inc. Method and apparatus for forming electrical contacts in multi-layer integrated circuits
US6045666A (en) * 1995-08-07 2000-04-04 Applied Materials, Inc. Aluminum hole filling method using ionized metal adhesion layer
EP0758148A3 (en) * 1995-08-07 1999-08-18 Applied Materials, Inc. Method and apparatus for forming electrical contacts in multi-layer integrated circuits
US6238533B1 (en) 1995-08-07 2001-05-29 Applied Materials, Inc. Integrated PVD system for aluminum hole filling using ionized metal adhesion layer
US5962923A (en) * 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
US6313027B1 (en) 1995-08-07 2001-11-06 Applied Materials, Inc. Method for low thermal budget metal filling and planarization of contacts vias and trenches
US5826545A (en) * 1995-09-18 1998-10-27 Diagnon Corporation Animal caging system
US6299404B1 (en) 1995-10-27 2001-10-09 Brooks Automation Inc. Substrate transport apparatus with double substrate holders
WO1997015423A1 (en) 1995-10-27 1997-05-01 Brooks Automation Inc. Substrate transport apparatus with dual substrate holders
US6231297B1 (en) 1995-10-27 2001-05-15 Brooks Automation, Inc. Substrate transport apparatus with angled arms
US6158941A (en) * 1995-10-27 2000-12-12 Brooks Automation, Inc. Substrate transport apparatus with double substrate holders
US5647724A (en) * 1995-10-27 1997-07-15 Brooks Automation Inc. Substrate transport apparatus with dual substrate holders
US6481956B1 (en) 1995-10-27 2002-11-19 Brooks Automation Inc. Method of transferring substrates with two different substrate holding end effectors
US6355560B1 (en) 1995-11-21 2002-03-12 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
US6726776B1 (en) 1995-11-21 2004-04-27 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
US6458684B1 (en) 1995-11-21 2002-10-01 Applied Materials, Inc. Single step process for blanket-selective CVD aluminum deposition
US6743714B2 (en) 1995-11-21 2004-06-01 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
US5877087A (en) * 1995-11-21 1999-03-02 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
US5926724A (en) * 1995-12-02 1999-07-20 Hyundai Electronics Industries Co., Ltd. Device isolation technology
US6267423B1 (en) 1995-12-08 2001-07-31 Applied Materials, Inc. End effector for semiconductor wafer transfer device and method of moving a wafer with an end effector
US5746460A (en) * 1995-12-08 1998-05-05 Applied Materials, Inc. End effector for semiconductor wafer transfer device and method of moving a wafer with an end effector
EP0782175A3 (en) * 1995-12-28 2000-01-19 JENOPTIK Aktiengesellschaft Apparatus for handling wafer like objects
EP0782175A2 (en) * 1995-12-28 1997-07-02 JENOPTIK Aktiengesellschaft Apparatus for handling wafer like objects
US5807062A (en) * 1995-12-28 1998-09-15 Jenoptik Aktiengesellschaft Arrangement for handling wafer-shaped objects
US5958510A (en) * 1996-01-08 1999-09-28 Applied Materials, Inc. Method and apparatus for forming a thin polymer layer on an integrated circuit structure
US6663713B1 (en) 1996-01-08 2003-12-16 Applied Materials Inc. Method and apparatus for forming a thin polymer layer on an integrated circuit structure
US5820692A (en) * 1996-01-16 1998-10-13 Fsi Interntional Vacuum compatible water vapor and rinse process module
US5854468A (en) * 1996-01-25 1998-12-29 Brooks Automation, Inc. Substrate heating apparatus with cantilevered lifting arm
EP0787971A3 (en) * 1996-02-02 1999-04-28 Moore Epitaxial, Inc. A cluster tool layer thickness measurement apparatus
US6291028B1 (en) 1996-02-09 2001-09-18 Applied Materials, Inc. Method and apparatus for improving the film quality of plasma enhanced CVD films at the interface
US6289843B1 (en) 1996-02-09 2001-09-18 Applied Materials, Inc. Method and apparatus for improving the film quality of plasma enhanced CVD films at the interface
US6139923A (en) * 1996-02-09 2000-10-31 Applied Materials, Inc. Method and apparatus for reducing particle contamination in a substrate processing chamber
US6121163A (en) * 1996-02-09 2000-09-19 Applied Materials, Inc. Method and apparatus for improving the film quality of plasma enhanced CVD films at the interface
US6077027A (en) * 1996-02-09 2000-06-20 Hitachi, Ltd. Semiconductor manufacturing apparatus for transferring articles with a bearing-less joint and method for manufacturing semiconductor device
US5971701A (en) * 1996-02-09 1999-10-26 Hitachi, Ltd. Semiconductor manufacturing apparatus for transferring articles with a bearing-less joint and method for manufacturing semiconductor device
US5902494A (en) * 1996-02-09 1999-05-11 Applied Materials, Inc. Method and apparatus for reducing particle generation by limiting DC bias spike
US6465043B1 (en) 1996-02-09 2002-10-15 Applied Materials, Inc. Method and apparatus for reducing particle contamination in a substrate processing chamber
US5850071A (en) * 1996-02-16 1998-12-15 Kokusai Electric Co., Ltd. Substrate heating equipment for use in a semiconductor fabricating apparatus
US20060105514A1 (en) * 1996-03-10 2006-05-18 Semiconductor Energy Laboratory Co., Ltd. Thin film semiconductor device and method of manufacturing the same
US7763503B2 (en) * 1996-03-10 2010-07-27 Semiconductor Energy Laboratory Co., Ltd. Thin film semiconductor device and method of manufacturing the same
US6037733A (en) * 1996-03-22 2000-03-14 Genmark Automation Robot having multiple degrees of freedom
US5789890A (en) * 1996-03-22 1998-08-04 Genmark Automation Robot having multiple degrees of freedom
US6121743A (en) * 1996-03-22 2000-09-19 Genmark Automation, Inc. Dual robotic arm end effectors having independent yaw motion
EP0799903A2 (en) 1996-04-05 1997-10-08 Applied Materials, Inc. Methods of sputtering a metal onto a substrate and semiconductor processing apparatus
US6176667B1 (en) 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
US5765983A (en) * 1996-05-30 1998-06-16 Brooks Automation, Inc. Robot handling apparatus
WO1997045863A1 (en) * 1996-05-31 1997-12-04 Sls Partners, Inc. Radial multiple chamber microelectronics processing apparatus and process of making and using the apparatus
US5795356A (en) * 1996-05-31 1998-08-18 Slsp Partners, Inc. Microelectronic component fabrication facility, and process for making and using the facility
US5885052A (en) * 1996-06-03 1999-03-23 Kabushiki Kaisha Toyoda Jidoshokki Seisakusho Transferring apparatus and robot arm
US6062798A (en) * 1996-06-13 2000-05-16 Brooks Automation, Inc. Multi-level substrate processing apparatus
US6261048B1 (en) 1996-06-13 2001-07-17 Brooks Automation, Inc. Multi-level substrate processing apparatus
US6372643B1 (en) * 1996-06-14 2002-04-16 Micron Technology, Inc. Method for forming a selective contact and local interconnect in situ and semiconductor devices carrying the same
US5691236A (en) * 1996-06-29 1997-11-25 United Microelectronics Corporation Method and apparatus for performing chemical vapor deposition
US6129044A (en) * 1996-07-12 2000-10-10 Applied Materials, Inc. Apparatus for substrate processing with improved throughput and yield
US5993916A (en) * 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US5954472A (en) * 1996-07-15 1999-09-21 Brooks Automation, Inc. Batch loader arm
US6256825B1 (en) * 1996-07-15 2001-07-10 Taiwan Semiconductor Manufacturing Company Removal of particulate contamination in loadlocks
US5817366A (en) * 1996-07-29 1998-10-06 Tdk Corporation Method for manufacturing organic electroluminescent element and apparatus therefor
US5993493A (en) * 1996-08-19 1999-11-30 Shin-Etsu Handotai Co., Ltd. Method of manufacturing mirror-polished silicon wafers, and apparatus for processing silicon wafers
EP0828286A3 (en) * 1996-08-19 2001-05-09 Shin-Etsu Handotai Company Limited Method of manufacturing mirror-polished silicon wafers, and apparatus for processing silicon wafers
EP0828286A2 (en) * 1996-08-19 1998-03-11 Shin-Etsu Handotai Company Limited Method of manufacturing mirror-polished silicon wafers, and apparatus for processing silicon wafers
US5908281A (en) * 1996-09-20 1999-06-01 Brooks Automation Inc. Coaxial drive loader arm
US6199927B1 (en) 1996-11-04 2001-03-13 Applied Materials, Inc. Robot blade for handling of semiconductor substrates
US6024393A (en) * 1996-11-04 2000-02-15 Applied Materials, Inc. Robot blade for handling of semiconductor substrate
US6077321A (en) * 1996-11-08 2000-06-20 Dainippon Screen Mfg. Co., Ltd. Wet/dry substrate processing apparatus
US6114216A (en) * 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US6348099B1 (en) 1996-11-13 2002-02-19 Applied Materials, Inc. Methods and apparatus for depositing premetal dielectric layer at sub-atmospheric and high temperature conditions
US5935340A (en) * 1996-11-13 1999-08-10 Applied Materials, Inc. Method and apparatus for gettering fluorine from chamber material surfaces
US5994209A (en) * 1996-11-13 1999-11-30 Applied Materials, Inc. Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
US5812403A (en) * 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US6099647A (en) * 1996-11-13 2000-08-08 Applied Materials, Inc. Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
US5939831A (en) * 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US6347636B1 (en) 1996-11-13 2002-02-19 Applied Materials, Inc. Methods and apparatus for gettering fluorine from chamber material surfaces
US6019848A (en) * 1996-11-13 2000-02-01 Applied Materials, Inc. Lid assembly for high temperature processing chamber
US5879574A (en) * 1996-11-13 1999-03-09 Applied Materials, Inc. Systems and methods for detecting end of chamber clean in a thermal (non-plasma) process
US5963840A (en) * 1996-11-13 1999-10-05 Applied Materials, Inc. Methods for depositing premetal dielectric layer at sub-atmospheric and high temperature conditions
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US5968587A (en) * 1996-11-13 1999-10-19 Applied Materials, Inc. Systems and methods for controlling the temperature of a vapor deposition apparatus
US6645303B2 (en) 1996-11-14 2003-11-11 Applied Materials, Inc. Heater/lift assembly for high temperature processing chamber
US20030038025A1 (en) * 1996-11-21 2003-02-27 Applied Materials, Inc. Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US6899799B2 (en) 1996-11-21 2005-05-31 Applied Materials, Inc. Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US6475356B1 (en) 1996-11-21 2002-11-05 Applied Materials, Inc. Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US6068704A (en) * 1996-11-26 2000-05-30 Tokyo Electron Limited Transfer arm apparatus and semiconductor processing system using the same
US5943230A (en) * 1996-12-19 1999-08-24 Applied Materials, Inc. Computer-implemented inter-chamber synchronization in a multiple chamber substrate processing system
US20030161943A1 (en) * 1996-12-30 2003-08-28 Applied Materials, Inc. Fully planarized dual damascene metallization using copper line interconnect and selective CVD aluminum plug
US7112528B2 (en) * 1996-12-30 2006-09-26 Applied Materials, Inc. Fully planarized dual damascene metallization using copper line interconnect and selective CVD aluminum plug
US6435799B2 (en) 1997-01-16 2002-08-20 Asm America, Inc. Wafer transfer arm stop
US6183183B1 (en) 1997-01-16 2001-02-06 Asm America, Inc. Dual arm linear hand-off wafer transfer assembly
US6435809B2 (en) 1997-01-16 2002-08-20 Asm America, Inc. Dual arm linear hand-off wafer transfer assembly
US6221766B1 (en) * 1997-01-24 2001-04-24 Steag Rtp Systems, Inc. Method and apparatus for processing refractory metals on semiconductor substrates
US6139697A (en) * 1997-01-31 2000-10-31 Applied Materials, Inc. Low temperature integrated via and trench fill process and apparatus
US5879739A (en) * 1997-02-20 1999-03-09 Tower Semiconductor Ltd. Batch process for forming metal plugs in a dielectric layer of a semiconductor wafer
US6242345B1 (en) 1997-02-20 2001-06-05 Tower Semiconductor Ltd. Batch process for forming metal plugs in a dielectric layer of a semiconductor wafer
US6132517A (en) * 1997-02-21 2000-10-17 Applied Materials, Inc. Multiple substrate processing apparatus for enhanced throughput
US20050150757A1 (en) * 1997-03-17 2005-07-14 Applied Komatsu Technology, Inc. Heated and cooled vacuum chamber shield
US5937308A (en) * 1997-03-26 1999-08-10 Advanced Micro Devices, Inc. Semiconductor trench isolation structure formed substantially within a single chamber
US5904542A (en) * 1997-03-26 1999-05-18 Advanced Micro Devices, Inc. Performing a semiconductor fabrication sequence within a common chamber and without opening the chamber beginning with forming a field dielectric and concluding with a gate dielectric
US6087249A (en) * 1997-04-04 2000-07-11 Advanced Micro Devices, Inc. Transistor fabrication process employing a common chamber for gate oxide and gate conductor formation
US5891793A (en) * 1997-04-04 1999-04-06 Advanced Micro Devices, Inc. Transistor fabrication process employing a common chamber for gate oxide and gate conductor formation
US8278195B2 (en) 1997-05-09 2012-10-02 Semiconductor Energy Laboratory Co., Ltd. Plasma CVD apparatus
US6499427B1 (en) 1997-05-09 2002-12-31 Semiconductor Energy Laboratory Co., Ltd. Plasma CVD apparatus
US7723218B2 (en) 1997-05-09 2010-05-25 Semiconductor Energy Laboratory Co., Ltd. Plasma CVD apparatus
US20030066485A1 (en) * 1997-05-09 2003-04-10 Semiconductor Energy Laboratory Co., Ltd. Plasma CVD apparatus
US6283060B1 (en) * 1997-05-09 2001-09-04 Semiconductor Energy Laboratory Co., Ltd. Plasma CVD apparatus
US8053338B2 (en) 1997-05-09 2011-11-08 Semiconductor Energy Laboratory Co., Ltd. Plasma CVD apparatus
US20090197012A1 (en) * 1997-05-09 2009-08-06 Semiconductor Energy Laboratory Co., Ltd. Plasma cvd apparatus
US20050176221A1 (en) * 1997-05-09 2005-08-11 Semiconductor Energy Laboratory Co., Ltd. Plasma CVD apparatus
US20030013297A1 (en) * 1997-05-14 2003-01-16 Applied Materials, Inc. Reliability barrier integration for Cu application
US20040209460A1 (en) * 1997-05-14 2004-10-21 Ming Xi Reliability barrier integration for Cu application
US20020060363A1 (en) * 1997-05-14 2002-05-23 Applied Materials, Inc. Reliability barrier integration for Cu application
US6871656B2 (en) 1997-05-27 2005-03-29 Tokyo Electron Limited Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process
US6287386B1 (en) * 1997-06-04 2001-09-11 Applied Materials, Inc. Carousel wafer transfer system
US6468353B1 (en) 1997-06-04 2002-10-22 Applied Materials, Inc. Method and apparatus for improved substrate handling
US6575737B1 (en) 1997-06-04 2003-06-10 Applied Materials, Inc. Method and apparatus for improved substrate handling
US20020170672A1 (en) * 1997-06-04 2002-11-21 Ilya Perlov Method and apparatus for improved substrate handling
US5951770A (en) * 1997-06-04 1999-09-14 Applied Materials, Inc. Carousel wafer transfer system
US6123502A (en) * 1997-07-08 2000-09-26 Brooks Automation, Inc. Substrate holder having vacuum holding and gravity holding
WO1999003135A1 (en) * 1997-07-11 1999-01-21 Applied Materials, Inc. Lid assembly
US5893795A (en) * 1997-07-11 1999-04-13 Applied Materials, Inc. Apparatus for moving a cassette
US6578287B2 (en) 1997-07-11 2003-06-17 Asm America, Inc. Substrate cooling system and method
US6312525B1 (en) 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
US6408537B1 (en) * 1997-07-11 2002-06-25 Asm America, Inc. Substrate cooling system
US6050446A (en) * 1997-07-11 2000-04-18 Applied Materials, Inc. Pivoting lid assembly for a chamber
US6034000A (en) * 1997-07-28 2000-03-07 Applied Materials, Inc. Multiple loadlock system
US6450750B1 (en) 1997-07-28 2002-09-17 Applied Materials, Inc. Multiple loadlock system
US6207005B1 (en) * 1997-07-29 2001-03-27 Silicon Genesis Corporation Cluster tool apparatus using plasma immersion ion implantation
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US20030134038A1 (en) * 1997-08-11 2003-07-17 Paranjpe Ajit P. Method and apparatus for layer by layer deposition of thin films
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US6352593B1 (en) 1997-08-11 2002-03-05 Torrex Equipment Corp. Mini-batch process chamber
US6352594B2 (en) 1997-08-11 2002-03-05 Torrex Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
US6780464B2 (en) 1997-08-11 2004-08-24 Torrex Equipment Thermal gradient enhanced CVD deposition at low pressure
US6053688A (en) * 1997-08-25 2000-04-25 Cheng; David Method and apparatus for loading and unloading wafers from a wafer carrier
US6458254B2 (en) * 1997-09-25 2002-10-01 Midwest Research Institute Plasma & reactive ion etching to prepare ohmic contacts
US6471837B1 (en) * 1997-09-29 2002-10-29 Unaxis Trading Ag Vacuum coating installation and coupling device
US6235634B1 (en) 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6688375B1 (en) 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
US6110556A (en) * 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
US6293749B1 (en) 1997-11-21 2001-09-25 Asm America, Inc. Substrate transfer system for semiconductor processing equipment
US6298534B1 (en) * 1997-11-21 2001-10-09 Applied Materials, Inc. Chamber component removal system, apparatus and method
US6068441A (en) * 1997-11-21 2000-05-30 Asm America, Inc. Substrate transfer system for semiconductor processing equipment
US20050085068A1 (en) * 1997-11-26 2005-04-21 Tony Chiang Method of depositing a metal seed layer on semiconductor substrates
US7074714B2 (en) 1997-11-26 2006-07-11 Applied Materials, Inc. Method of depositing a metal seed layer on semiconductor substrates
US20050020080A1 (en) * 1997-11-26 2005-01-27 Tony Chiang Method of depositing a diffusion barrier layer and a metal conductive layer
US20090053888A1 (en) * 1997-11-26 2009-02-26 Applied Materials, Inc. Method of depositing a diffusion barrier layer which provides an improved interconnect
US20070020922A1 (en) * 1997-11-26 2007-01-25 Tony Chiang Method of depositing a metal seed layer on semiconductor substrates
US20070178682A1 (en) * 1997-11-26 2007-08-02 Tony Chiang Damage-free sculptured coating deposition
US7687909B2 (en) 1997-11-26 2010-03-30 Applied Materials, Inc. Metal / metal nitride barrier layer for semiconductor device applications
US20070241458A1 (en) * 1997-11-26 2007-10-18 Applied Materials, Inc. Metal / metal nitride barrier layer for semiconductor device applications
US20050272254A1 (en) * 1997-11-26 2005-12-08 Applied Materials, Inc. Method of depositing low resistivity barrier layers for copper interconnects
US9390970B2 (en) 1997-11-26 2016-07-12 Applied Materials, Inc. Method for depositing a diffusion barrier layer and a metal conductive layer
US7253109B2 (en) 1997-11-26 2007-08-07 Applied Materials, Inc. Method of depositing a tantalum nitride/tantalum diffusion barrier layer system
US6605531B1 (en) 1997-11-26 2003-08-12 Applied Materials, Inc. Hole-filling technique using CVD aluminum and PVD aluminum integration
US20050208767A1 (en) * 1997-11-26 2005-09-22 Applied Materials, Inc. Method of depositing a tantalum nitride / tantalum diffusion barrier layer system
US7381639B2 (en) 1997-11-26 2008-06-03 Applied Materials, Inc. Method of depositing a metal seed layer on semiconductor substrates
US6063441A (en) * 1997-12-02 2000-05-16 Applied Materials, Inc. Processing chamber and method for confining plasma
US6167837B1 (en) 1998-01-15 2001-01-02 Torrex Equipment Corp. Apparatus and method for plasma enhanced chemical vapor deposition (PECVD) in a single wafer reactor
WO1999036587A1 (en) * 1998-01-15 1999-07-22 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US5931626A (en) * 1998-01-16 1999-08-03 Brooks Automation Inc. Robot mounting de-coupling technique
US20020102837A1 (en) * 1998-02-04 2002-08-01 Ritzdorf Thomas L. Method for filling recessed micro-structures with metallization in the production of a microelectronic device
US6806186B2 (en) 1998-02-04 2004-10-19 Semitool, Inc. Submicron metallization using electrochemical deposition
US6753251B2 (en) 1998-02-04 2004-06-22 Semitool, Inc. Method for filling recessed micro-structures with metallization in the production of a microelectronic device
US20020022363A1 (en) * 1998-02-04 2002-02-21 Thomas L. Ritzdorf Method for filling recessed micro-structures with metallization in the production of a microelectronic device
US7244677B2 (en) 1998-02-04 2007-07-17 Semitool. Inc. Method for filling recessed micro-structures with metallization in the production of a microelectronic device
US7144805B2 (en) 1998-02-04 2006-12-05 Semitool, Inc. Method of submicron metallization using electrochemical deposition of recesses including a first deposition at a first current density and a second deposition at an increased current density
US6514838B2 (en) 1998-02-17 2003-02-04 Silicon Genesis Corporation Method for non mass selected ion implant profile control
US6425951B1 (en) 1998-02-18 2002-07-30 International Business Machines Corporation Advance integrated chemical vapor deposition (AICVD) for semiconductor
US6013134A (en) * 1998-02-18 2000-01-11 International Business Machines Corporation Advance integrated chemical vapor deposition (AICVD) for semiconductor devices
US6132165A (en) * 1998-02-23 2000-10-17 Applied Materials, Inc. Single drive, dual plane robot
US6460550B2 (en) 1998-03-06 2002-10-08 Applied Materials Inc. Apparatus for automatically performing cleaning processes in a semiconductor wafer processing system
US6168672B1 (en) * 1998-03-06 2001-01-02 Applied Materials Inc. Method and apparatus for automatically performing cleaning processes in a semiconductor wafer processing system
US20060003672A1 (en) * 1998-03-09 2006-01-05 Masao Yoshida Polishing apparatus
US7063600B2 (en) * 1998-03-09 2006-06-20 Ebara Corporation Polishing apparatus
US7332066B2 (en) 1998-03-20 2008-02-19 Semitool, Inc. Apparatus and method for electrochemically depositing metal on a semiconductor workpiece
US7115196B2 (en) 1998-03-20 2006-10-03 Semitool, Inc. Apparatus and method for electrochemically depositing metal on a semiconductor workpiece
US7858518B2 (en) 1998-04-07 2010-12-28 Micron Technology, Inc. Method for forming a selective contact and local interconnect in situ
US7776226B2 (en) 1998-04-21 2010-08-17 Samsung Electronics Co., Ltd. Multi-chamber system having compact installation set-up for an etching facility for semiconductor device manufacturing
US20050236092A1 (en) * 1998-04-21 2005-10-27 Kim Ki-Sang Multi-chamber system having compact installation set-up for an etching facility for semiconductor device manufacturing
US6503365B1 (en) * 1998-04-21 2003-01-07 Samsung Electronics Co., Ltd. Multi-chamber system having compact installation set-up for an etching facility for semiconductor device manufacturing
US6930050B2 (en) 1998-04-21 2005-08-16 Samsung Electronics Co., Ltd. Multi-chamber system having compact installation set-up for an etching facility for semiconductor device manufacturing
US20030073323A1 (en) * 1998-04-21 2003-04-17 Kim Ki-Sang Multi-chamber system having compact installation set-up for an etching facility for semiconductor device manufacturing
US6254717B1 (en) * 1998-04-23 2001-07-03 Sandia Corporation Method and apparatus for monitoring plasma processing operations
EP0953657A3 (en) * 1998-05-02 2003-01-29 Leybold Systems GmbH Vaccum coating apparatus
EP0953657A2 (en) * 1998-05-02 1999-11-03 Leybold Systems GmbH Vaccum coating apparatus
US6547510B1 (en) 1998-05-04 2003-04-15 Brooks Automation Inc. Substrate transport apparatus with coaxial drive shafts and dual independent scara arms
US6471459B2 (en) 1998-05-20 2002-10-29 Applied Komatsu Technology, Inc. Substrate transfer shuttle having a magnetic drive
US6086362A (en) * 1998-05-20 2000-07-11 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
US6176668B1 (en) 1998-05-20 2001-01-23 Applied Komatsu Technology, Inc. In-situ substrate transfer shuttle
US6435868B2 (en) 1998-05-20 2002-08-20 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
US6679671B2 (en) 1998-05-20 2004-01-20 Applied Materials, Inc. Substrate transfer shuttle having a magnetic drive
US6517303B1 (en) 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6847730B1 (en) 1998-05-20 2005-01-25 Applied Materials, Inc. Automated substrate processing system
US6746198B2 (en) 1998-05-20 2004-06-08 Applied Materials, Inc. Substrate transfer shuttle
US6215897B1 (en) 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Automated substrate processing system
US6193507B1 (en) 1998-05-20 2001-02-27 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
US6206176B1 (en) 1998-05-20 2001-03-27 Applied Komatsu Technology, Inc. Substrate transfer shuttle having a magnetic drive
WO1999060610A1 (en) * 1998-05-20 1999-11-25 Applied Komatsu Technology, Inc. An automated substrate processing system
US6213704B1 (en) 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Method and apparatus for substrate transfer and processing
EP0959146A2 (en) * 1998-05-21 1999-11-24 International Business Machines Corporation Dual chamber ion beam sputter deposition system
EP0959146A3 (en) * 1998-05-21 2002-06-05 International Business Machines Corporation Dual chamber ion beam sputter deposition system
WO1999061678A1 (en) * 1998-05-26 1999-12-02 Whitesell Andrew B A substrate handling and processing system and method
US6083566A (en) * 1998-05-26 2000-07-04 Whitesell; Andrew B. Substrate handling and processing system and method
US6374508B1 (en) 1998-06-12 2002-04-23 Applied Materials, Inc. Apparatus and method for aligning a substrate on a support member
US6086952A (en) * 1998-06-15 2000-07-11 Applied Materials, Inc. Chemical vapor deposition of a copolymer of p-xylylene and a multivinyl silicon/oxygen comonomer
US6573201B1 (en) * 1998-06-17 2003-06-03 Ebara Corporation Method and apparatus for protection of substrate surface
US7147760B2 (en) 1998-07-10 2006-12-12 Semitool, Inc. Electroplating apparatus with segmented anode array
US7357850B2 (en) 1998-07-10 2008-04-15 Semitool, Inc. Electroplating apparatus with segmented anode array
US20030062258A1 (en) * 1998-07-10 2003-04-03 Woodruff Daniel J. Electroplating apparatus with segmented anode array
US6293746B1 (en) * 1998-07-25 2001-09-25 Daihen Corporation Transfer robot
US6489741B1 (en) 1998-08-25 2002-12-03 Genmark Automation, Inc. Robot motion compensation system
US6080285A (en) * 1998-09-14 2000-06-27 Applied Materials, Inc. Multiple step ionized metal plasma deposition process for conformal step coverage
US6449525B1 (en) 1998-09-14 2002-09-10 Applied Materials, Inc. Computer system to control multiple step ionized metal plasma deposition process for conformal step coverage
US6537916B2 (en) 1998-09-28 2003-03-25 Tokyo Electron Limited Removal of CMP residue from semiconductor substrate using supercritical carbon dioxide process
US6217272B1 (en) 1998-10-01 2001-04-17 Applied Science And Technology, Inc. In-line sputter deposition system
WO2000019498A1 (en) * 1998-10-01 2000-04-06 Applied Materials, Inc. In situ deposition of low k si carbide barrier layer, etch stop, and anti-reflective coating for damascene applications
US6635583B2 (en) 1998-10-01 2003-10-21 Applied Materials, Inc. Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating
US6328858B1 (en) 1998-10-01 2001-12-11 Nexx Systems Packaging, Llc Multi-layer sputter deposition apparatus
US7670945B2 (en) 1998-10-01 2010-03-02 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6951826B2 (en) 1998-10-01 2005-10-04 Applied Materials, Inc. Silicon carbide deposition for use as a low dielectric constant anti-reflective coating
US7470611B2 (en) 1998-10-01 2008-12-30 Applied Materials, Inc. In situ deposition of a low K dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US20050181623A1 (en) * 1998-10-01 2005-08-18 Applied Materials, Inc. Silicon carbide deposition for use as a low dielectric constant anti-reflective coating
US7138657B2 (en) 1998-10-06 2006-11-21 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device having two insulating films provided over a substrate
US6656779B1 (en) * 1998-10-06 2003-12-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor apparatus having semiconductor circuits made of semiconductor devices, and method of manufacture thereof
US20070063199A1 (en) * 1998-10-06 2007-03-22 Kenji Kasahara Semiconductor apparatus having semiconductor circuits made of semiconductor devices, and method of manufacture thereof
US20040094767A1 (en) * 1998-10-06 2004-05-20 Semiconductor Energy Laboratory Co., Ltd. Semiconductor apparatus having semiconductor circuits made of semiconductor devices, and method of manufacturing thereof
US6488984B1 (en) * 1998-10-29 2002-12-03 Applied Materials Inc. Film deposition method and apparatus
WO2000026974A2 (en) * 1998-10-30 2000-05-11 Applied Materials, Inc. Semiconductor processing chamber calibration tool
US6063196A (en) * 1998-10-30 2000-05-16 Applied Materials, Inc. Semiconductor processing chamber calibration tool
US6183564B1 (en) 1998-11-12 2001-02-06 Tokyo Electron Limited Buffer chamber for integrating physical and chemical vapor deposition chambers together in a processing system
US6283692B1 (en) 1998-12-01 2001-09-04 Applied Materials, Inc. Apparatus for storing and moving a cassette
US7637707B2 (en) 1998-12-01 2009-12-29 Applied Materials, Inc. Apparatus for storing and moving a cassette
US6362115B1 (en) 1998-12-09 2002-03-26 Applied Materials, Inc. In-situ generation of p-xylyiene from liquid precursors
US6746196B1 (en) * 1999-01-12 2004-06-08 Tokyo Electron Limited Vacuum treatment device
US6120229A (en) * 1999-02-01 2000-09-19 Brooks Automation Inc. Substrate carrier as batchloader
US6106634A (en) * 1999-02-11 2000-08-22 Applied Materials, Inc. Methods and apparatus for reducing particle contamination during wafer transport
US6328815B1 (en) 1999-02-19 2001-12-11 Taiwan Semiconductor Manufacturing Company Multiple chamber vacuum processing system configuration for improving the stability of mark shielding process
US6429142B1 (en) * 1999-02-23 2002-08-06 Taiwan Semiconductor Manufacturing Company In-situ photoresist removal by an attachable chamber with light source
US6544890B2 (en) * 1999-02-26 2003-04-08 Nec Corporation Process for fabricating semiconductor device having silicide layer with low resistance and uniform profile and sputtering system used therein
US7351293B2 (en) 1999-03-08 2008-04-01 Asm International N.V. Method and device for rotating a wafer
US20050051101A1 (en) * 1999-03-08 2005-03-10 Kuznetsov Vladimir Ivanovich Method and device for rotating a wafer
US7189318B2 (en) 1999-04-13 2007-03-13 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US7020537B2 (en) 1999-04-13 2006-03-28 Semitool, Inc. Tuning electrodes used in a reactor for electrochemically processing a microelectronic workpiece
US7585398B2 (en) 1999-04-13 2009-09-08 Semitool, Inc. Chambers, systems, and methods for electrochemically processing microfeature workpieces
US6916412B2 (en) 1999-04-13 2005-07-12 Semitool, Inc. Adaptable electrochemical processing chamber
US6763281B2 (en) 1999-04-19 2004-07-13 Applied Materials, Inc Apparatus for alignment of automated workpiece handling systems
US7158857B2 (en) 1999-04-19 2007-01-02 Applied Materials, Inc. Method and apparatus for aligning a cassette
US20050203664A1 (en) * 1999-04-19 2005-09-15 Applied Materials, Inc. Method and apparatus for aligning a cassette
US6110836A (en) * 1999-04-22 2000-08-29 Applied Materials, Inc. Reactive plasma etch cleaning of high aspect ratio openings
US6293386B1 (en) * 1999-05-10 2001-09-25 Fitel Innovations Leadframe transport and method therefor
US6390271B2 (en) * 1999-05-10 2002-05-21 Fitel Innovations Leadframe transport and method therefor
US6464795B1 (en) 1999-05-21 2002-10-15 Applied Materials, Inc. Substrate support member for a processing chamber
US6440261B1 (en) 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
US6709715B1 (en) 1999-06-17 2004-03-23 Applied Materials Inc. Plasma enhanced chemical vapor deposition of copolymer of parylene N and comonomers with various double bonds
US7144606B2 (en) 1999-06-18 2006-12-05 Applied Materials, Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6361648B1 (en) 1999-06-18 2002-03-26 Applied Materials, Inc. Wafer transfer station for a chemical mechanical polisher
US20050101154A1 (en) * 1999-06-18 2005-05-12 Judy Huang Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6458723B1 (en) 1999-06-24 2002-10-01 Silicon Genesis Corporation High temperature implant apparatus
US6423384B1 (en) 1999-06-25 2002-07-23 Applied Materials, Inc. HDP-CVD deposition of low dielectric constant amorphous carbon film
US6166509A (en) * 1999-07-07 2000-12-26 Applied Materials, Inc. Detection system for substrate clamp
US6313596B1 (en) 1999-07-07 2001-11-06 Applied Materials, Inc. Detection system for substrate clamp
US6454909B1 (en) * 1999-07-07 2002-09-24 Tokyo Electron Limited Method and apparatus for forming a film on an object to be processed
US6776880B1 (en) 1999-07-23 2004-08-17 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating an EL display device, and apparatus for forming a thin film
US7258768B2 (en) 1999-07-23 2007-08-21 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating an EL display device, and apparatus for forming a thin film
US20050005850A1 (en) * 1999-07-23 2005-01-13 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating an EL display device, and apparatus for forming a thin film
GB2359416B (en) * 1999-09-07 2002-06-26 Bosch Gmbh Robert Etching unit for hf vapour etching
GB2359416A (en) * 1999-09-07 2001-08-22 Bosch Gmbh Robert Etching unit for HF vapour etching of substrates
US20060231028A1 (en) * 1999-09-13 2006-10-19 Hayashi Otsuki Method for depositing metallic nitride series thin film
US6802933B2 (en) 1999-09-24 2004-10-12 Anisul Khan Apparatus for performing self cleaning method of forming deep trenches in silicon substrates
US20010020516A1 (en) * 1999-09-24 2001-09-13 Applied Materials, Inc. Apparatus for performing self cleaning method of forming deep trenches in silicon substrates
US6207558B1 (en) 1999-10-21 2001-03-27 Applied Materials, Inc. Barrier applications for aluminum planarization
US6368880B2 (en) * 1999-10-21 2002-04-09 Applied Materials, Inc. Barrier applications for aluminum planarization
US6926012B2 (en) 1999-11-02 2005-08-09 Tokyo Electron Limited Method for supercritical processing of multiple workpieces
US6926798B2 (en) 1999-11-02 2005-08-09 Tokyo Electron Limited Apparatus for supercritical processing of a workpiece
US7060422B2 (en) 1999-11-02 2006-06-13 Tokyo Electron Limited Method of supercritical processing of a workpiece
US6748960B1 (en) 1999-11-02 2004-06-15 Tokyo Electron Limited Apparatus for supercritical processing of multiple workpieces
US20030121535A1 (en) * 1999-11-02 2003-07-03 Biberger Maximilian Albert Method for supercritical processing of multiple workpieces
US6736149B2 (en) 1999-11-02 2004-05-18 Supercritical Systems, Inc. Method and apparatus for supercritical processing of multiple workpieces
US6298685B1 (en) 1999-11-03 2001-10-09 Applied Materials, Inc. Consecutive deposition system
US20030167612A1 (en) * 1999-11-30 2003-09-11 Applied Materials, Inc. Dual wafer load lock
US6841200B2 (en) 1999-11-30 2005-01-11 Applied Materials, Inc. Dual wafer load lock
US7641434B2 (en) 1999-12-15 2010-01-05 Applied Materials, Inc. Dual substrate loadlock process equipment
US20050016454A1 (en) * 1999-12-15 2005-01-27 Applied Materials, Inc. Dual substrate loadlock process equipment
US6949143B1 (en) 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
US8119189B2 (en) 1999-12-27 2012-02-21 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a display device
US20010006827A1 (en) * 1999-12-27 2001-07-05 Semiconductor Energy Laboratory Co., Ltd. Film formation apparatus and method for forming a film
US20100021624A1 (en) * 1999-12-27 2010-01-28 Semiconductor Energy Laboratory Co., Ltd Film Formation Apparatus and Method for Forming a Film
US9559302B2 (en) 1999-12-27 2017-01-31 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a display device
US8968823B2 (en) 1999-12-27 2015-03-03 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a light emitting device
US20040229459A1 (en) * 1999-12-30 2004-11-18 Yu Jick M. Integration of annealing capability into metal deposition or CMP tool
EP1120474A1 (en) * 2000-01-26 2001-08-01 Applied Materials, Inc. Method for plasma-enhanced chemical vapor deposition of a metal nitride layer
US6461437B1 (en) * 2000-01-26 2002-10-08 Mitsubishi Denki Kabushiki Kaisha Apparatus used for fabricating liquid crystal device and method of fabricating the same
US6656831B1 (en) 2000-01-26 2003-12-02 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of a metal nitride layer
US6861027B2 (en) 2000-02-09 2005-03-01 Semitool, Inc. Method and apparatus for processing a microelectronic workpiece including an apparatus and method for executing a processing step at an elevated temperature
US6471913B1 (en) 2000-02-09 2002-10-29 Semitool, Inc. Method and apparatus for processing a microelectronic workpiece including an apparatus and method for executing a processing step at an elevated temperature
US20070237609A1 (en) * 2000-03-16 2007-10-11 Applied Materials, Inc. Apparatus for storing and moving a cassette
US20060029489A1 (en) * 2000-03-16 2006-02-09 Applied Materials, Inc. Apparatus for storing and moving a cassette
US7234908B2 (en) 2000-03-16 2007-06-26 Applied Materials, Inc. Apparatus for storing and moving a cassette
US7462384B2 (en) 2000-03-27 2008-12-09 Semiconductor Energy Laboratory Co., Ltd. Self-light emitting device and method of manufacturing the same
US7038836B2 (en) 2000-03-27 2006-05-02 Semiconductor Energy Laboratory Co., Ltd. Self-light emitting device and method of manufacturing the same
US20050030612A1 (en) * 2000-03-27 2005-02-10 Semiconductor Energy Laboratory Co., Ltd. Self-light emitting device and method of manufacturing the same
US20060104045A1 (en) * 2000-03-27 2006-05-18 Semiconductor Energy Laboratory Co., Ltd. Self-light emitting device and method of manufacturing the same
US6781746B2 (en) 2000-03-27 2004-08-24 Semiconductor Energy Laboratory Co., Ltd. Self-light emitting device and method of manufacturing the same
US7208411B2 (en) 2000-04-25 2007-04-24 Tokyo Electron Limited Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
US20040229449A1 (en) * 2000-04-25 2004-11-18 Biberger Maximilian A. Method of depositing metal film and metal deposition cluster tool including supercritical drying/cleaning module
US6508883B1 (en) * 2000-04-29 2003-01-21 Advanced Technology Materials, Inc. Throughput enhancement for single wafer reactor
MY119887A (en) * 2000-04-29 2005-07-29 Internat Rectifier Corportion Throughput enhancement for single wafer reactor
WO2001083333A1 (en) * 2000-04-29 2001-11-08 Advanced Technology Materials, Inc. Throughput enhancement for single wafer reactor
US8815331B2 (en) 2000-05-02 2014-08-26 Semiconductor Energy Laboratory Co., Ltd. Film-forming apparatus, method of cleaning the same, and method of manufacturing a light-emitting device
US20100159124A1 (en) * 2000-05-02 2010-06-24 Semiconductor Energy Laboratory Co., Ltd. Film-forming apparatus, method of cleaning the same, and method of manufacturing a light-emitting device
US20020009538A1 (en) * 2000-05-12 2002-01-24 Yasuyuki Arai Method of manufacturing a light-emitting device
US7517551B2 (en) 2000-05-12 2009-04-14 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a light-emitting device
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7501344B2 (en) 2000-06-27 2009-03-10 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7208413B2 (en) 2000-06-27 2007-04-24 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7501343B2 (en) 2000-06-27 2009-03-10 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7235486B2 (en) 2000-06-28 2007-06-26 Applied Materials, Inc. Method for forming tungsten materials during vapor deposition processes
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7745333B2 (en) 2000-06-28 2010-06-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7846840B2 (en) 2000-06-28 2010-12-07 Applied Materials, Inc. Method for forming tungsten materials during vapor deposition processes
US7033922B2 (en) 2000-06-28 2006-04-25 Applied Materials. Inc. Method and system for controlling the presence of fluorine in refractory metal layers
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7674715B2 (en) 2000-06-28 2010-03-09 Applied Materials, Inc. Method for forming tungsten materials during vapor deposition processes
US6855368B1 (en) 2000-06-28 2005-02-15 Applied Materials, Inc. Method and system for controlling the presence of fluorine in refractory metal layers
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7115494B2 (en) 2000-06-28 2006-10-03 Applied Materials, Inc. Method and system for controlling the presence of fluorine in refractory metal layers
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7465666B2 (en) 2000-06-28 2008-12-16 Applied Materials, Inc. Method for forming tungsten materials during vapor deposition processes
US7102763B2 (en) 2000-07-08 2006-09-05 Semitool, Inc. Methods and apparatus for processing microelectronic workpieces using metrology
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US7255772B2 (en) 2000-07-26 2007-08-14 Tokyo Electron Limited High pressure processing chamber for semiconductor substrate
US6921456B2 (en) 2000-07-26 2005-07-26 Tokyo Electron Limited High pressure processing chamber for semiconductor substrate
US20020046707A1 (en) * 2000-07-26 2002-04-25 Biberger Maximilian A. High pressure processing chamber for semiconductor substrate
US6530733B2 (en) 2000-07-27 2003-03-11 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6821912B2 (en) 2000-07-27 2004-11-23 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6682288B2 (en) 2000-07-27 2004-01-27 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
US6562715B1 (en) 2000-08-09 2003-05-13 Applied Materials, Inc. Barrier layer structure for copper metallization and method of forming the structure
US6413380B1 (en) 2000-08-14 2002-07-02 International Business Machines Corporation Method and apparatus for providing deposited layer structures and articles so produced
US6541353B1 (en) * 2000-08-31 2003-04-01 Micron Technology, Inc. Atomic layer doping apparatus and method
US6746934B2 (en) * 2000-08-31 2004-06-08 Micron Technology, Inc. Atomic layer doping apparatus and method
US20020030443A1 (en) * 2000-09-08 2002-03-14 Toshimitsu Konuma Light emitting device, method of manufacturing the same, and thin film forming apparatus
US20090155941A1 (en) * 2000-09-08 2009-06-18 Semiconductor Energy Laboratory Co., Ltd. Light emitting device and method of manufacturing method thereof and thin film forming apparatus
US7462372B2 (en) 2000-09-08 2008-12-09 Semiconductor Energy Laboratory Co., Ltd. Light emitting device, method of manufacturing the same, and thin film forming apparatus
US7744949B2 (en) 2000-09-08 2010-06-29 Semiconductor Energy Laboratory Co., Ltd. Light emitting device and method of manufacturing method thereof and thin film forming apparatus
US7018504B1 (en) 2000-09-11 2006-03-28 Asm America, Inc. Loadlock with integrated pre-clean chamber
US6549825B2 (en) * 2000-09-14 2003-04-15 Olympus Optical Co., Ltd. Alignment apparatus
US20020034886A1 (en) * 2000-09-15 2002-03-21 Applied Materials, Inc. Double dual slot load lock for process equipment
US7105463B2 (en) 2000-09-15 2006-09-12 Applied Materials, Inc. Load lock chamber having two dual slot regions
US20030209324A1 (en) * 2000-10-16 2003-11-13 Fink Steven T. Plasma reactor with reduced reaction chamber
US6949450B2 (en) * 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6780374B2 (en) 2000-12-08 2004-08-24 Semitool, Inc. Method and apparatus for processing a microelectronic workpiece at an elevated temperature
US6998579B2 (en) 2000-12-29 2006-02-14 Applied Materials, Inc. Chamber for uniform substrate heating
US7022948B2 (en) 2000-12-29 2006-04-04 Applied Materials, Inc. Chamber for uniform substrate heating
US20030132213A1 (en) * 2000-12-29 2003-07-17 Kim Sam H. Apparatus and method for uniform substrate heating and contaminate collection
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US20040255861A1 (en) * 2000-12-29 2004-12-23 Quanyuan Shang Chamber for uniform substrate heating
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6415843B1 (en) 2001-01-10 2002-07-09 Anadigics, Inc. Spatula for separation of thinned wafer from mounting carrier
US20040110329A1 (en) * 2001-01-20 2004-06-10 Seung Ki Joo Method and apparatus for fabricating thin film transistor including crystalline active layer
US8114789B2 (en) 2001-02-02 2012-02-14 Applied Materials, Inc. Formation of a tantalum-nitride layer
US9012334B2 (en) 2001-02-02 2015-04-21 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US7094680B2 (en) 2001-02-02 2006-08-22 Applied Materials, Inc. Formation of a tantalum-nitride layer
US7781326B2 (en) 2001-02-02 2010-08-24 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6723213B2 (en) * 2001-03-01 2004-04-20 Vacuum Metallurgical Co., Ltd. Titanium target assembly for sputtering and method for preparing the same
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US9587310B2 (en) 2001-03-02 2017-03-07 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20040143370A1 (en) * 2001-03-07 2004-07-22 Siqing Lu Valve control system for atomic layer deposition chamber
US7201803B2 (en) 2001-03-07 2007-04-10 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US6518193B1 (en) * 2001-03-09 2003-02-11 Lsi Logic Corporation Substrate processing system
US20020132047A1 (en) * 2001-03-12 2002-09-19 Shunpei Yamazaki Film forming apparatus and film forming method
US6946406B2 (en) 2001-03-12 2005-09-20 Semiconductor Energy Laboratory Co., Ltd. Film forming apparatus and film forming method
US7481889B2 (en) 2001-03-12 2009-01-27 Semiconductor Energy Laboratory Co., Ltd. Film forming apparatus and film forming method
US20050268854A1 (en) * 2001-03-12 2005-12-08 Semiconductor Energy Laboratory Co., Ltd., A Japan Corporation Film forming apparatus and film forming method
US20030207522A1 (en) * 2001-03-13 2003-11-06 Jong-Myeong Lee Wafer processing apparatus and wafer processing method using the same
US6586340B2 (en) 2001-03-13 2003-07-01 Samsung Electronics Co., Ltd. Wafer processing apparatus and wafer processing method using the same
US6849555B2 (en) 2001-03-13 2005-02-01 Samsung Electronics Co., Ltd. Wafer processing apparatus and wafer processing method using the same
US6709721B2 (en) 2001-03-28 2004-03-23 Applied Materials Inc. Purge heater design and process development for the improvement of low k film properties
US20040132399A1 (en) * 2001-03-29 2004-07-08 Kazuyoshi Yasukawa Production system
US20040055636A1 (en) * 2001-06-29 2004-03-25 Applied Materials Inc. Method and apparatus for fluid flow control
US6591850B2 (en) 2001-06-29 2003-07-15 Applied Materials, Inc. Method and apparatus for fluid flow control
US20060188359A1 (en) * 2001-07-02 2006-08-24 Talmer Mark A Fast swap dual substrate transport for load lock
US8231322B2 (en) * 2001-07-02 2012-07-31 Brooks Automation, Inc. Fast swap dual substrate transport for load lock
US20080253868A1 (en) * 2001-07-02 2008-10-16 Talmer Mark A Fast swap dual substrate transport for load lock
US6918731B2 (en) * 2001-07-02 2005-07-19 Brooks Automation, Incorporated Fast swap dual substrate transport for load lock
US20030002958A1 (en) * 2001-07-02 2003-01-02 Talmer Mark A. Fast swap dual substrate transport for load lock
US9859140B2 (en) * 2001-07-02 2018-01-02 Brooks Automation, Inc. Fast swap dual substrate transport for load lock
US20130028689A1 (en) * 2001-07-02 2013-01-31 Talmer Mark A Fast swap dual substrate transport for load lock
US7374386B2 (en) 2001-07-02 2008-05-20 Brooks Automation, Inc. Fast swap dual substrate transport for load lock
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US7695563B2 (en) 2001-07-13 2010-04-13 Applied Materials, Inc. Pulsed deposition process for tungsten nucleation
US10280509B2 (en) 2001-07-16 2019-05-07 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US7238552B2 (en) 2001-07-16 2007-07-03 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6579425B2 (en) * 2001-07-16 2003-06-17 Sharp Laboratories Of America, Inc. System and method for forming base coat and thin film layers by sequential sputter depositing
US7905959B2 (en) 2001-07-16 2011-03-15 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20030013300A1 (en) * 2001-07-16 2003-01-16 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7611990B2 (en) 2001-07-25 2009-11-03 Applied Materials, Inc. Deposition methods for barrier and tungsten materials
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US7416979B2 (en) 2001-07-25 2008-08-26 Applied Materials, Inc. Deposition methods for barrier and tungsten materials
US8187970B2 (en) 2001-07-25 2012-05-29 Applied Materials, Inc. Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US8563424B2 (en) 2001-07-25 2013-10-22 Applied Materials, Inc. Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US9209074B2 (en) 2001-07-25 2015-12-08 Applied Materials, Inc. Cobalt deposition on barrier surfaces
WO2003080887A3 (en) * 2001-07-25 2004-08-26 Applied Materials Inc Methods and apparatus for annealing in physical vapor deposition systems
WO2003080887A2 (en) * 2001-07-25 2003-10-02 Applied Materials, Inc. Methods and apparatus for annealing in physical vapor deposition systems
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US20040026041A1 (en) * 2001-08-08 2004-02-12 Takayuki Yamagishi Semiconductor-processing reaction chamber
US6955741B2 (en) 2001-08-08 2005-10-18 Asm Japan K.K. Semiconductor-processing reaction chamber
US20080044259A1 (en) * 2001-09-21 2008-02-21 Shinichi Kurita Double dual slot load lock chamber
US7316966B2 (en) 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
US20060182615A1 (en) * 2001-09-21 2006-08-17 Shinichi Kurita Method for transferring substrates in a load lock chamber
US8616820B2 (en) 2001-09-21 2013-12-31 Applied Materials, Inc. Double dual slot load lock chamber
US7049226B2 (en) 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US7352048B2 (en) 2001-09-26 2008-04-01 Applied Materials, Inc. Integration of barrier layer and seed layer
US7494908B2 (en) 2001-09-26 2009-02-24 Applied Materials, Inc. Apparatus for integration of barrier layer and seed layer
US6936906B2 (en) 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US20040040660A1 (en) * 2001-10-03 2004-03-04 Biberger Maximilian Albert High pressure processing chamber for multiple semiconductor substrates
US6604853B2 (en) * 2001-10-11 2003-08-12 Taiwan Semiconductor Manufacturing Co., Ltd Accelerated thermal stress cycle test
US8668776B2 (en) 2001-10-26 2014-03-11 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7780788B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US20030084918A1 (en) * 2001-11-07 2003-05-08 Kim Yong Bae Integrated dry-wet processing apparatus and method for removing material on semiconductor wafers using dry-wet processes
US20050136591A1 (en) * 2001-11-29 2005-06-23 Jae-Hyuck An Method of and apparatus for performing sequential processes requiring different amounts of time in the manufacturing of semiconductor devices
US7223702B2 (en) 2001-11-29 2007-05-29 Samsung Electronics Co., Ltd. Method of and apparatus for performing sequential processes requiring different amounts of time in the manufacturing of semiconductor devices
US20050042889A1 (en) * 2001-12-14 2005-02-24 Albert Lee Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6814573B2 (en) * 2001-12-14 2004-11-09 Jh Corporation Vacuum heat-treatment apparatus
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US7157384B2 (en) 2001-12-14 2007-01-02 Applied Materials, Inc. Low dielectric (low k) barrier films with oxygen doping by plasma-enhanced chemical vapor deposition (PECVD)
US7151053B2 (en) 2001-12-14 2006-12-19 Applied Materials, Inc. Method of depositing dielectric materials including oxygen-doped silicon carbide in damascene applications
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6838393B2 (en) 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US20030116894A1 (en) * 2001-12-26 2003-06-26 Korwin Michel J. Multi-cell thermal processing unit
US6902635B2 (en) * 2001-12-26 2005-06-07 Nitrex Metal Inc. Multi-cell thermal processing unit
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US7732325B2 (en) 2002-01-26 2010-06-08 Applied Materials, Inc. Plasma-enhanced cyclic layer deposition process for barrier layers
US7473638B2 (en) 2002-01-26 2009-01-06 Applied Materials, Inc. Plasma-enhanced cyclic layer deposition process for barrier layers
US7094685B2 (en) 2002-01-26 2006-08-22 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US7779784B2 (en) 2002-01-26 2010-08-24 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US7021881B2 (en) 2002-02-08 2006-04-04 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
US20030152445A1 (en) * 2002-02-08 2003-08-14 Takayuki Yamagishi Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
US6899507B2 (en) 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
US6777352B2 (en) 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US7001468B1 (en) 2002-02-15 2006-02-21 Tokyo Electron Limited Pressure energized pressure vessel opening and closing device and method of providing therefor
US9551063B2 (en) 2002-02-25 2017-01-24 Semiconductor Energy Laboratory Co., Ltd. Fabrication system and a fabrication method of a light emitting device
US20090074952A1 (en) * 2002-02-25 2009-03-19 Semiconductor Energy Laboratory Co., Ltd. Fabrication System and a Fabrication Method of a Light Emitting Device
US20030162314A1 (en) * 2002-02-25 2003-08-28 Shunpei Yamazaki Fabrication system and a fabrication method of light emitting device
US7429516B2 (en) 2002-02-26 2008-09-30 Applied Materials, Inc. Tungsten nitride atomic layer deposition processes
US7341945B2 (en) * 2002-02-26 2008-03-11 Nec Electronics Corporation Method of fabricating semiconductor device
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US20040043609A1 (en) * 2002-02-26 2004-03-04 Yoshiaki Yamamoto Method of fabricating semiconductor device
US7745329B2 (en) 2002-02-26 2010-06-29 Applied Materials, Inc. Tungsten nitride atomic layer deposition processes
US7115499B2 (en) 2002-02-26 2006-10-03 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US7387868B2 (en) 2002-03-04 2008-06-17 Tokyo Electron Limited Treatment of a dielectric layer using supercritical CO2
US7439191B2 (en) 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US20030189232A1 (en) * 2002-04-09 2003-10-09 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US6869838B2 (en) 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US6875271B2 (en) 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US20080282984A1 (en) * 2002-04-15 2008-11-20 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating light-emitting device and apparatus for manufacturing light-emitting device
US9209427B2 (en) 2002-04-15 2015-12-08 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating light-emitting device and apparatus for manufacturing light-emitting device
US7309269B2 (en) 2002-04-15 2007-12-18 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating light-emitting device and apparatus for manufacturing light-emitting device
US20030194484A1 (en) * 2002-04-15 2003-10-16 Semiconductor Engergy Laboratory Co., Ltd. Method of fabricating light-emitting device and apparatus for manufacturing light-emitting device
US7867914B2 (en) 2002-04-16 2011-01-11 Applied Materials, Inc. System and method for forming an integrated barrier layer
US6838397B2 (en) * 2002-05-17 2005-01-04 Semiconductor Energy Laboratory Co., Ltd. Silicon nitride film, and semiconductor device and method of manufacturing the same
US7893439B2 (en) 2002-05-17 2011-02-22 Semiconductor Energy Laboratory Co., Ltd. Silicon nitride film and semiconductor device
US20110095292A1 (en) * 2002-05-17 2011-04-28 Semiconductor Energy Laboratory Co., Ltd. Silicon nitride film, and semiconductor device and method of manufacturing the same
US9847355B2 (en) 2002-05-17 2017-12-19 Semiconductor Energy Laboratory Co., Ltd. Silicon nitride film, and semiconductor device
US20040031442A1 (en) * 2002-05-17 2004-02-19 Semiconductor Energy Laboratory Co., Ltd. Evaporation method, evaporation device and method of fabricating light emitting device
US7335918B2 (en) 2002-05-17 2008-02-26 Semiconductor Energy Laboratory Co., Ltd. Silicon nitride film and semiconductor device, and manufacturing method thereof
US20050106898A1 (en) * 2002-05-17 2005-05-19 Semiconductor Energy Laboratory Co., Ltd. Silicon nitride film and semiconductor device, and manufacturing method thereof
US8866144B2 (en) 2002-05-17 2014-10-21 Semiconductor Energy Laboratory Co., Ltd. Thin film semiconductor device having silicon nitride film
US20040099915A1 (en) * 2002-05-17 2004-05-27 Toru Takayama Silicon nitride film, and semiconductor device and method of manufacturing the same
US8206507B2 (en) 2002-05-17 2012-06-26 Semiconductor Energy Laboratory Co., Ltd. Evaporation method, evaporation device and method of fabricating light emitting device
US20090269486A1 (en) * 2002-05-17 2009-10-29 Semiconductor Energy Laboratory Co., Ltd. Evaporation method, evaporation device and method of fabricating light emitting device
US20030221620A1 (en) * 2002-06-03 2003-12-04 Semiconductor Energy Laboratory Co., Ltd. Vapor deposition device
US20160143156A1 (en) * 2002-07-01 2016-05-19 SemiGear, Inc Reflow treating unit and substrate treating apparatus
US20160143155A1 (en) * 2002-07-01 2016-05-19 Semigear, Inc. Reflow Treating Unit and Substrate Treating Apparatus
US9629258B2 (en) * 2002-07-01 2017-04-18 Semigear Inc. Reflow treating unit and substrate treating apparatus
US9572266B2 (en) * 2002-07-01 2017-02-14 Semigear, Inc. Reflow treating unit and substrate treating apparatus
US9226407B2 (en) * 2002-07-01 2015-12-29 Semigear Inc Reflow treating unit and substrate treating apparatus
US20150034700A1 (en) * 2002-07-01 2015-02-05 Semigear Inc Reflow treating unit & substrate treating apparatus
US8651789B2 (en) 2002-07-22 2014-02-18 Brooks Automation, Inc. Substrate processing apparatus
US20090162179A1 (en) * 2002-07-22 2009-06-25 Brooks Automation, Inc. Substrate processing apparatus
US8960099B2 (en) 2002-07-22 2015-02-24 Brooks Automation, Inc Substrate processing apparatus
US8827617B2 (en) 2002-07-22 2014-09-09 Brooks Automation Inc. Substrate processing apparatus
US20070183871A1 (en) * 2002-07-22 2007-08-09 Christopher Hofmeister Substrate processing apparatus
US20110232844A1 (en) * 2002-07-22 2011-09-29 Brooks Automation, Inc. Substrate processing apparatus
US20060285945A1 (en) * 2002-07-22 2006-12-21 Christopher Hofmeister Substrate processing apparatus
US9570330B2 (en) 2002-07-22 2017-02-14 Brooks Automation, Inc. Substrate processing apparatus
US7959395B2 (en) 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
US8371792B2 (en) 2002-07-22 2013-02-12 Brooks Automation, Inc. Substrate processing apparatus
US20060261341A1 (en) * 2002-08-02 2006-11-23 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method of the same
US7098087B2 (en) 2002-08-02 2006-08-29 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor device
US20040021177A1 (en) * 2002-08-02 2004-02-05 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method of the same
US20040077134A1 (en) * 2002-08-29 2004-04-22 Toru Takayama Manufacturing method for a semiconductor device and heat treatment method therefor
US7605023B2 (en) 2002-08-29 2009-10-20 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method for a semiconductor device and heat treatment method therefor
US8609476B2 (en) 2002-09-20 2013-12-17 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of light emitting device
US8168483B2 (en) 2002-09-20 2012-05-01 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method for light emitting device
US8377764B2 (en) 2002-09-20 2013-02-19 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method for light emitting device
US20040132293A1 (en) * 2002-09-20 2004-07-08 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device and heat treatment method
US20080124850A1 (en) * 2002-09-20 2008-05-29 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device and heat treatment method
US7943443B2 (en) 2002-09-20 2011-05-17 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of light-emitting device
US20040123804A1 (en) * 2002-09-20 2004-07-01 Semiconductor Energy Laboratory Co., Ltd. Fabrication system and manufacturing method of light emitting device
US20110217802A1 (en) * 2002-09-20 2011-09-08 Semiconductor Energy Laboratory Co., Ltd. Fabrication System and Manufacturing Method of Light Emitting Device
US7323368B2 (en) 2002-09-20 2008-01-29 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device and heat treatment method
US7608492B2 (en) 2002-09-20 2009-10-27 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device and heat treatment method
US20040062627A1 (en) * 2002-09-27 2004-04-01 Ravinder Aggarwal System for the improved handling of wafers within a process tool
US6696367B1 (en) 2002-09-27 2004-02-24 Asm America, Inc. System for the improved handling of wafers within a process tool
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040067308A1 (en) * 2002-10-07 2004-04-08 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US7749563B2 (en) 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US6722642B1 (en) 2002-11-06 2004-04-20 Tokyo Electron Limited High pressure compatible vacuum chuck for semiconductor wafer including lift mechanism
US20080038095A1 (en) * 2002-11-15 2008-02-14 Oc Oerlikon Balzers Ag Apparatus for vacuum treating two dimensionally extended substrates and method for manufacturing such substrates
US20040112409A1 (en) * 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
US7262133B2 (en) 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US20050042858A1 (en) * 2003-01-13 2005-02-24 Lihua Li Method of improving stability in low k barrier layers
US6790788B2 (en) 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US7049249B2 (en) 2003-01-13 2006-05-23 Applied Materials Method of improving stability in low k barrier layers
US7021635B2 (en) 2003-02-06 2006-04-04 Tokyo Electron Limited Vacuum chuck utilizing sintered material and method of providing thereof
US20040157420A1 (en) * 2003-02-06 2004-08-12 Supercritical Systems, Inc. Vacuum chuck utilizing sintered material and method of providing thereof
US20040154647A1 (en) * 2003-02-07 2004-08-12 Supercritical Systems, Inc. Method and apparatus of utilizing a coating for enhanced holding of a semiconductor substrate during high pressure processing
US7077917B2 (en) 2003-02-10 2006-07-18 Tokyo Electric Limited High-pressure processing chamber for a semiconductor wafer
US20050014370A1 (en) * 2003-02-10 2005-01-20 Supercritical Systems, Inc. High-pressure processing chamber for a semiconductor wafer
US7225820B2 (en) 2003-02-10 2007-06-05 Tokyo Electron Limited High-pressure processing chamber for a semiconductor wafer
US20040157463A1 (en) * 2003-02-10 2004-08-12 Supercritical Systems, Inc. High-pressure processing chamber for a semiconductor wafer
US20090170227A1 (en) * 2003-04-10 2009-07-02 Semiconductor Energy Laboratory Co., Ltd. Mask and container and manufacturing
US7270137B2 (en) 2003-04-28 2007-09-18 Tokyo Electron Limited Apparatus and method of securing a workpiece during high-pressure processing
US20070242281A1 (en) * 2003-05-16 2007-10-18 Asm America, Inc. Wafer edge with light sensor
US20040226390A1 (en) * 2003-05-16 2004-11-18 Beginski David A. Sensor signal transmission from processing system
US20040227111A1 (en) * 2003-05-16 2004-11-18 Beginski David A. High temperature wafer edge sensor
US7235806B2 (en) 2003-05-16 2007-06-26 Asm America, Inc. Wafer edge with light sensor
US6823753B1 (en) 2003-05-16 2004-11-30 Asm America, Inc. Sensor signal transmission from processing system
US20050155708A1 (en) * 2003-05-16 2005-07-21 Beginski David A. Sensor signal transmission from processing system
US7595263B2 (en) 2003-06-18 2009-09-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
US20040264044A1 (en) * 2003-06-24 2004-12-30 Shimadzu Corporation Composite coating device and method of forming overcoat on magnetic head using the same
US7862694B2 (en) * 2003-06-24 2011-01-04 Shimadzu Corporation Composite coating device and method of forming overcoat on magnetic head using the same
US8112171B2 (en) 2003-07-03 2012-02-07 Brooks Automation, Inc. Substrate apparatus calibration and synchronization procedure
US20120136471A1 (en) * 2003-07-03 2012-05-31 Brooks Automation, Inc. Substrate apparatus calibration and synchronization procedure
US6944517B2 (en) * 2003-07-03 2005-09-13 Brooks Automation, Inc. Substrate apparatus calibration and synchronization procedure
US20050004697A1 (en) * 2003-07-03 2005-01-06 Krupyshev Alexander G. Substrate apparatus calibration and synchronization procedure
US8509938B2 (en) * 2003-07-03 2013-08-13 Brooks Automation, Inc. Substrate apparatus calibration and synchronization procedure
US20050267620A1 (en) * 2003-07-03 2005-12-01 Krupyshev Alexander G Substrate apparatus calibration and synchronization procedure
US7100954B2 (en) 2003-07-11 2006-09-05 Nexx Systems, Inc. Ultra-thin wafer handling system
US20050025628A1 (en) * 2003-07-29 2005-02-03 Supercritical Systems, Inc. Control of fluid flow in the processing of an object with a fluid
US7163380B2 (en) 2003-07-29 2007-01-16 Tokyo Electron Limited Control of fluid flow in the processing of an object with a fluid
US20100166957A1 (en) * 2003-08-07 2010-07-01 Sundew Technologies, Llc Perimeter partition-valve with protected seals and associated small size process chambers and multiple chamber systems
US8252116B2 (en) 2003-08-07 2012-08-28 Sundew Technologies, Llc Perimeter partition-valve with protected seals and associated small size process chambers and multiple chamber systems
US7682454B2 (en) 2003-08-07 2010-03-23 Sundew Technologies, Llc Perimeter partition-valve with protected seals and associated small size process chambers and multiple chamber systems
US20070051312A1 (en) * 2003-08-07 2007-03-08 Ofer Sneh Perimeter partition-valve with protected seals and associated small size process chambers and multiple chamber systems
US20050035514A1 (en) * 2003-08-11 2005-02-17 Supercritical Systems, Inc. Vacuum chuck apparatus and method for holding a wafer during high pressure processing
US20050194096A1 (en) * 2003-08-29 2005-09-08 Crossing Automation, Inc. Method and apparatus for semiconductor processing
US20050067002A1 (en) * 2003-09-25 2005-03-31 Supercritical Systems, Inc. Processing chamber including a circulation loop integrally formed in a chamber housing
US20050072733A1 (en) * 2003-10-02 2005-04-07 Joseph Consolini Resist recovery method
US20050095088A1 (en) * 2003-10-20 2005-05-05 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US7651315B2 (en) 2003-10-20 2010-01-26 Applied Materials, Inc. Large area substrate transferring method for aligning with horizontal actuation of lever arm
US7207766B2 (en) 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US20070140814A1 (en) * 2003-10-20 2007-06-21 Shinichi Kurita Large area substrate transferring method
US7147720B2 (en) 2003-11-04 2006-12-12 Asm America, Inc. Non-contact cool-down station for wafers
US6883250B1 (en) 2003-11-04 2005-04-26 Asm America, Inc. Non-contact cool-down station for wafers
US20050145180A1 (en) * 2003-11-04 2005-07-07 Ravinder Aggarwal Non-contact cool-down station for wafers
US20050091992A1 (en) * 2003-11-04 2005-05-05 Ravinder Aggarwal Non-contact cool-down station for wafers
US20050100683A1 (en) * 2003-11-06 2005-05-12 Tokyo Electron Limited Method of improving post-develop photoresist profile on a deposited dielectric film
US7611758B2 (en) * 2003-11-06 2009-11-03 Tokyo Electron Limited Method of improving post-develop photoresist profile on a deposited dielectric film
US7988399B2 (en) 2003-11-10 2011-08-02 Brooks Automation, Inc. Mid-entry load lock for semiconductor handling system
US8439623B2 (en) 2003-11-10 2013-05-14 Brooks Automation, Inc. Linear semiconductor processing facilities
US9884726B2 (en) 2003-11-10 2018-02-06 Brooks Automation, Inc. Semiconductor wafer handling transport
US20080163096A1 (en) * 2003-11-10 2008-07-03 Pannese Patrick D Methods and systems for controlling a semiconductor fabrication process
US7959403B2 (en) 2003-11-10 2011-06-14 Van Der Meulen Peter Linear semiconductor processing facilities
US20050223837A1 (en) * 2003-11-10 2005-10-13 Blueshift Technologies, Inc. Methods and systems for driving robotic components of a semiconductor handling system
US8500388B2 (en) 2003-11-10 2013-08-06 Brooks Automation, Inc. Semiconductor wafer handling and transport
US7210246B2 (en) 2003-11-10 2007-05-01 Blueshift Technologies, Inc. Methods and systems for handling a workpiece in vacuum-based material handling system
US8672605B2 (en) 2003-11-10 2014-03-18 Brooks Automation, Inc. Semiconductor wafer handling and transport
US8807905B2 (en) 2003-11-10 2014-08-19 Brooks Automation, Inc. Linear semiconductor processing facilities
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US20050186063A1 (en) * 2003-11-13 2005-08-25 Applied Materials, Inc. Calibration of high speed loader to substrate transport system
US20080187419A1 (en) * 2003-11-13 2008-08-07 Applied Materials, Inc. Calibration of high speed loader to substrate transport system
US7912576B2 (en) 2003-11-13 2011-03-22 Applied Materials, Inc. Calibration of high speed loader to substrate transport system
US7433756B2 (en) 2003-11-13 2008-10-07 Applied Materials, Inc. Calibration of high speed loader to substrate transport system
US20090030547A1 (en) * 2003-11-13 2009-01-29 Applied Materials, Inc. Calibration of high speed loader to substrate transport system
WO2005054537A3 (en) * 2003-12-01 2009-03-26 Structured Materials Ind Inc System and method for forming multi-component films
WO2005054537A2 (en) * 2003-12-01 2005-06-16 Structured Materials Industries, Inc. System and method for forming multi-component films
US20070248515A1 (en) * 2003-12-01 2007-10-25 Tompa Gary S System and Method for Forming Multi-Component Films
US7351314B2 (en) 2003-12-05 2008-04-01 Semitool, Inc. Chambers, systems, and methods for electrochemically processing microfeature workpieces
US7351315B2 (en) 2003-12-05 2008-04-01 Semitool, Inc. Chambers, systems, and methods for electrochemically processing microfeature workpieces
US7754293B2 (en) * 2003-12-18 2010-07-13 Tokyo Electron Limited Film forming method
US20060234515A1 (en) * 2003-12-18 2006-10-19 Tokyo Electron Limited Film forming method
WO2005080627A1 (en) * 2004-02-17 2005-09-01 Engle George M Formation of photoconductive and photovoltaic films
US20050205110A1 (en) * 2004-02-26 2005-09-22 Applied Materials, Inc. Method for front end of line fabrication
US20080268645A1 (en) * 2004-02-26 2008-10-30 Chien-Teh Kao Method for front end of line fabrication
US20050221552A1 (en) * 2004-02-26 2005-10-06 Applied Materials, Inc. Substrate support for in-situ dry clean chamber for front end of line fabrication
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US7520957B2 (en) 2004-02-26 2009-04-21 Applied Materials, Inc. Lid assembly for front end of line fabrication
US20050218507A1 (en) * 2004-02-26 2005-10-06 Applied Materials, Inc. Lid assembly for front end of line fabrication
US20080160210A1 (en) * 2004-02-26 2008-07-03 Haichun Yang Passivation layer formation by plasma clean process to reduce native oxide growth
US7767024B2 (en) 2004-02-26 2010-08-03 Appplied Materials, Inc. Method for front end of line fabrication
US10593539B2 (en) 2004-02-26 2020-03-17 Applied Materials, Inc. Support assembly
US7396480B2 (en) 2004-02-26 2008-07-08 Applied Materials, Inc. Method for front end of line fabrication
US8343307B2 (en) 2004-02-26 2013-01-01 Applied Materials, Inc. Showerhead assembly
EP2787099A2 (en) 2004-02-26 2014-10-08 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7459404B2 (en) 2004-03-15 2008-12-02 Applied Materials, Inc. Adhesion improvement for low k dielectrics
US7030041B2 (en) 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7229911B2 (en) 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
US20050268848A1 (en) * 2004-04-28 2005-12-08 Nanodynamics, Inc Atomic layer deposition apparatus and process
US20050277302A1 (en) * 2004-05-28 2005-12-15 Nguyen Son V Advanced low dielectric constant barrier layers
US20060151735A1 (en) * 2004-06-14 2006-07-13 Jae-Chull Lee Curved slit valve door with flexible coupling
US7497414B2 (en) 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
US7250374B2 (en) 2004-06-30 2007-07-31 Tokyo Electron Limited System and method for processing a substrate using supercritical carbon dioxide processing
US20060086850A1 (en) * 2004-06-30 2006-04-27 Cohen Douglas J Lifting lid crusher
US7288205B2 (en) 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US20060024451A1 (en) * 2004-07-30 2006-02-02 Applied Materials Inc. Enhanced magnetic shielding for plasma-based semiconductor processing tool
US20070062449A1 (en) * 2004-07-30 2007-03-22 Applied Materials, Inc., A Delaware Corporation Enhanced magnetic shielding for plasma-based semiconductor processing tool
USRE43045E1 (en) * 2004-08-18 2011-12-27 Cree, Inc. Multi-chamber MOCVD growth apparatus for high performance/high throughput
US20060040475A1 (en) * 2004-08-18 2006-02-23 Emerson David T Multi-chamber MOCVD growth apparatus for high performance/high throughput
US7368368B2 (en) * 2004-08-18 2008-05-06 Cree, Inc. Multi-chamber MOCVD growth apparatus for high performance/high throughput
US7307019B2 (en) 2004-09-29 2007-12-11 Tokyo Electron Limited Method for supercritical carbon dioxide processing of fluoro-carbon films
US20060065189A1 (en) * 2004-09-30 2006-03-30 Darko Babic Method and system for homogenization of supercritical fluid in a high pressure processing system
US20060073041A1 (en) * 2004-10-05 2006-04-06 Supercritical Systems Inc. Temperature controlled high pressure pump
US7186093B2 (en) 2004-10-05 2007-03-06 Tokyo Electron Limited Method and apparatus for cooling motor bearings of a high pressure pump
US20070264840A1 (en) * 2004-10-15 2007-11-15 Hitachi Kokusai Electric Inc. Substrate Processing Apparatus and Method of Manufacturing Semiconductor Device
US7579276B2 (en) * 2004-10-15 2009-08-25 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method of manufacturing semiconductor device
US7491036B2 (en) 2004-11-12 2009-02-17 Tokyo Electron Limited Method and system for cooling a pump
US20060130971A1 (en) * 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
US7140393B2 (en) 2004-12-22 2006-11-28 Tokyo Electron Limited Non-contact shuttle valve for flow diversion in high pressure systems
US7434590B2 (en) 2004-12-22 2008-10-14 Tokyo Electron Limited Method and apparatus for clamping a substrate in a high pressure processing system
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7291565B2 (en) 2005-02-15 2007-11-06 Tokyo Electron Limited Method and system for treating a substrate with a high pressure fluid using fluorosilicic acid
US7435447B2 (en) 2005-02-15 2008-10-14 Tokyo Electron Limited Method and system for determining flow conditions in a high pressure processing system
US20060205192A1 (en) * 2005-03-09 2006-09-14 Varian Semiconductor Equipment Associates, Inc. Shallow-junction fabrication in semiconductor devices via plasma implantation and deposition
US20060215729A1 (en) * 2005-03-28 2006-09-28 Wuester Christopher D Process flow thermocouple
US7380984B2 (en) 2005-03-28 2008-06-03 Tokyo Electron Limited Process flow thermocouple
US7767145B2 (en) 2005-03-28 2010-08-03 Toyko Electron Limited High pressure fourier transform infrared cell
US20060216197A1 (en) * 2005-03-28 2006-09-28 Jones William D High pressure fourier transform infrared cell
US20060225772A1 (en) * 2005-03-29 2006-10-12 Jones William D Controlled pressure differential in a high-pressure processing chamber
US20060225811A1 (en) * 2005-03-30 2006-10-12 Alexei Sheydayi Gate valve for plus-atmospheric pressure semiconductor process vessels
US7494107B2 (en) 2005-03-30 2009-02-24 Supercritical Systems, Inc. Gate valve for plus-atmospheric pressure semiconductor process vessels
US20060251499A1 (en) * 2005-05-09 2006-11-09 Lunday Andrew P Linear substrate delivery system with intermediate carousel
US7789971B2 (en) 2005-05-13 2010-09-07 Tokyo Electron Limited Treatment of substrate using functionalizing agent in supercritical carbon dioxide
WO2006124966A3 (en) * 2005-05-17 2009-04-16 Applied Materials Inc Low temperature absorption layer deposition and high speed optical annealing system
WO2006124966A2 (en) * 2005-05-17 2006-11-23 Applied Materials, Inc. Low temperature absorption layer deposition and high speed optical annealing system
US20060260545A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature absorption layer deposition and high speed optical annealing system
US7422775B2 (en) 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US20060263158A1 (en) * 2005-05-18 2006-11-23 Chris Corso Hole saw with replaceable cutting tip
US7524383B2 (en) 2005-05-25 2009-04-28 Tokyo Electron Limited Method and system for passivating a processing chamber
US20070006936A1 (en) * 2005-07-07 2007-01-11 Applied Materials, Inc. Load lock chamber with substrate temperature regulation
US20090305500A1 (en) * 2005-07-18 2009-12-10 Applied Materials, Inc. Contact Clean by Remote Plasma and Repair of Silicide Surface
US9147578B2 (en) 2005-07-18 2015-09-29 Applied Materials, Inc. Contact clean by remote plasma and repair of silicide surface
US7867789B2 (en) 2005-07-18 2011-01-11 Applied Materials, Inc. Contact clean by remote plasma and repair of silicide surface
US20110104897A1 (en) * 2005-07-18 2011-05-05 Xinliang Lu Contact clean by remote plasma and repair of silicide surface
US7550381B2 (en) 2005-07-18 2009-06-23 Applied Materials, Inc. Contact clean by remote plasma and repair of silicide surface
US20070015360A1 (en) * 2005-07-18 2007-01-18 Applied Materials, Inc. Contact clean by remote plasma and repair of silicide surface
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US20070061031A1 (en) * 2005-09-14 2007-03-15 Lowrance Robert B Methods and apparatus for a band to band transfer module
US20070059144A1 (en) * 2005-09-14 2007-03-15 Applied Materials, Inc. Methods and apparatus for a transfer station
US7577487B2 (en) 2005-09-14 2009-08-18 Applied Materials, Inc. Methods and apparatus for a band to band transfer module
US8293167B2 (en) 2005-11-23 2012-10-23 Surface Combustion, Inc. Surface treatment of metallic articles in an atmospheric furnace
US20080302281A1 (en) * 2005-11-23 2008-12-11 Bernard William J Surface Treatment of Metallic Articles in an Atmospheric Furnace
US20070166133A1 (en) * 2006-01-13 2007-07-19 Applied Materials, Inc. Decoupled chamber body
US7845891B2 (en) 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
US20080202416A1 (en) * 2006-01-19 2008-08-28 Provencher Timothy J High temperature ALD inlet manifold
US8372201B2 (en) 2006-01-19 2013-02-12 Asm America, Inc. High temperature ALD inlet manifold
US7918938B2 (en) 2006-01-19 2011-04-05 Asm America, Inc. High temperature ALD inlet manifold
US20110162580A1 (en) * 2006-01-19 2011-07-07 Asm America, Inc. High temperature ald inlet manifold
US20070274810A1 (en) * 2006-05-26 2007-11-29 Holtkamp William H Linearly distributed semiconductor workpiece processing tool
US8398355B2 (en) 2006-05-26 2013-03-19 Brooks Automation, Inc. Linearly distributed semiconductor workpiece processing tool
US7665951B2 (en) 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US20070280816A1 (en) * 2006-06-02 2007-12-06 Shinichi Kurita Multiple slot load lock chamber and method of operation
US7845618B2 (en) 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
US20080087214A1 (en) * 2006-08-04 2008-04-17 Jae-Chull Lee Load lock chamber with decoupled slit valve door seal compartment
US8124907B2 (en) 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
US9691649B2 (en) 2006-09-19 2017-06-27 Brooks Automation, Inc. Linear vacuum robot with z motion and articulated arm
US8419341B2 (en) 2006-09-19 2013-04-16 Brooks Automation, Inc. Linear vacuum robot with Z motion and articulated arm
US7901539B2 (en) * 2006-09-19 2011-03-08 Intevac, Inc. Apparatus and methods for transporting and processing substrates
US20090078374A1 (en) * 2006-09-19 2009-03-26 Intevac, Inc. Apparatus and methods for transporting and processing substrates
US20100329827A1 (en) * 2006-09-19 2010-12-30 Gee Sun Hoey Linear vacuum robot with z motion and articulated arm
EP1965409A2 (en) 2006-09-19 2008-09-03 Intevac, Inc. Apparatus and methods for transporting and processing substrates
US8303764B2 (en) 2006-09-19 2012-11-06 Brooks Automation, Inc. Apparatus and methods for transporting and processing substrates
US20090191030A1 (en) * 2006-09-19 2009-07-30 Intevac, Inc. Apparatus and methods for transporting and processing substrates
US8293066B2 (en) 2006-09-19 2012-10-23 Brooks Automation, Inc. Apparatus and methods for transporting and processing substrates
US10204810B2 (en) 2006-09-19 2019-02-12 Brooks Automation, Inc. Linear vacuum robot with Z motion and articulated arm
US20110158773A1 (en) * 2006-09-19 2011-06-30 Intevac, Inc. Apparatus and methods for transporting and processing substrates
US9524896B2 (en) 2006-09-19 2016-12-20 Brooks Automation Inc. Apparatus and methods for transporting and processing substrates
US20080066678A1 (en) * 2006-09-19 2008-03-20 Intevac Inc. Apparatus and methods for transporting and processing substrates
US20080138176A1 (en) * 2006-12-12 2008-06-12 Semes Co., Ltd. Apparatus for manufacturing semiconductor device
US20080190364A1 (en) * 2007-02-13 2008-08-14 Applied Materials, Inc. Substrate support assembly
US8945308B2 (en) * 2007-05-09 2015-02-03 Applied Materials, Inc. Transfer chamber with vacuum extension for shutter disks
TWI553769B (en) * 2007-05-09 2016-10-11 應用材料股份有限公司 Transfer chamber with vacuum extension for shutter disks
US20120325140A1 (en) * 2007-05-09 2012-12-27 Applied Materials, Inc. Transfer chamber with vacuum extension for shutter disks
US20080276867A1 (en) * 2007-05-09 2008-11-13 Jason Schaller Transfer chamber with vacuum extension for shutter disks
TWI474426B (en) * 2007-05-09 2015-02-21 Applied Materials Inc Transfer chamber with vacuum extension for shutter disks
TWI423373B (en) * 2007-10-19 2014-01-11 Tokyo Electron Ltd Workpiece transfer mechanism, workpiece transfer method and workpiece processing system
US20090101472A1 (en) * 2007-10-19 2009-04-23 Tokyo Electron Limited Workpiece transfer mechanism, workpiece transfer method and workpiece processing system
US8181769B2 (en) * 2007-10-19 2012-05-22 Tokyo Electron Limited Workpiece transfer mechanism, workpiece transfer method and workpiece processing system
US20090155452A1 (en) * 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Thin film deposition apparatus and method thereof
US8347813B2 (en) 2007-12-13 2013-01-08 Asm Genitech Korea Ltd. Thin film deposition apparatus and method thereof
US20090217871A1 (en) * 2008-02-28 2009-09-03 Asm Genitech Korea Ltd. Thin film deposition apparatus and method of maintaining the same
US8273178B2 (en) 2008-02-28 2012-09-25 Asm Genitech Korea Ltd. Thin film deposition apparatus and method of maintaining the same
US9728379B2 (en) * 2008-09-16 2017-08-08 Beijing Nmc Co., Ltd. Plasma processing apparatus
US20110162801A1 (en) * 2008-09-16 2011-07-07 Beijing Nmc Co., Ltd. Plasma processing apparatus
EP2187433A1 (en) 2008-11-12 2010-05-19 Intevac, Inc. Apparatus and method for transporting and processing substrates
US20100183825A1 (en) * 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
WO2010083856A1 (en) * 2009-01-21 2010-07-29 Oerlikon Trading Ag, Trübbach Vacuum chamber for coating installations and method for producing a vacuum chamber for coating installations
US20110265711A1 (en) * 2009-01-21 2011-11-03 Oerlikon Trading Ag, Trubbach Vacuum chamber for coating installations and method for producing a vacuum chamber for coating installations
CN102292149A (en) * 2009-01-21 2011-12-21 欧瑞康贸易股份公司(特吕巴赫) Vacuum chamber for coating installations and method for producing a vacuum chamber for coating installations
US8927435B2 (en) 2009-01-28 2015-01-06 Asm America, Inc. Load lock having secondary isolation chamber
US20100190343A1 (en) * 2009-01-28 2010-07-29 Asm America, Inc. Load lock having secondary isolation chamber
US8440048B2 (en) 2009-01-28 2013-05-14 Asm America, Inc. Load lock having secondary isolation chamber
WO2010094802A1 (en) * 2009-02-22 2010-08-26 Mapper Lithography Ip B.V. Preparation unit for lithogrpahy machine
USRE49725E1 (en) 2009-02-22 2023-11-14 Asml Netherlands B.V. Method and arrangement for handling and processing substrates
US10078274B2 (en) 2009-02-22 2018-09-18 Mapper Lithography Ip B.V. Method and arrangement for handling and processing substrates
US8436324B2 (en) 2009-02-22 2013-05-07 Mapper Lithography Ip B.V. Preparation unit for lithography machine
US9117631B2 (en) 2009-02-22 2015-08-25 Mapper Lithography Ip B.V. Method of handling a substrate support structure in a lithography system
US20100238421A1 (en) * 2009-02-22 2010-09-23 Mapper Lithography Ip B.V. Preparation Unit for Lithography Machine
JP2010199517A (en) * 2009-02-27 2010-09-09 Intevac Inc Substrate carrying and processing apparatus and method
US8215890B2 (en) * 2009-03-12 2012-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor wafer robot alignment system and method
US20100234992A1 (en) * 2009-03-12 2010-09-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor wafer robot alignment system and method
US9477129B2 (en) 2009-03-31 2016-10-25 View, Inc. Fabrication of low defectivity electrochromic devices
US20100243427A1 (en) * 2009-03-31 2010-09-30 Soladigm, Inc. Fabrication of low defectivity electrochromic devices
US9429809B2 (en) 2009-03-31 2016-08-30 View, Inc. Fabrication of low defectivity electrochromic devices
US9904138B2 (en) 2009-03-31 2018-02-27 View, Inc. Fabrication of low defectivity electrochromic devices
US9664974B2 (en) 2009-03-31 2017-05-30 View, Inc. Fabrication of low defectivity electrochromic devices
WO2010148584A1 (en) * 2009-06-26 2010-12-29 东莞宏威数码机械有限公司 Cluster transmission equipment
US20110038692A1 (en) * 2009-08-17 2011-02-17 Brooks Automation, Inc. Substrate processing apparatus
US8602706B2 (en) 2009-08-17 2013-12-10 Brooks Automation, Inc. Substrate processing apparatus
US8486777B2 (en) 2009-10-23 2013-07-16 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing microcrystalline semiconductor and thin film transistor
TWI458612B (en) * 2009-11-10 2014-11-01 Intevac Inc Linear vacuum robot with z motion and articulated arm
US9754800B2 (en) 2010-05-27 2017-09-05 Applied Materials, Inc. Selective etch for silicon films
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8895116B2 (en) 2010-11-04 2014-11-25 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of crystalline semiconductor film and manufacturing method of semiconductor device
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US11171008B2 (en) * 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US9575418B2 (en) 2011-04-28 2017-02-21 Mapper Lithography Ip B.V. Apparatus for transferring a substrate in a lithography system
USRE48903E1 (en) 2011-04-28 2022-01-25 Asml Netherlands B.V. Apparatus for transferring a substrate in a lithography system
US9236266B2 (en) 2011-08-01 2016-01-12 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8897906B2 (en) * 2011-08-23 2014-11-25 Hitachi High-Technologies Corporation Wafer processing based on sensor detection and system learning
US20130053997A1 (en) * 2011-08-23 2013-02-28 Tomohiro Ohashi Vacuum processing apparatus and vacuum processing method
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US9012302B2 (en) 2011-09-26 2015-04-21 Applied Materials, Inc. Intrench profile
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9418858B2 (en) 2011-10-07 2016-08-16 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US20170121818A1 (en) 2011-10-28 2017-05-04 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US10370761B2 (en) 2011-10-28 2019-08-06 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US8975152B2 (en) 2011-11-08 2015-03-10 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US10749068B2 (en) 2011-11-18 2020-08-18 First Solar, Inc. Vapor transport deposition method and system for material co-deposition
US9490120B2 (en) 2011-11-18 2016-11-08 First Solar, Inc. Vapor transport deposition method and system for material co-deposition
US10147838B2 (en) 2011-11-18 2018-12-04 First Solar, Inc. Vapor transport deposition method and system for material co-deposition
US9454053B2 (en) 2011-12-12 2016-09-27 View, Inc. Thin-film devices and fabrication
US11208722B2 (en) 2011-12-27 2021-12-28 Asm Ip Holding B.V. Vapor flow control apparatus for atomic layer deposition
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
DE102012103295A1 (en) 2012-01-09 2013-07-11 Aixtron Se Device useful for coating semiconductor substrates, comprises processing unit, which is centrally arranged transfer module, loading- or unloading interface, power modules comprising a gas mixing system, pipelines, and a service space
US20140053700A1 (en) * 2012-01-17 2014-02-27 Beijing Boe Display Technology Co., Ltd. Cutting Device
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US20140080304A1 (en) * 2012-09-14 2014-03-20 Stmicroelectronics, Inc. Integrated tool for semiconductor manufacturing
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9887096B2 (en) 2012-09-17 2018-02-06 Applied Materials, Inc. Differential silicon oxide etch
US9437451B2 (en) 2012-09-18 2016-09-06 Applied Materials, Inc. Radical-component oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US9384997B2 (en) 2012-11-20 2016-07-05 Applied Materials, Inc. Dry-etch selectivity
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9412608B2 (en) 2012-11-30 2016-08-09 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9355863B2 (en) 2012-12-18 2016-05-31 Applied Materials, Inc. Non-local plasma oxide etch
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9449845B2 (en) 2012-12-21 2016-09-20 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US9093390B2 (en) 2013-03-07 2015-07-28 Applied Materials, Inc. Conformal oxide dry etch
US20140250651A1 (en) * 2013-03-07 2014-09-11 Cosmetic Laboratories Of America, Llc Article assembly apparatus having rotary article pick and place
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9704723B2 (en) 2013-03-15 2017-07-11 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9093371B2 (en) 2013-03-15 2015-07-28 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9023732B2 (en) 2013-03-15 2015-05-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9449850B2 (en) 2013-03-15 2016-09-20 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9153442B2 (en) 2013-03-15 2015-10-06 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9991134B2 (en) 2013-03-15 2018-06-05 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9184055B2 (en) 2013-03-15 2015-11-10 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9659792B2 (en) 2013-03-15 2017-05-23 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US20150034699A1 (en) * 2013-08-01 2015-02-05 Semigear Inc Reflow treating unit & substrate treating apparatus
US20150034702A1 (en) * 2013-08-01 2015-02-05 Semigear Inc Apparatus & method for treating substrate
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9209012B2 (en) 2013-09-16 2015-12-08 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9299582B2 (en) 2013-11-12 2016-03-29 Applied Materials, Inc. Selective etch for metal-containing materials
US9472417B2 (en) 2013-11-12 2016-10-18 Applied Materials, Inc. Plasma-free metal etch
US9711366B2 (en) 2013-11-12 2017-07-18 Applied Materials, Inc. Selective etch for metal-containing materials
US11821953B2 (en) 2013-11-13 2023-11-21 Brooks Automation Us, Llc Method and apparatus for brushless electrical machine control
US9948155B2 (en) 2013-11-13 2018-04-17 Brooks Automation, Inc. Sealed robot drive
US10564221B2 (en) 2013-11-13 2020-02-18 Brooks Automation, Inc. Method and apparatus for brushless electrical machine control
US11181582B2 (en) 2013-11-13 2021-11-23 Brooks Automation, Inc. Method and apparatus for brushless electrical machine control
US10742092B2 (en) 2013-11-13 2020-08-11 Brooks Automation, Inc. Position feedback for sealed environments
US10468936B2 (en) 2013-11-13 2019-11-05 Brooks Automation, Inc. Sealed robot drive
US11799346B2 (en) 2013-11-13 2023-10-24 Brooks Automation Us, Llc Sealed robot drive
US10348172B2 (en) 2013-11-13 2019-07-09 Brooks Automation, Inc. Sealed switched reluctance motor
US11404939B2 (en) 2013-11-13 2022-08-02 Brooks Automation, US LLC Position feedback for sealed environments
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9472412B2 (en) 2013-12-02 2016-10-18 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9837249B2 (en) 2014-03-20 2017-12-05 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9564296B2 (en) 2014-03-20 2017-02-07 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9773695B2 (en) 2014-07-31 2017-09-26 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478432B2 (en) 2014-09-25 2016-10-25 Applied Materials, Inc. Silicon oxide selective removal
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10347516B2 (en) 2014-11-11 2019-07-09 Applied Materials, Inc. Substrate transfer chamber
US11302549B2 (en) 2014-11-11 2022-04-12 Applied Materials, Inc. Substrate vacuum transport and storage apparatus
WO2016077269A1 (en) * 2014-11-11 2016-05-19 Applied Materials, Inc. Substrate transfer chamber
US10087019B2 (en) * 2014-11-14 2018-10-02 Mapper Lithography Ip B.V. Load lock system and method for transferring substrates in a lithography system
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10283390B2 (en) * 2015-05-29 2019-05-07 Semes Co., Ltd. Apparatus for processing substrate
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US11377737B2 (en) 2016-06-01 2022-07-05 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10886142B2 (en) * 2016-11-21 2021-01-05 Beijing Naura Microelectronics Equipment Co., Ltd. Annealing method, process chamber and annealing apparatus
US20190259628A1 (en) * 2016-11-21 2019-08-22 Beijing Naura Microelectronics Equipment Co., Ltd. Annealing method, process chamber and annealing apparatus
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
WO2019161109A1 (en) * 2017-02-15 2019-08-22 Yield Engineering Systems Plasma spreading apparatus and system, and method for spreading plasma in process ovens
CN112368797A (en) * 2017-02-15 2021-02-12 良率工程系统公司 Plasma diffusion apparatus and system and method of diffusing plasma in a processing furnace
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10882151B2 (en) * 2019-02-14 2021-01-05 Okuma Corporation Automatic pallet changer in machine tool
US20200262016A1 (en) * 2019-02-14 2020-08-20 Okuma Corporation Automatic pallet changer in machine tool
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
US20200312637A1 (en) * 2019-03-29 2020-10-01 Tokyo Electron Limited Plasma processing apparatus and maintenance method thereof
US11830731B2 (en) 2019-10-22 2023-11-28 Asm Ip Holding B.V. Semiconductor deposition reactor manifolds
US11923729B2 (en) 2022-08-02 2024-03-05 Brook Automation US, LLC Position feedback for sealed environments

Similar Documents

Publication Publication Date Title
US4951601A (en) Multi-chamber integrated process system
US5292393A (en) Multichamber integrated process system
US5882165A (en) Multiple chamber integrated process system
EP0272141A2 (en) Multiple chamber integrated process system
US6314658B2 (en) Vacuum processing apparatus and operating method therefor
US20010038783A1 (en) Dual loading port semiconductor processing equipment
EP1109201A2 (en) Serial wafer handling mechanism
US20020081174A1 (en) Vacuum processing apparatus and a vacuum processing system
USRE39824E1 (en) Vacuum processing apparatus and operating method with wafers, substrates and/or semiconductors
JPH08117583A (en) Vacuum treatment apparatus
JPH11195689A (en) Plasma device

Legal Events

Date Code Title Description
STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12

REMI Maintenance fee reminder mailed