US5182232A - Metal silicide texturizing technique - Google Patents

Metal silicide texturizing technique Download PDF

Info

Publication number
US5182232A
US5182232A US07/793,031 US79303191A US5182232A US 5182232 A US5182232 A US 5182232A US 79303191 A US79303191 A US 79303191A US 5182232 A US5182232 A US 5182232A
Authority
US
United States
Prior art keywords
metal silicide
silicon
grain boundaries
polycrystalline silicon
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US07/793,031
Inventor
Navjot Chhabra
Gurtej S. Sandhu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Priority to US07/793,031 priority Critical patent/US5182232A/en
Assigned to MICRON TECHNOLOGY, INC., A DE CORP. reassignment MICRON TECHNOLOGY, INC., A DE CORP. ASSIGNMENT OF ASSIGNORS INTEREST. Assignors: CHHABRA, NAVJOT, SANDHU, GURTEJ S.
Application granted granted Critical
Publication of US5182232A publication Critical patent/US5182232A/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/84Electrodes with an enlarged surface, e.g. formed by texturisation being a rough surface, e.g. using hemispherical grains
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/31DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/138Roughened surface
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/964Roughened surface

Definitions

  • This invention relates to semiconductor technology, and more specifically, to a process for imparting a texturized surface to a metal silicide layer adjacent to a polycrystalline silicon structure to be used as a storage node capacitor plate of a storage cell in dynamic random access memories (DRAMs).
  • DRAMs dynamic random access memories
  • DRAM dynamic random access memory
  • the need to achieve a high stored charge for a given cell area becomes increasingly important.
  • several approaches have been proposed to obtain a high stored charge per unit area by increasing the effective capacitor plate (usually made of polysilicon) surface by roughening or texturizing the polysilicon surface.
  • polysilicon texturizing polycrystalline silicon
  • polysilicon a process example for texturizing polycrystalline silicon
  • polysilicon is discussed in an article entitled “Rugged Surface Poly-Si Electrode and Low Temperature Deposited Si3N4 for 64 Mb and Beyond STC DRAM Cell” authored by M. Yoshimaru et al., Oki Electric Industry Co., Ltd., VLSI R&D Laboratory 550-1, Higashiasakawa, Hachioji, Tokyo 193, Japan.
  • using a poly deposition temperature of 570 degrees Celsius causes the poly layer surface to become rugged (or textured).
  • a stable and uniform texturized surface on a storage node capacitor cell plate is developed by less complex process steps and the cell plate will retain its textured surface throughout implementation of conventional DRAM fabrication processes.
  • the present invention is a process for texturizing a semiconductive material coated with a metal silicide.
  • a metal silicide For example, it is desirable to texturize a polycrystalline silicon (polysilicon or poly) layer covered by a tungsten silicide layer, to be used as a capacitor's storage node cell plate in semiconductor devices, such as memory devices and in particular dynamic random access memories or DRAMs.
  • a silicon wafer is fabricated prior to depositing a poly layer to be used as a storage node cell plate of a capacitor in a DRAM array.
  • a buried digit line contact opening has been prepared for a subsequent deposition of polysilicon that will make contact to the active area of an access device and will later be patterned and doped to serve as a stacked capacitor's storage node cell plate.
  • tungsten silicide is deposited, followed by a controlled annealing step of the tungsten silicide to adjust the grain size of the silicide film. It is well known that during annealing, tungsten goes through structural transitions from hexagonal to tetragonal and excess silicon atoms precipitates on the grain boundaries. Oxidation of tungsten silicide takes place by consumption of polysilicon at the grain boundaries as well as polysilicon below the silicide film which is limited by the diffusion of silicon atoms through the tungsten silicide film to the surface.
  • a conductive material texturized in accordance with the process which constitutes the present invention may be used in a variety of applications and when specifically used to fabricate a DRAM storage capacitor, cell capacitance is increased by 100% or more.
  • FIG. 1 is a cross-sectional view of a silicon wafer that has been developed up to the point prior to formation of a storage node cell plate for a DRAM array;
  • FIG. 2 is a cross-sectional view of the silicon wafer of FIG. 1 following depositions of conformal layers of polysilicon and tungsten silicide, respectively;
  • FIG. 3a is a cross-sectional view of the silicon wafer of FIG. 2 following tungsten silicide annealing to adjust the silicide grain size, oxidation of the silicon present in the resulting silicide grain boundaries and an oxide etch thereby texturizing the tungsten silicide;
  • FIG. 3b is a cross-sectional view of the silicon wafer of FIG. 2 following tungsten silicide annealing to adjust the silicide grain size and oxidation of the silicon present in the silicide grain boundaries;
  • FIG. 3c is a blown view of a portion of cross-sectional view of FIG. 3b showing oxidized silicon present in the silicide grain boundaries;
  • FIGS. 4a and 4b are a cross-sectional views of the silicon wafer of FIGS. 3a and 3b, respectively, after etching away the oxidized silicon followed by the patterning of a storage node cell plate;
  • FIGS. 5a and 5b are a cross-sectional views of the silicon wafer of FIGS. 4a and 4b, respectively, following depositions of conformal layers of cell dielectric and polysilicon.
  • Preferred embodiments of the texturization process as performed on DRAM memory array includes the steps described in FIGS. 1 through 5b.
  • a silicon wafer 10 has been fabricated up to a point prior to formation of a poly storage node cell plate in a memory array.
  • a conventional fabrication process to develop a standard stacked capacitor cell has developed field oxide 12 separating digit lines 13 from silicon substrate 10. Digit lines 13 are isolated by vertical dielectric spacers 14, dielectric layer 17 and a conformal dielectric layer 15.
  • a buried contact location 16 has been opened to provide access to active area 11 for a capacitor's storage node cell plate to be developed in the following steps.
  • metal silicide 22 may be a silicide such as tungsten silicide, as long as the metal silicide used can be easily oxidized for purposes discussed later in the process. In the preferred embodiment, a silicon rich tungsten silicide is selected for reasons also to be discussed later in the process.
  • metal silicide 22 is subjected to a controlled annealing process that creates the desired grain size in metal silicide film 22.
  • the annealing process adjusts the metal's grain structure by forcing the metal to go through grain structural transitions from hexagonal to tetragonal while at the same time excess silicon atoms precipitate on the grain boundaries (an optimal grain size is 1/10 of the size of a given conductive structure, in this case the size of the capacitor's storage node plate yet to be completed).
  • an oxidation is performed to form oxide 23 at the newly created silicon rich grain boundaries.
  • a wet oxide etch follows to remove oxide 23 previously formed at the grain boundaries, thereby texturizing the top surface of metal silicide 22.
  • tungsten grain size approaches 0.1 ⁇ in size.
  • a wet oxidation step is performed at the same temperature range as was the annealing step (again, optimum is 957° C.) for approximately 5 minutes to oxidize the silicon which is now present in the large grain boundaries developed in the WSi x .
  • a higher temperature >900° C.
  • a longer oxidation time >5 minutes
  • the SiO 2 formed in the grain boundaries is removed using a hydrofluoric acid (HF) wet etch.
  • HF hydrofluoric acid
  • texturized silicide 22 and polysilicon 21 are patterned and etched to form a storage capacitor's bottom cell plate 41 (also, storage node cell plate).
  • a conformal layer of dielectric is deposited over storage node cell plate 41 to serve as the storage capacitor's cell dielectric 51.
  • a conformal layer of polysilicon is deposited over cell dielectric 51 and serves as a top cell plate that is common to all storage capacitors in the array. From this point on, the wafer is completed using conventional fabrication techniques for DRAMs.
  • the oxidation step could continue and thereby oxidize the surface of the underlying layer of polysilicon 21 (refer to the blown up view shown in FIG. 3c which depicts the oxidized silicon present in the silicide grain boundaries). Then, as shown in FIG. 4b, performing a wet oxide etch would cause the surface of polysilicon 21 to become texturized. In this case metal silicide film 22 is removed thereby exposing the texturized surface of polysilicon 21 which will eventually become the capacitor's storage node cell plate 41, as shown in FIG. 5b.

Abstract

In the present invention, a stable and uniform texturized surface of a conductive structure is developed by annealing, oxidizing and etching a layer of metal silicide that has been deposited over a semiconductive material. Using this process during fabrication of memory cell in a DRAM will increase storage node capacitance by creating texturized capacitor cell plates that will retain their textured surfaces throughout implementation of conventional DRAM fabrication processes.

Description

This is a continuation-in-part to U.S. patent application Ser. No. 07/681,796, filed Apr. 8, 1991 now abandoned.
FIELD OF THE INVENTION
This invention relates to semiconductor technology, and more specifically, to a process for imparting a texturized surface to a metal silicide layer adjacent to a polycrystalline silicon structure to be used as a storage node capacitor plate of a storage cell in dynamic random access memories (DRAMs).
BACKGROUND OF THE INVENTION
As dynamic random access memory (DRAM) device dimensions continue to shrink, the need to achieve a high stored charge for a given cell area becomes increasingly important. In the past, several approaches have been proposed to obtain a high stored charge per unit area by increasing the effective capacitor plate (usually made of polysilicon) surface by roughening or texturizing the polysilicon surface.
One process example for texturizing polycrystalline silicon (hereinafter also "polysilicon" or "poly") is discussed in an article entitled "Rugged Surface Poly-Si Electrode and Low Temperature Deposited Si3N4 for 64 Mb and Beyond STC DRAM Cell" authored by M. Yoshimaru et al., Oki Electric Industry Co., Ltd., VLSI R&D Laboratory 550-1, Higashiasakawa, Hachioji, Tokyo 193, Japan. In this article, using a poly deposition temperature of 570 degrees Celsius causes the poly layer surface to become rugged (or textured). The article claims (in the third paragraph of the first page) that applying this technique to form a stacked storage node cell plate in a DRAM, results in an increase of the cell plate's surface area of up to 2.5 times that of a standard stacked capacitor cell (STC).
However, main drawbacks with this method are that the temperature must be precisely controlled (within +/-3 degrees C. of 570° C.) during deposition to form the rugged poly surface and subjecting the rugged poly to temperatures above 570 degrees C. in subsequent process steps will cause the rugged surface to flatten out. As is the case with many polysilicon texturizing techniques, the method discussed above requires tight process control tolerances and process complexity that may prevent current polysilicon texturizing techniques to be incorporated into production. Also, the amount of increase in capacitance obtained may not be sufficient for certain cell designs.
In the present invention, a stable and uniform texturized surface on a storage node capacitor cell plate is developed by less complex process steps and the cell plate will retain its textured surface throughout implementation of conventional DRAM fabrication processes.
SUMMARY OF THE INVENTION
The present invention is a process for texturizing a semiconductive material coated with a metal silicide. For example, it is desirable to texturize a polycrystalline silicon (polysilicon or poly) layer covered by a tungsten silicide layer, to be used as a capacitor's storage node cell plate in semiconductor devices, such as memory devices and in particular dynamic random access memories or DRAMs.
The following discussion focuses on using a process of the present invention and applying it to a conventional stacked capacitor DRAM fabrication process to serve as an example. However, it is understandable that those skilled in the art could apply the techniques described by the present invention to a variety of semiconductor devices (such as VRAMs or EPROMs) and their subsequent fabrication processes, where polysilicon is used as a semiconductor and a metal silicide may be added to enhance conductivity, such as the capacitor cell plates of a storage capacitor and it is desirable to have the conductor surface take on a texturized surface.
A silicon wafer is fabricated prior to depositing a poly layer to be used as a storage node cell plate of a capacitor in a DRAM array. In this example, a buried digit line contact opening has been prepared for a subsequent deposition of polysilicon that will make contact to the active area of an access device and will later be patterned and doped to serve as a stacked capacitor's storage node cell plate.
After polysilicon deposition, a layer of tungsten silicide is deposited, followed by a controlled annealing step of the tungsten silicide to adjust the grain size of the silicide film. It is well known that during annealing, tungsten goes through structural transitions from hexagonal to tetragonal and excess silicon atoms precipitates on the grain boundaries. Oxidation of tungsten silicide takes place by consumption of polysilicon at the grain boundaries as well as polysilicon below the silicide film which is limited by the diffusion of silicon atoms through the tungsten silicide film to the surface.
A conductive material texturized in accordance with the process which constitutes the present invention may be used in a variety of applications and when specifically used to fabricate a DRAM storage capacitor, cell capacitance is increased by 100% or more.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 is a cross-sectional view of a silicon wafer that has been developed up to the point prior to formation of a storage node cell plate for a DRAM array;
FIG. 2 is a cross-sectional view of the silicon wafer of FIG. 1 following depositions of conformal layers of polysilicon and tungsten silicide, respectively;
FIG. 3a is a cross-sectional view of the silicon wafer of FIG. 2 following tungsten silicide annealing to adjust the silicide grain size, oxidation of the silicon present in the resulting silicide grain boundaries and an oxide etch thereby texturizing the tungsten silicide;
FIG. 3b is a cross-sectional view of the silicon wafer of FIG. 2 following tungsten silicide annealing to adjust the silicide grain size and oxidation of the silicon present in the silicide grain boundaries;
FIG. 3c is a blown view of a portion of cross-sectional view of FIG. 3b showing oxidized silicon present in the silicide grain boundaries;
FIGS. 4a and 4b are a cross-sectional views of the silicon wafer of FIGS. 3a and 3b, respectively, after etching away the oxidized silicon followed by the patterning of a storage node cell plate; and
FIGS. 5a and 5b are a cross-sectional views of the silicon wafer of FIGS. 4a and 4b, respectively, following depositions of conformal layers of cell dielectric and polysilicon.
PREFERRED EMBODIMENT OF THE INVENTION
Preferred embodiments of the texturization process as performed on DRAM memory array, includes the steps described in FIGS. 1 through 5b.
Referring now to FIG. 1, a silicon wafer 10 has been fabricated up to a point prior to formation of a poly storage node cell plate in a memory array. A conventional fabrication process to develop a standard stacked capacitor cell has developed field oxide 12 separating digit lines 13 from silicon substrate 10. Digit lines 13 are isolated by vertical dielectric spacers 14, dielectric layer 17 and a conformal dielectric layer 15. A buried contact location 16 has been opened to provide access to active area 11 for a capacitor's storage node cell plate to be developed in the following steps.
Referring now to FIG. 2, conformal layers of polysilicon 21 and metal silicide 22 are deposited, preferably by chemical vapor deposition. With the presence of buried contact opening 16, polysilicon 21 makes contact to active area 11. Metal silicide 22 may be a silicide such as tungsten silicide, as long as the metal silicide used can be easily oxidized for purposes discussed later in the process. In the preferred embodiment, a silicon rich tungsten silicide is selected for reasons also to be discussed later in the process.
Referring now to FIG. 3a, metal silicide 22 is subjected to a controlled annealing process that creates the desired grain size in metal silicide film 22. The annealing process adjusts the metal's grain structure by forcing the metal to go through grain structural transitions from hexagonal to tetragonal while at the same time excess silicon atoms precipitate on the grain boundaries (an optimal grain size is 1/10 of the size of a given conductive structure, in this case the size of the capacitor's storage node plate yet to be completed). After completion of the annealing process, an oxidation is performed to form oxide 23 at the newly created silicon rich grain boundaries. A wet oxide etch follows to remove oxide 23 previously formed at the grain boundaries, thereby texturizing the top surface of metal silicide 22.
For example, if 1100Å of WSix is deposited on a layer of polysilicon that is approximately 2800Å thick (a ratio of W:Si to polysilicon of approximately 1:2.6) and is annealed at a temperature range between 800° to 1000° C. (optimum is 957° C.) for 15-20 minutes, the tungsten grain size approaches 0.1 μ in size. After this annealing step is complete, a wet oxidation step is performed at the same temperature range as was the annealing step (again, optimum is 957° C.) for approximately 5 minutes to oxidize the silicon which is now present in the large grain boundaries developed in the WSix. This common wet oxidation step, performed at a high temperature, results in the chemical reaction 2H2 +O2 =2H2 O (steam). As a side note, a higher temperature (>900° C.) or a longer oxidation time (>5 minutes) will increase the grain size. Now the SiO2 formed in the grain boundaries is removed using a hydrofluoric acid (HF) wet etch. It is important to note that the oxidation step and the etch step must be performed separately in order to first oxidize and isolate the silicon present in the silicide grain boundaries and then secondly consume the oxidized silicon in the grain boundaries. If the oxidation and etch step were performed together the silicide layer would be consumed at a constant rate thereby leaving a smooth surface which is not desirable in the context of the present invention.
Referring now to FIG. 4a, texturized silicide 22 and polysilicon 21 are patterned and etched to form a storage capacitor's bottom cell plate 41 (also, storage node cell plate).
Referring now to FIG. 5a, a conformal layer of dielectric is deposited over storage node cell plate 41 to serve as the storage capacitor's cell dielectric 51. To complete the storage capacitor a conformal layer of polysilicon is deposited over cell dielectric 51 and serves as a top cell plate that is common to all storage capacitors in the array. From this point on, the wafer is completed using conventional fabrication techniques for DRAMs.
Alternately, in conjunction with FIG. 2 and as shown in FIG. 3b, the oxidation step could continue and thereby oxidize the surface of the underlying layer of polysilicon 21 (refer to the blown up view shown in FIG. 3c which depicts the oxidized silicon present in the silicide grain boundaries). Then, as shown in FIG. 4b, performing a wet oxide etch would cause the surface of polysilicon 21 to become texturized. In this case metal silicide film 22 is removed thereby exposing the texturized surface of polysilicon 21 which will eventually become the capacitor's storage node cell plate 41, as shown in FIG. 5b.
Although the preferred embodiment focuses on using the process implemented during a conventional stacked capacitor DRAM fabrication process, it is apparent to one skilled in the art that the techniques described by the present invention may be applied to various semiconductor fabrication processes where a semiconductor material such as conductively doped polysilicon is used and it is desired to have the completed conductive structure's surface take on a texturized surface. It will also be apparent to one skilled in the art that changes and modifications may be made thereto without departing from the spirit and scope of the invention as claimed.

Claims (29)

We claim:
1. A process for texturizing a conductive structure fabricated on a silicon wafer, comprising the following steps:
a) depositing a layer of polycrystalline silicon, said polycrystalline silicon conforming to existing topography of said silicon wafer;
b) depositing a metal silicide superjacent said polycrystalline silicon layer;
c) annealing said metal silicide thereby forming silicide grain size being approximately 1/10 the size of the conductive structure and silicon rich grain boundaries in said metal silicide film;
d) oxidizing said metal silicide film thereby consuming silicon atoms int eh grain boundaries of said metal silicide film; and
e) etching said oxide from top of said metal silicide thereby creating said texturized surface of said conductive structure;
wherein said oxidizing step and said etching step are performed separately in order to first isolate said silicon atoms in the grain boundaries by said oxidizing step and then consume said oxidized silicon int he grain boundaries by said etching step.
2. The process of claim 1, wherein said metal silicide film is tungsten silicide.
3. The process of claim 1, wherein said metal silicide is a silicon-rich induced metal silicide.
4. The process of claim 1, wherein said metal silicide annealing is a controlled annealing process used to adjust the grain size of said metal silicide, wherein said controlled annealing process comprises subjecting said metal silicide for approximately 15-20 minutes at a temperature range between 800° to 1000° C.
5. The process of claim 4, wherein said temperature range is around 957° C.
6. The process of claim 1, wherein said oxidation is a wet oxidation performed at a temperature range between 800° to 1000° C. for approximately 5 minutes.
7. The process of claim 6, wherein said temperature range is around 957° C.
8. The process of claim 1, wherein said oxidation consumes silicon atoms present in the grain boundaries of said metal silicide film and in the surface of said polycrystalline silicon layer.
9. The process of claim 1, wherein said etch is a wet oxide etch comprising HF.
10. The process of claim 1, further comprising an additional process step to remove said metal silicide film thereby transferring the texturized pattern to surface of said polycrystalline silicon layer, said additional step following step "e" of claim 1.
11. A process for texturizing a conductive storage node capacitor cell plate in a semiconductor integrated memory circuit fabricated on a silicon wafer, comprising the following steps:
a) depositing a layer of polycrystalline silicon, said polycrystalline silicon conforming to existing topography of said silicon wafer;
b) depositing a metal silicide superjacent said polycrystalline silicon layer;
c) annealing said metal silicide thereby forming silicide grain size being approximately 1/10 the size of the conductive structure and silicon rich grain boundaries in said metal silicide film;
d) oxidizing said metal silicide film thereby consuming silicon atoms in the grain boundaries of said metal silicide film; and
e) etching said oxide from top of said metal silicide thereby creating said texturized surface of said conductive structure;
wherein said oxidizing step and said etching step are performed separately in order to first isolate said silicon atoms in the grain boundaries by said oxidizing step and then consume said oxidized silicon int he grain boundaries by said etching step.
12. The process of claim 11, wherein said metal silicide film is tungsten silicide.
13. The process of claim 11, wherein said metal, silicide is a silicon-rich induced metal silicide.
14. The process of claim 11, wherein said metal silicide annealing is a controlled annealing process used to adjust the grain size of said metal silicide, wherein said controlled annealing process comprises subjecting said metal silicide for approximately 15-20 minutes at a temperature range between 800° to 1000° C.
15. The process of claim 14, wherein said temperature range is around 957° C.
16. The process of claim 11, wherein said oxidation is a wet oxidation performed at a temperature range between 800° to 1000° C. for approximately 5 minutes.
17. The process of claim 16, wherein said temperature range is around 957° C.
18. The process of claim 11, wherein said oxidation consumes silicon atoms present in the grain boundaries of said metal silicide film and in the surface of said polycrystalline silicon layer.
19. The process of claim 11, further comprising an additional process step to remove said metal silicide film thereby transferring the texturized pattern to surface of said polycrystalline silicon layer, said additional step following step "e" of claim 11.
20. The process of claim 11, wherein said semiconductor integrated memory device is selected from the group consisting of DRAMs, VRAMs, and EPROMs.
21. A process for forming a stacked capacitor having a texturized storage node cell plate in a semiconductor integrated memory circuit fabricated on a silicon wafer, comprising the following steps:
a) depositing a first layer of polycrystalline silicon, said first polycrystalline silicon conforming to existing topography of said silicon wafer;
b) depositing a metal silicide superjacent said first polycrystalline silicon layer;
c) annealing said metal silicide thereby forming silicide grain size being approximately 1/10 the size of the capacitor's storage node plate and silicon rich grain boundaries in said metal silicide film;
d) oxidizing said metal silicide film thereby consuming silicon atoms int he grain boundaries of said metal silicide film;
e) etching said oxide from top of said metal silicide thereby creating said texturized surface of said metal silicide;
wherein said oxidizing step "d" and said etching step "e" are performed separately in order to first isolate said silicon atoms in the grain boundaries by said oxidizing step and then consume said oxidized silicon in the grain boundaries by said etching step;
f) patterning and etching said metal silicide and aid first polycrystalline silicon layer thereby forming said texturized storage node cell plate;
g) depositing a conformal layer of cell dielectric superjacent said storage node cell plate; and
h) depositing a second conformal layer of polycrystalline silicon superjacent said cell dielectric, said second polycrystalline layer forming a top cell plate of said stacked capacitor.
22. The process of claim 21, wherein said metal silicide film is tungsten silicide.
23. The process of claim 21, wherein said metal silicide is a silicon-rich induced metal silicide.
24. The process of claim 21, wherein said metal silicide annealing is a controlled annealing process used to adjust the grain size of said metal silicide, wherein said controlled annealing process comprises subjecting said metal silicide for approximately 15-20 minutes at a temperature range between 800° to 1000° C.
25. The process of claim 24, wherein said temperature range is around 957° C.
26. The process of claim 21, wherein said oxidation is a wet oxidation performed at a temperature range between 800° to 1000° C. for approximately 5 minutes.
27. The process of claim 26, wherein said temperature range is around 957° C.
28. The process of claim 21, wherein said oxidation consumes silicon atoms present in the grain boundaries of said metal silicide film and in the surface of said first polycrystalline silicon layer.
29. The process of claim 21, further comprising an additional process step to remove said metal silicide film thereby transferring the texturized pattern to surface of said polycrystalline silicon layer, said additional step following step "e" and preceding step "f" of claim 21.
US07/793,031 1991-04-08 1991-11-15 Metal silicide texturizing technique Expired - Lifetime US5182232A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US07/793,031 US5182232A (en) 1991-04-08 1991-11-15 Metal silicide texturizing technique

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US68179691A 1991-04-08 1991-04-08
US07/793,031 US5182232A (en) 1991-04-08 1991-11-15 Metal silicide texturizing technique

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US68179691A Continuation-In-Part 1991-04-08 1991-04-08

Publications (1)

Publication Number Publication Date
US5182232A true US5182232A (en) 1993-01-26

Family

ID=27102742

Family Applications (1)

Application Number Title Priority Date Filing Date
US07/793,031 Expired - Lifetime US5182232A (en) 1991-04-08 1991-11-15 Metal silicide texturizing technique

Country Status (1)

Country Link
US (1) US5182232A (en)

Cited By (256)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4423818A1 (en) * 1993-07-07 1995-01-19 Mitsubishi Electric Corp Semiconductor storage device and method for production thereof
US5405801A (en) * 1992-02-28 1995-04-11 Samsung Electronics Co., Ltd. Method for manufacturing a capacitor of a semiconductor device
US5427974A (en) * 1994-03-18 1995-06-27 United Microelectronics Corporation Method for forming a capacitor in a DRAM cell using a rough overlayer of tungsten
US5466627A (en) * 1994-03-18 1995-11-14 United Microelectronics Corporation Stacked capacitor process using BPSG precipitates
US5482882A (en) * 1994-03-18 1996-01-09 United Microelectronics Corporation Method for forming most capacitor using polysilicon islands
US5482885A (en) * 1994-03-18 1996-01-09 United Microelectronics Corp. Method for forming most capacitor using poly spacer technique
US5492848A (en) * 1994-03-18 1996-02-20 United Microelectronics Corp. Stacked capacitor process using silicon nodules
US5498558A (en) * 1994-05-06 1996-03-12 Lsi Logic Corporation Integrated circuit structure having floating electrode with discontinuous phase of metal silicide formed on a surface thereof and process for making same
US5512768A (en) * 1994-03-18 1996-04-30 United Microelectronics Corporation Capacitor for use in DRAM cell using surface oxidized silicon nodules
US5521108A (en) * 1993-09-15 1996-05-28 Lsi Logic Corporation Process for making a conductive germanium/silicon member with a roughened surface thereon suitable for use in an integrated circuit structure
US5543347A (en) * 1993-12-27 1996-08-06 Nec Corporation Method of forming silicon film having jagged surface
US5587338A (en) * 1995-04-27 1996-12-24 Vanguard International Semiconductor Corporation Polysilicon contact stud process
US5622888A (en) * 1994-11-09 1997-04-22 Nec Corporation Method of manufacturing a semiconductor device
US5741734A (en) * 1995-09-25 1998-04-21 Lg Semicon Co., Ltd. Capacitor structure for semiconductor device and method of manufacturing the same
US5754390A (en) * 1996-01-23 1998-05-19 Micron Technology, Inc. Integrated capacitor bottom electrode for use with conformal dielectric
US5760434A (en) * 1996-05-07 1998-06-02 Micron Technology, Inc. Increased interior volume for integrated memory cell
US5766968A (en) * 1993-12-16 1998-06-16 International Business Machines Corporation Micro mask comprising agglomerated material
US5793076A (en) * 1995-09-21 1998-08-11 Micron Technology, Inc. Scalable high dielectric constant capacitor
US5849624A (en) * 1996-07-30 1998-12-15 Mircon Technology, Inc. Method of fabricating a bottom electrode with rounded corners for an integrated memory cell capacitor
US5858838A (en) * 1998-02-23 1999-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for increasing DRAM capacitance via use of a roughened surface bottom capacitor plate
US5858853A (en) * 1994-10-31 1999-01-12 Nec Corporation Method for forming capacitor electrode having jagged surface
US5872033A (en) * 1994-03-11 1999-02-16 Micron Technology, Inc. Method for increasing capacitance of an HSG rugged capacitor using a phosphine rich oxidation and subsequent wet etch
US5899725A (en) * 1995-11-15 1999-05-04 Micron Technology, Inc. Method of forming a hemispherical grained silicon on refractory metal nitride
US5917213A (en) * 1997-08-21 1999-06-29 Micron Technology, Inc. Depletion compensated polysilicon electrodes
US5923988A (en) * 1998-05-15 1999-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Two step thermal treatment procedure applied to polycide structures deposited using dichlorosilane as a reactant
US5932333A (en) * 1997-12-05 1999-08-03 United Silicon Incorporated Method for manufacturing charge storage electrode
US5940713A (en) * 1996-03-01 1999-08-17 Micron Technology, Inc. Method for constructing multiple container capacitor
US5946598A (en) * 1996-11-18 1999-08-31 United Microelectronics Corporation Process of fabricating metal gate electrode
US6004857A (en) * 1998-09-17 1999-12-21 Taiwan Semiconductor Manufacturing Company Method to increase DRAM capacitor via rough surface storage node plate
US6015986A (en) * 1995-12-22 2000-01-18 Micron Technology, Inc. Rugged metal electrodes for metal-insulator-metal capacitors
US6022775A (en) * 1998-08-17 2000-02-08 Taiwan Semiconductor Manufacturing Company High effective area capacitor for high density DRAM circuits using silicide agglomeration
US6027970A (en) * 1996-05-17 2000-02-22 Micron Technology, Inc. Method of increasing capacitance of memory cells incorporating hemispherical grained silicon
US6074926A (en) * 1991-12-17 2000-06-13 Micron Technology, Inc. Method of increasing capacitance by surface roughening in semiconductor wafer processing
US6087240A (en) * 1995-07-17 2000-07-11 Micron Technology, Inc. Method of forming rough polysilicon surfaces suitable for capacitor construction
US6103565A (en) * 1996-01-18 2000-08-15 Micron Technology, Inc. Semiconductor processing methods of forming capacitors and conductive lines
US6103587A (en) * 1996-02-28 2000-08-15 Nec Corporation Method for forming a stacked structure capacitor in a semiconductor device
US6124164A (en) * 1998-09-17 2000-09-26 Micron Technology, Inc. Method of making integrated capacitor incorporating high K dielectric
US6143617A (en) * 1998-02-23 2000-11-07 Taiwan Semiconductor Manufacturing Company Composite capacitor electrode for a DRAM cell
US6171955B1 (en) * 1999-01-04 2001-01-09 United Semiconductor Corp. Method for forming hemispherical grained silicon structure
US6188097B1 (en) 1997-07-02 2001-02-13 Micron Technology, Inc. Rough electrode (high surface area) from Ti and TiN
US6211016B1 (en) * 1998-03-23 2001-04-03 Texas Instruments-Acer Incorporated Method for forming high density nonvolatile memories with high capacitive-coupling ratio
US6271076B1 (en) * 1996-12-11 2001-08-07 International Business Machines Corporation Method for fabricating a novel metallized oxide structure
US6383905B2 (en) * 1998-07-31 2002-05-07 Stmicroelectronics, Inc. Formation of micro rough poly surface for low sheet resistance salicided sub-quarter micron poly lines
US6448131B1 (en) * 2001-08-14 2002-09-10 International Business Machines Corporation Method for increasing the capacitance of a trench capacitor
US6780704B1 (en) * 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
US6841439B1 (en) * 1997-07-24 2005-01-11 Texas Instruments Incorporated High permittivity silicate gate dielectric
US20050112827A1 (en) * 1997-07-24 2005-05-26 Anthony John M. High permittivity silicate gate dielectric
US20050287758A1 (en) * 2004-06-11 2005-12-29 Kim Jea H Method of fabricating capacitor in semiconductor device and semiconductor device using the same
US20060014343A1 (en) * 2004-07-15 2006-01-19 Srivatsa Kundalgurki Method for forming a capacitor for an integrated circuit and integrated circuit
US20070045849A1 (en) * 2005-08-31 2007-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having selective silicide-induced stress and a method of producing same
US20110193044A1 (en) * 2010-02-08 2011-08-11 Micron Technology, Inc. Resistive memory and methods of processing resistive memory
CN107924836A (en) * 2016-05-26 2018-04-17 南京中云新材料有限公司 A kind of textured method of monocrystalline silicon sheet surface
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11959171B2 (en) 2022-07-18 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4663191A (en) * 1985-10-25 1987-05-05 International Business Machines Corporation Salicide process for forming low sheet resistance doped silicon junctions
US4833099A (en) * 1988-01-07 1989-05-23 Intel Corporation Tungsten-silicide reoxidation process including annealing in pure nitrogen and subsequent oxidation in oxygen
US5043780A (en) * 1990-01-03 1991-08-27 Micron Technology, Inc. DRAM cell having a texturized polysilicon lower capacitor plate for increased capacitance

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4663191A (en) * 1985-10-25 1987-05-05 International Business Machines Corporation Salicide process for forming low sheet resistance doped silicon junctions
US4833099A (en) * 1988-01-07 1989-05-23 Intel Corporation Tungsten-silicide reoxidation process including annealing in pure nitrogen and subsequent oxidation in oxygen
US5043780A (en) * 1990-01-03 1991-08-27 Micron Technology, Inc. DRAM cell having a texturized polysilicon lower capacitor plate for increased capacitance

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
"Rugged Surface Poly-Si Electrode and Low Temperature Deposited Si3 N4 for 64Mbit and beyond STC DRAM Cell" by M. Yoshimaru et al, IEDM 1990, pp. 27.4.1-27.4.4.
Rugged Surface Poly Si Electrode and Low Temperature Deposited Si 3 N 4 for 64Mbit and beyond STC DRAM Cell by M. Yoshimaru et al, IEDM 1990, pp. 27.4.1 27.4.4. *

Cited By (332)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6074926A (en) * 1991-12-17 2000-06-13 Micron Technology, Inc. Method of increasing capacitance by surface roughening in semiconductor wafer processing
US5405801A (en) * 1992-02-28 1995-04-11 Samsung Electronics Co., Ltd. Method for manufacturing a capacitor of a semiconductor device
DE4423818A1 (en) * 1993-07-07 1995-01-19 Mitsubishi Electric Corp Semiconductor storage device and method for production thereof
US5892702A (en) * 1993-07-07 1999-04-06 Mitsubishi Denki Kabushiki Kaisha Semiconductor memory device and method of manufacturing the same
US5644152A (en) * 1993-09-15 1997-07-01 Lsi Logic Corporation Conductive germanium/silicon member with a roughened surface thereon suitable for use in an integrated circuit structure
US5521108A (en) * 1993-09-15 1996-05-28 Lsi Logic Corporation Process for making a conductive germanium/silicon member with a roughened surface thereon suitable for use in an integrated circuit structure
US5766968A (en) * 1993-12-16 1998-06-16 International Business Machines Corporation Micro mask comprising agglomerated material
US5543347A (en) * 1993-12-27 1996-08-06 Nec Corporation Method of forming silicon film having jagged surface
US5872033A (en) * 1994-03-11 1999-02-16 Micron Technology, Inc. Method for increasing capacitance of an HSG rugged capacitor using a phosphine rich oxidation and subsequent wet etch
US5933727A (en) * 1994-03-11 1999-08-03 Micron Technology, Inc. Method for increasing capacitance of an HSG rugged capacitor using a phosphine rich oxidation and subsequent wet etch
US5482882A (en) * 1994-03-18 1996-01-09 United Microelectronics Corporation Method for forming most capacitor using polysilicon islands
US5512768A (en) * 1994-03-18 1996-04-30 United Microelectronics Corporation Capacitor for use in DRAM cell using surface oxidized silicon nodules
US5492848A (en) * 1994-03-18 1996-02-20 United Microelectronics Corp. Stacked capacitor process using silicon nodules
US5482885A (en) * 1994-03-18 1996-01-09 United Microelectronics Corp. Method for forming most capacitor using poly spacer technique
US5466627A (en) * 1994-03-18 1995-11-14 United Microelectronics Corporation Stacked capacitor process using BPSG precipitates
US5427974A (en) * 1994-03-18 1995-06-27 United Microelectronics Corporation Method for forming a capacitor in a DRAM cell using a rough overlayer of tungsten
US5498558A (en) * 1994-05-06 1996-03-12 Lsi Logic Corporation Integrated circuit structure having floating electrode with discontinuous phase of metal silicide formed on a surface thereof and process for making same
US5650648A (en) * 1994-05-06 1997-07-22 Lsi Logic Corporation Integrated circuit structure having floating electrode with discontinuous phase of metal silicide formed on a surface thereof and process for making same
US5858853A (en) * 1994-10-31 1999-01-12 Nec Corporation Method for forming capacitor electrode having jagged surface
US5622888A (en) * 1994-11-09 1997-04-22 Nec Corporation Method of manufacturing a semiconductor device
US5587338A (en) * 1995-04-27 1996-12-24 Vanguard International Semiconductor Corporation Polysilicon contact stud process
US6087240A (en) * 1995-07-17 2000-07-11 Micron Technology, Inc. Method of forming rough polysilicon surfaces suitable for capacitor construction
US5793076A (en) * 1995-09-21 1998-08-11 Micron Technology, Inc. Scalable high dielectric constant capacitor
US6165804A (en) * 1995-09-21 2000-12-26 Micron Technology, Inc. Scalable high dielectric constant capacitor
US6259125B1 (en) 1995-09-21 2001-07-10 Micron Technology, Inc. Scalable high dielectric constant capacitor
US5940676A (en) * 1995-09-21 1999-08-17 Micron Technology, Inc. Scalable high dielectric constant capacitor
US5741734A (en) * 1995-09-25 1998-04-21 Lg Semicon Co., Ltd. Capacitor structure for semiconductor device and method of manufacturing the same
US5998824A (en) * 1995-09-25 1999-12-07 Lg Semicon Co., Ltd. Capacitor structure having a lower electrode with a rough surface, a plurality of metal layers and a nitridation treated film
US6440795B1 (en) 1995-11-15 2002-08-27 Micron Technology, Inc. Hemispherical grained silicon on conductive nitride
US6187631B1 (en) 1995-11-15 2001-02-13 Micron Technology, Inc. Hemispherical grained silicon on conductive nitride
US5899725A (en) * 1995-11-15 1999-05-04 Micron Technology, Inc. Method of forming a hemispherical grained silicon on refractory metal nitride
US20010012224A1 (en) * 1995-12-22 2001-08-09 Schuegaraf Klaus F. Rugged metal electrodes for metal-insulator-metal capacitors
US7105405B2 (en) 1995-12-22 2006-09-12 Micron Technology, Inc. Rugged metal electrodes for metal-insulator-metal capacitors
US6197634B1 (en) 1995-12-22 2001-03-06 Micron Technology, Inc. Rugged metal electrodes for metal-insulator-metal capacitors
US6015986A (en) * 1995-12-22 2000-01-18 Micron Technology, Inc. Rugged metal electrodes for metal-insulator-metal capacitors
US20060216902A1 (en) * 1995-12-22 2006-09-28 Schuegraf Klaus F Rugged metal electrodes for metal-insulator-metal capacitors
US6242301B1 (en) * 1996-01-18 2001-06-05 Micron Technology, Inc. Capacitor and conductive line constructions and semiconductor processing methods of forming capacitors and conductive lines
US6187621B1 (en) 1996-01-18 2001-02-13 Micron Technology, Inc. Semiconductor processing methods of forming capacitor constructions and semiconductor processing methods of forming DRAM constructions
US6103565A (en) * 1996-01-18 2000-08-15 Micron Technology, Inc. Semiconductor processing methods of forming capacitors and conductive lines
US6479855B1 (en) 1996-01-18 2002-11-12 Micron Technology, Inc. Capacitor and conductive line constructions and semiconductor processing methods of forming capacitors and conductive lines
US6114720A (en) * 1996-01-18 2000-09-05 Micron Technology, Inc. Capacitor and conductive line constructions
US6589838B2 (en) 1996-01-18 2003-07-08 Micron Technology, Inc. Capacitor and conductive line constructions and semiconductor processing methods of forming capacitors and conductive lines
US6211033B1 (en) 1996-01-23 2001-04-03 Micron Technology, Inc. Integrated capacitor bottom electrode for use with conformal dielectric
US20030153145A1 (en) * 1996-01-23 2003-08-14 Sandhu Gurtej S. Integrated capacitor bottom electrode for use with conformal dielectric
US5754390A (en) * 1996-01-23 1998-05-19 Micron Technology, Inc. Integrated capacitor bottom electrode for use with conformal dielectric
US6555432B2 (en) 1996-01-23 2003-04-29 Micron Technology, Inc. Integrated capacitor bottom electrode for use with conformal dielectric
US6838338B2 (en) 1996-01-23 2005-01-04 Micron Technology, Inc. Integrated capacitor bottom electrode for use with conformal dielectric
US6103587A (en) * 1996-02-28 2000-08-15 Nec Corporation Method for forming a stacked structure capacitor in a semiconductor device
US6077755A (en) * 1996-03-01 2000-06-20 Micron Technology, Inc. Method for constructing multiple container capacitor
US5940713A (en) * 1996-03-01 1999-08-17 Micron Technology, Inc. Method for constructing multiple container capacitor
US5760434A (en) * 1996-05-07 1998-06-02 Micron Technology, Inc. Increased interior volume for integrated memory cell
US6090655A (en) * 1996-05-07 2000-07-18 Micron Technology, Inc. Increased interior volume for integrated memory cell
US6027970A (en) * 1996-05-17 2000-02-22 Micron Technology, Inc. Method of increasing capacitance of memory cells incorporating hemispherical grained silicon
US6429071B1 (en) 1996-05-17 2002-08-06 Micron Technology, Inc. Method of increasing capacitance of memory cells incorporating hemispherical grained silicon
US5985732A (en) * 1996-07-30 1999-11-16 Micron Technology, Inc. Method of forming integrated stacked capacitors with rounded corners
US5849624A (en) * 1996-07-30 1998-12-15 Mircon Technology, Inc. Method of fabricating a bottom electrode with rounded corners for an integrated memory cell capacitor
US5946598A (en) * 1996-11-18 1999-08-31 United Microelectronics Corporation Process of fabricating metal gate electrode
US6271076B1 (en) * 1996-12-11 2001-08-07 International Business Machines Corporation Method for fabricating a novel metallized oxide structure
US6188097B1 (en) 1997-07-02 2001-02-13 Micron Technology, Inc. Rough electrode (high surface area) from Ti and TiN
US6238994B1 (en) * 1997-07-02 2001-05-29 Micron Technology, Inc. Method of creating a rough electrode (high surface area) from Ti and TiN and resulting article
US20020187607A1 (en) * 1997-07-02 2002-12-12 Derderian Garo J. Method of forming a rough (high surface area) electrode from Ti and TiN capacitors and semiconductor devices including same
US6608343B2 (en) 1997-07-02 2003-08-19 Micron Technology, Inc. Rough (high surface area) electrode from Ti and TiN, capacitors and semiconductor devices including same
US6399982B1 (en) 1997-07-02 2002-06-04 Micron Technology, Inc. Rough (high surface area) electrode from Ti and TiN capacitors and semiconductor devices including same
US6902985B2 (en) 1997-07-02 2005-06-07 Micron Technology, Inc. Method of forming a rough (high surface area) electrode from Ti and TiN capacitors and semiconductor devices including same
US20050112827A1 (en) * 1997-07-24 2005-05-26 Anthony John M. High permittivity silicate gate dielectric
US6841439B1 (en) * 1997-07-24 2005-01-11 Texas Instruments Incorporated High permittivity silicate gate dielectric
US7115461B2 (en) 1997-07-24 2006-10-03 Texas Instruments Incorporated High permittivity silicate gate dielectric
US6333536B1 (en) 1997-08-21 2001-12-25 Micron Technology, Inc. Depletion compensated polysilicon electrodes
US5917213A (en) * 1997-08-21 1999-06-29 Micron Technology, Inc. Depletion compensated polysilicon electrodes
US6506645B2 (en) 1997-08-21 2003-01-14 Micron Technology, Inc. Depletion compensated polysilicon electrodes
US6180449B1 (en) 1997-08-21 2001-01-30 Micron Technology, Inc. Depletion compensated polysilicon electrodes
US5932333A (en) * 1997-12-05 1999-08-03 United Silicon Incorporated Method for manufacturing charge storage electrode
US5858838A (en) * 1998-02-23 1999-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for increasing DRAM capacitance via use of a roughened surface bottom capacitor plate
US6143617A (en) * 1998-02-23 2000-11-07 Taiwan Semiconductor Manufacturing Company Composite capacitor electrode for a DRAM cell
US6211016B1 (en) * 1998-03-23 2001-04-03 Texas Instruments-Acer Incorporated Method for forming high density nonvolatile memories with high capacitive-coupling ratio
US5923988A (en) * 1998-05-15 1999-07-13 Taiwan Semiconductor Manufacturing Company, Ltd. Two step thermal treatment procedure applied to polycide structures deposited using dichlorosilane as a reactant
US6383905B2 (en) * 1998-07-31 2002-05-07 Stmicroelectronics, Inc. Formation of micro rough poly surface for low sheet resistance salicided sub-quarter micron poly lines
US20020070452A1 (en) * 1998-07-31 2002-06-13 Stmicroelectronics Inc. Formation of micro rough polysurface for low sheet resistance salicided sub-quarter micron polylines
US6992388B2 (en) 1998-07-31 2006-01-31 Stmicroelectronics, Inc. Formation of micro rough polysurface for low sheet resistant salicided sub-quarter micron polylines
US6022775A (en) * 1998-08-17 2000-02-08 Taiwan Semiconductor Manufacturing Company High effective area capacitor for high density DRAM circuits using silicide agglomeration
US6351005B1 (en) 1998-09-17 2002-02-26 Micron Technology, Inc. Integrated capacitor incorporating high K dielectric
US6004857A (en) * 1998-09-17 1999-12-21 Taiwan Semiconductor Manufacturing Company Method to increase DRAM capacitor via rough surface storage node plate
US6124164A (en) * 1998-09-17 2000-09-26 Micron Technology, Inc. Method of making integrated capacitor incorporating high K dielectric
US6171955B1 (en) * 1999-01-04 2001-01-09 United Semiconductor Corp. Method for forming hemispherical grained silicon structure
US6780704B1 (en) * 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
US6831315B2 (en) 1999-12-03 2004-12-14 Asm International N.V. Conformal thin films over textured capacitor electrodes
US20040175586A1 (en) * 1999-12-03 2004-09-09 Ivo Raaijmakers Conformal thin films over textured capacitor electrodes
US6448131B1 (en) * 2001-08-14 2002-09-10 International Business Machines Corporation Method for increasing the capacitance of a trench capacitor
US20050287758A1 (en) * 2004-06-11 2005-12-29 Kim Jea H Method of fabricating capacitor in semiconductor device and semiconductor device using the same
US7566612B2 (en) * 2004-06-11 2009-07-28 Dongbu Electronics Co., Ltd. Method of fabricating capacitor in semiconductor device and semiconductor device using the same
US7259061B2 (en) * 2004-07-15 2007-08-21 Infineon Technologies Ag Method for forming a capacitor for an integrated circuit and integrated circuit
US20060014343A1 (en) * 2004-07-15 2006-01-19 Srivatsa Kundalgurki Method for forming a capacitor for an integrated circuit and integrated circuit
US20070045849A1 (en) * 2005-08-31 2007-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having selective silicide-induced stress and a method of producing same
US7875959B2 (en) * 2005-08-31 2011-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having selective silicide-induced stress and a method of producing same
US20110193044A1 (en) * 2010-02-08 2011-08-11 Micron Technology, Inc. Resistive memory and methods of processing resistive memory
US8048755B2 (en) 2010-02-08 2011-11-01 Micron Technology, Inc. Resistive memory and methods of processing resistive memory
US8324065B2 (en) 2010-02-08 2012-12-04 Micron Technology, Inc. Resistive memory and methods of processing resistive memory
US8617959B2 (en) 2010-02-08 2013-12-31 Micron Technology, Inc. Resistive memory and methods of processing resistive memory
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN107924836A (en) * 2016-05-26 2018-04-17 南京中云新材料有限公司 A kind of textured method of monocrystalline silicon sheet surface
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11961741B2 (en) 2021-03-04 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2021-04-26 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11959171B2 (en) 2022-07-18 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process

Similar Documents

Publication Publication Date Title
US5182232A (en) Metal silicide texturizing technique
KR960005245B1 (en) Method for manufacturing a capacitor having a rough electrode surface
US5801104A (en) Uniform dielectric film deposition on textured surfaces
US5324679A (en) Method for manufacturing a semiconductor device having increased surface area conductive layer
US5407534A (en) Method to prepare hemi-spherical grain (HSG) silicon using a fluorine based gas mixture and high vacuum anneal
US5616511A (en) Method of fabricating a micro-trench storage capacitor
US7179706B2 (en) Permeable capacitor electrode
JP2658824B2 (en) Method for manufacturing semiconductor device
US6573552B1 (en) Method to form hemispherical grained polysilicon
US6190992B1 (en) Method to achieve rough silicon surface on both sides of container for enhanced capacitance/area electrodes
US5656529A (en) Method for manufacturing highly-integrated capacitor
US6368909B2 (en) Methods of fabricating integrated circuit ferroelectric capacitors including tensile stress applying layers on the upper electrode thereof
KR960011653B1 (en) Dram cell and the method
US6087240A (en) Method of forming rough polysilicon surfaces suitable for capacitor construction
KR19980066285A (en) Contact wiring method of semiconductor device and capacitor manufacturing method using same
US6146967A (en) Selective deposition of amorphous silicon film seeded in a chlorine gas and a hydride gas ambient when forming a stacked capacitor with HSG
US5583070A (en) Process to form rugged polycrystalline silicon surfaces
US6033967A (en) Method for increasing capacitance in DRAM capacitors and devices formed
JPH08222712A (en) Capacitor and manufacture thereof
US5492848A (en) Stacked capacitor process using silicon nodules
US5874336A (en) Method to improve yield for capacitors formed using etchback of polysilicon hemispherical grains
US5770510A (en) Method for manufacturing a capacitor using non-conformal dielectric
US5907774A (en) Corrugated post capacitor and method of fabricating using selective silicon deposition
US5512768A (en) Capacitor for use in DRAM cell using surface oxidized silicon nodules
US5466627A (en) Stacked capacitor process using BPSG precipitates

Legal Events

Date Code Title Description
AS Assignment

Owner name: MICRON TECHNOLOGY, INC., A DE CORP., IDAHO

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST.;ASSIGNORS:CHHABRA, NAVJOT;SANDHU, GURTEJ S.;REEL/FRAME:005920/0024

Effective date: 19911115

STCF Information on status: patent grant

Free format text: PATENTED CASE

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FEPP Fee payment procedure

Free format text: PAT HLDR NO LONGER CLAIMS SMALL ENT STAT AS INDIV INVENTOR (ORIGINAL EVENT CODE: LSM1); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12