US5905850A - Method and apparatus for positioning substrates - Google Patents

Method and apparatus for positioning substrates Download PDF

Info

Publication number
US5905850A
US5905850A US08/671,715 US67171596A US5905850A US 5905850 A US5905850 A US 5905850A US 67171596 A US67171596 A US 67171596A US 5905850 A US5905850 A US 5905850A
Authority
US
United States
Prior art keywords
substrate
end effector
location
wafer
camera
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US08/671,715
Inventor
Farrokh Kaveh
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to US08/671,715 priority Critical patent/US5905850A/en
Assigned to LAM RESEARCH CORPORATION reassignment LAM RESEARCH CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KAVEH, FARROKH
Application granted granted Critical
Publication of US5905850A publication Critical patent/US5905850A/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54493Peripheral marks on wafers, e.g. orientation flats, notches, lot number

Definitions

  • This invention relates to a method and apparatus for aligning and rotationally orienting substrates and more particularly to a semiconductor wafer processing system with improved wafer handling characteristics.
  • etched semiconductor wafers for use in microcircuit chips typically employs large process module systems.
  • Semiconductor process modules form part of a large grouping of components that are usually located in a clean room environment.
  • a clean room is an isolated environment in which the introduction of dust and other foreign matter is strictly controlled. Only by maintaining such control can a high quality semiconductor product be produced.
  • the high level of environmental control makes clean room space extremely expensive. Since the majority of semiconductor processing equipment must be located within the floor space of the clean room, the size of that equipment becomes a significant cost consideration.
  • FIG. 1 A conventional semiconductor wafer processing facility, according to the prior art, is detailed in FIG. 1.
  • the facility in this example is a cluster tool 20.
  • the cluster tool includes a central transport or handling module or platform 22 that is surrounded by modules that perform operations on the wafers.
  • unprocessed wafers 24 are stored in a vacuum cassette elevator 26 that moves a stack of wafers upwardly to provide new wafers as needed.
  • a robot arm 28 having articulating joints 30 and 32 connecting arm segments 33 and 35 is used to handle wafers 24 within the facility 20.
  • An end effector 34 engages each wafer 24 and withdraws it (double arrow 36) from the vacuum cassette elevator 26 as needed.
  • the arm 28 moves about its pivoting base 38 to access each of the facility modules according to a preprogrammed sequence or "recipe.”
  • Wafers 24 are processed to include etched or deposited surfaces by process modules that perform specific tasks.
  • a typical cluster tool can include two to four process modules. Wafers are often shuttled between one process module and another to undergo multiple process steps. Prior to entry of a wafer, into a process module it is common practice to align and orient the wafer into a standard position. Most wafers are circular in perimeter outline, but each may include a notch, flat or other "interruption" at an arbitrary location along its perimeter. This notch or flat serves to provide a standard rotational reference point for further processing.
  • wafers 24 When wafers 24 are stacked in the cassette 26, they are not generally oriented so that all notches or flats are similarly positioned. In addition, wafers are not always accurately centered on the end effector 34 following retrieval from the cassette 26. Hence, without performing prior alignment, each wafer may enter a particular process module with its own unique rotational orientation and centering. Proper processing generally necessitates that wafers be centered in the various process modules. Thus they must be centered relative to the end effectors that place wafers into the modules. Rotational orientation should also remain constant, particularly during the photolithography step of the process so that patterns are stacked over one another in the proper relationship. It is also desirable to maintain a known rotational orientation when wafers are periodically inspected for non-uniformities.
  • An inspection usually entails a survey of the processed surface with respect to the notch or flat. If some portion of the processed surface includes a non-uniformity or defect, then this may suggest that a particular process module should be serviced. Accordingly, the position of the notch or flat relative to the mounting location 41 and 43 of the respective process module 40 and 42 must be known to derive the source of the non-uniformity. Hence, prior to insertion of wafers 24 into process modules 40 and 42, the wafers are fed (arrow 44) to an alignment module 46 that places each wafer in a properly centered and rotated position with respect to the robot arm.
  • FIG. 2 illustrates the positioning of a typical wafer 24, in an unaligned state, on the spinner 48.
  • the wafer's center 54 is offset from the desired center 56.
  • the notch 58 is offset from the desired rotational positioning of the notch (shown in phantom). This rotational offset is represented by an angle ⁇ .
  • the spinner 48 rotates the wafer 24 as an edge detector 60 scans the perimeter 62 of the wafer. Changes in position of the detected perimeter edge during rotation are used to derive the eccentricity of the wafer center 54 relative to the spinner center 56.
  • An edge detect circuit 66 calculate the degree of eccentricity based upon the detected changes.
  • the wafer is periodically lifted by the robot arm 28 to reposition the wafer so that its center 54 is aligned with the spinner center 56.
  • the edge detector 60 is then focused upon the notch 58.
  • the wafer is spun until the notch is found.
  • the spinner 48 rotates the wafer so that the notch is positioned at a desired rotation orientation.
  • the wafer is lifted from the spinner 48 using, for example, the pins 52 and reengaged by the arm end effector 34.
  • the end effector then transports the wafer (arrow 68) to the process module 40.
  • the end effector enters the module and places the wafer at a precise, preprogrammed location for semiconductor processing.
  • the wafer is typically moved by the arm (arrow 70) to a receive indexer 72.
  • the wafer may be realigned in the alignment module each time a further process is performed.
  • the wafer may, first, make a return trip to the alignment module 46.
  • process modules include elaborate entrance and exit load locks (ELL's and XLL's) each having individual arms with individual alignment units.
  • ELL's and XLL's entrance and exit load locks
  • XLL's XLL's
  • an object of this invention to provide a method and apparatus for aligning and rotationally orienting substrates, such as semiconductor wafers, that omit complex alignment modules and mechanisms.
  • This method and the related apparatus should increase the overall process speed for manufacturing wafers, but should still provide desired information on the precise orientation and alignment of individual wafers.
  • the method and apparatus should also provide improved tracking of wafers as they move through a processing facility.
  • This invention provides a method and apparatus for aligning/centering and rotationally orienting substrates, particularly for use in semiconductor wafer processing facilities, that overcome the disadvantages of the prior art.
  • a camera interconnected with a grayscale processing unit scans each wafer to locate its relative position and its associated perimeter notch or flat. This data is used to effect alignment and rotational orientation of the wafer as it enters selected process modules in the facility.
  • a separate alignment module can be omitted, and rather than centering each wafer on the facility's manipulator arm, the arm is reoriented based upon the actual position of the wafer on the arm. As such, the arm proceeds to a process module along a modified path of travel.
  • an apparatus for positioning substrates provides a source of substrates and a manipulator having an end effector for engaging the substrates at the source.
  • the end effector can be part of an arm that moves the substrates to locations remote from the source.
  • One of the locations can be a process module that processes substrates.
  • Such a process can include semiconductor etching or deposition.
  • the process module has at least one mounting location for mounting substrates thereon.
  • a camera is provided. The camera generates an image of a substrate when the substrate is located on the end effector and while the end effector is positioned adjacent the camera. By “adjacent,” it is meant under, over, or otherwise located in o position in which the camera can view the wafer.
  • a sensing processor is operatively interconnected to the camera.
  • the sensing processor determines the position of the centroid or another reference point of the substrate and compares the position of the reference point with a known position of the mounting location.
  • the end effector position relative to the mounting location is also known, and the robot arm travels along a known path to the mounting location.
  • the end effector can be adjusted to account for variations in the centering of the wafer. And these adjustments affect the final positioning of the end effector when it reaches the mounting location.
  • a manipulator control processor that is operatively interconnected with the sensing processor moves the end effector while compensating for a difference between the known position and the centroid (or other reference point) of the substrate so that when the end effector is moved automatically to the mounting location in the process module, the wafer's centroid is placed over the mounting location's center.
  • the apparatus can further include a perimeter interruption locator that scans for a notch, flat or other interruption along the perimeter of the substrate.
  • the locator compares a sensed perimeter interruption to a known angular location and uses this data to derive an offset angle ⁇ .
  • a memory can be provided to store the angle ⁇ for future use.
  • the angle ⁇ can also be employed to physically rotate the substrate using a rotator mounted on either the end effector or at a remote, freestanding location.
  • the manipulator can be a robot arm that is located in a cluster tool handling module.
  • the arm can also be located in an entrance load lock, that services as a process module.
  • a method for positioning substrates is provided.
  • Substrates are retrieved from a source of substrates.
  • An end effector of a manipulator engages the substrates.
  • the end effector is located adjacent to a camera unit.
  • An outline shape of the substrate is retrieved as an image.
  • a centroid or other reference point of the substrate is determined and the position of the reference point relative to a known location is also determined.
  • the difference between the position of the wafer's reference point and the known location is calculated and stored as an adjustment factor.
  • the end effector is, thus, moved to a remote location along a predetermined path that is changed to account for the adjustment factor.
  • FIG. 1, already described, is a schematic plan view of a cluster tool for processing substrates, including an alignment module according to the prior art
  • FIG. 2 already described, is a more-detailed schematic plan view of a wafer located on the spinner of the alignment module of FIG. 1;
  • FIG. 3 is a schematic plan view of a semiconductor wafer processing facility, including a mechanism for aligning and rotationally orienting wafers according to one embodiment of this invention
  • FIG. 4 is a schematic plan view of an end effector having a spinner according to an alternate embodiment of this invention.
  • FIG. 5 is a schematic plan view of an entrance load lock (ELL) for use with a process module, having a mechanism for aligning and rotationally orienting wafers according to another alternate embodiment of this invention.
  • FIG. 6 is a flow diagram of a process for aligning and rotationally orientating substrates according to this invention.
  • FIG. 3 illustrates a semiconductor processing facility according to an embodiment of this invention.
  • the processing facility is organized as a cluster tool 100 with a central wafer handling module 102 surrounded by a series of process modules. Elements that are like those described for the prior art cluster tool 20 of FIG. 1 are given like reference numbers.
  • a pair of process modules 40 and 42 are located on adjacent sides of the handling module 102.
  • a centrally located robot arm 28 is provided.
  • the robot arm like that of FIG. 1, uses a pantograph-style arm arrangement with a pair of driving joints 32 for swinging arm segments 33 and 35. The arm segments are, themselves, joined by articulating joints 30.
  • the arm 28 is mounted on a swiveling base 38.
  • the powered joints 32 enable the end effector 34 to move forwardly and rearwardly (double arrow 104).
  • the base 38 similarly, rotates (curved arrow 106) to move the end effector about the module 102.
  • the end effector 34 can be made movable upwardly and downwardly (perpendicularly to the plane of the page) by providing an elevator drive (not shown) to the swiveling base 38 or a similar lifting mechanism acting upon the arm 28 or the end effector 34.
  • a camera unit 108 having a camera lens 110 is located adjacent the vacuum cassette elevator 26.
  • the exact location of the camera unit 108 can be varied depending upon the configuration of the facility. It is desirable that it be located in an area that is along the natural path of travel of the arm or adjacent thereto, to minimize extraneous movements of the robot arm 28 from a direct path of travel between modules.
  • the camera is mounted on the surface of the handling module 102 so that wafers pass "over" the camera to be scanned. It is contemplated that the camera can be mounted over the module 102 or at a remote point so long as the camera can view the wafer at some point along its path of travel. While the term passing "over” is used to describe the scanning of the wafer, that term should be taken to include scanning of the wafer by the camera at any relative positioning, including "over,” “under,” “aside” or “adjacent.”
  • the camera unit 108 comprises a conventional solid-state CCD, or equivalent video camera, having an objective view point or lens size sufficient to scan the entire surface of a wafer 24 at once.
  • the camera can be located so that it scans only part of the wafer, but derives an image of the entire wafer as it passes thereover.
  • additional scanning software (described generally below) is required.
  • the camera unit 108 is interconnected with a wafer centroid and notch/flat sensing circuit 112.
  • the operation of this circuit is also described further below.
  • the sensing circuit 112 determines the center or "centroid" of the wafer and the orientation of the notch or flat on the wafer perimeter using known centroid calculation methods.
  • the sensing circuitry can identify any reference point or group of points (such as the perimeter or a portion of the perimeter) on the wafer to determine its relative position.
  • the sensing circuit or routine can scan for an arc of the wafer perimeter and store date representing a relative location of the arc.
  • centroid is used herein, it shall be taken broadly to include any reference point or points on the wafer from which a position of the wafer can be discerned.
  • the sensing circuitry 112 is interconnected with the robot arm control circuit 114 which has been modified to interpret data from the sensing circuit 112.
  • the robot arm control 114 which can be integrated into the handling module 102, is operatively connected with the robot arm 28 so that the control 114 directs movement of the robot arm 28 about the module 102.
  • the robot arm 28 is programmed to move so that the end effector withdraws a wafer 24 from the cassette (block 113) and passes over the camera unit 108 (block 115) as it moves (arrow 118) to the process module 40.
  • the camera unit 108 scans the wafer 24 as it rests on the end effector 34.
  • the arm 28 can slow down or stop briefly while the end effector is adjacent the camera unit 108.
  • a quick-scanning camera can vitiate the need for delay as the wafer passes over the camera unit 108.
  • the profile of the wafer 24 is scanned by the camera unit and the data of the visual image is digitized by conventional processes and transmitted as a data stream to the wafer centroid and notch/flat sensing circuit 112.
  • This sensing circuit 112 can be implemented in hardware, or part of a software processor routine. It can be part of the existing handling module 102 arm controller or can be an added component.
  • the sensing circuit 112 performs a grayscale analysis of the viewed image (block 117).
  • the grayscale analysis is performed when the robot arm end effector 34 has reached a predetermined known location relative to the camera unit 108.
  • the triggering of the camera unit 108 and sensing circuit 112 can be linked to the robot arm control circuit 114 which tracks the position of the end effector 34 as it moves along its path.
  • the camera unit 108 and sensing circuit 112 are triggered.
  • the sensing circuit 112 differentiates between darkened areas, representing the space occupied by the wafer 24 and lighter areas, representing the space occupied by the area outside the perimeter of the wafer 24.
  • an appropriate light-colored background can be provided above the camera to generate a contrast difference.
  • the perimeter outline of the wafer, including the notch or flat, are translated into a series of coordinate values and the coordinate values are compared to the desired location of a wafer 24 when the end effector 34 is located at the predetermined known location relative to the camera unit 108.
  • the calculation can include a derivation of the scanned wafer's centroid (or other reference point) relative to the desired center point. Calculating the difference between the actual scanned wafer centroid and the desired center point, an X and Y-component transformation is obtained (block 119). This value is stored.
  • the sensing circuit 112 also determines the location of the flat or notch of the wafer by identifying a discontinuity in the perimeter.
  • the rotational position of the discontinuity relative to the camera unit 108 is determined. This value is stored as an angle ⁇ (block 123). Note that each wafer in a set to be processed can be numbered or identified and that the data relative to the X and Y-transformation and angle ⁇ can be permanently stored relative to that wafer's identifier.
  • the wafer is moved along the path (arrow 118) to the process module 40.
  • the robot arm 28 adjusts its movement path to account for the X and Y-transformation so that the wafer is placed within the process module with a correction factor that leaves it accurately centered at the mounting location 41 within the process module (block 121).
  • the wafer can be transferred to another process module, such as adjacent process module 42 or, as shown, can be transferred back to the receive cassette 72 (see arrow 119). If further processing is undertaken, the robot arm 28 can pass back over the camera unit 108 to ensure that alignment is maintained. Alternatively, additional camera units (not shown) interconnected with the sensing circuit 112 can be provided at other strategic positions on the handling module 102.
  • FIG. 4 details an end effector 120 that can be used in conjunction with the robot arm 28 shown and described in FIG. 3.
  • the end effector 120 includes an outer frame 122 having support bearings 124.
  • the outer frame 122 is formed as a C-shape with an open center 126 and a channel 128. This enables the end effector to pass over a spinner, capstan or other freestanding support.
  • An inner frame 130 with inner support bearings 132 is also provided in this embodiment.
  • the inner and outer frames can be connected by appropriate underlying braces (not shown) to maintain a constant coaxial relationship.
  • the base 134 can include a frictional surface 138 or ports interconnected with a vacuum source (not shown) to maintain the wafer in non-slidable contact with the base 134.
  • the base 134 can be formed with a raised surface so that the frames 122 and 130 do not drag upon a wafer surface when the wafer rests upon the end effector 120.
  • the base 134 rotates in response to a motor 140.
  • the motor 140 is mounted upon the base support bracket 142 according to this embodiment. However, the motor 140 can be mounted anywhere along the end effector or remote from the end effector. When mounted remote from the end effector, the motor is interconnected with the base by appropriate drive shafts and power transmission components of conventional design (not shown).
  • the motor 140 can comprise a stepper motor or servo that enables the rotational position of the base 134 relative to the frames 122 and 130 to be ascertained at any time and accurately manipulated.
  • the motor 140 is interconnected with a rotator control circuit 144 that can be implemented as a hardware control circuit or, alternative as a software routine.
  • the rotator control circuit 144 is, itself, interconnected with the wafer centroid and notch/flat sensing circuit 112 described with reference to FIG. 3.
  • Data related to the rotational angle ⁇ is transmitted to the rotator control, which rotates the base 134, via the motor 140.
  • the translation of the base is directed in a clockwise or counter-clockwise direction for an angular distance equal to ⁇ .
  • the value ⁇ can be supplied to the system as a positive or negative value to instruct the motor to move in either a clockwise or counter-clockwise direction, respectively.
  • the rotation of the wafer by the end effector 120 is illustrated as an optional step block 150).
  • the rotation can occur prior to arm translation, while the wafer is still adjacent the camera unit 108.
  • the camera unit 108 can be instructed to rescan the wafer to ensure proper rotation.
  • Subsequent to rotation the wafer is translated (block 152) to the designated process module where it is properly aligned within the module using the X-Y transformation values determined by the wafer sensing circuit 112.
  • the spin command can be transmitted to the end effector when the wafer is located adjacent the process module.
  • a spin can occur at any time during the process so long as it occurs before the wafer is disengaged from the end effector 120.
  • the spinner can also operate in conjunction with signals from the robot arm control circuit 114 to spin the wafer as it moves into other process modules so that it always enters a given process module in a predetermined rotational orientation.
  • FIG. 5 illustrates an alternate embodiment in which wafers 24 are retrieved from a send indexer 200 for placement in an entrance load lock 202 that services a process module 204.
  • the entrance load lock (ELL) 202 includes automatically operated gates 206 and 208 that enable a vacuum to be formed at selective times within the entrance load lock prior to introduction of wafers 24 into the process module 204.
  • An entrance load lock arm assembly 210 extends at selected times through the port 206 to retrieve wafers 24 from the send indexer 200.
  • the arm 210 includes two arm segments 212 and 224 joined at an articulating shoulder 216.
  • the end effector 218 that engages wafers 24 is fork-shaped according to this embodiment.
  • a drive motor 220 controls the arm 210 to move it about the entrance load lock.
  • Various conventional linkages can be provided to move each of the two arm segments 212 and 214 in a coordinated manner. For the purposes of this description, it is assumed that the linkages are all operatively interconnected with the control base 222 of the arm 210.
  • the control base 222 is, itself, interconnected with the entrance load lock control circuit 224.
  • the control circuit 224 can be a hardware or software-based processor and can be interconnected with the overall facility control system.
  • wafers 24 are retrieved by the arm 210 and moved (arrow 226) adjacent an entrance load lock spinner unit 228.
  • a camera unit 230 is provided adjacent the spinner unit 228.
  • the camera unit 230 including a camera lens 232, can be provided in any location over which the end effector 218 can pass.
  • the camera unit 230 can be constructed from a variety of conventional components and is positioned so that it views the disk fully when the disk is positioned adjacent thereto.
  • a four-pin lifter unit comprising four pins 234 is positioned around the capstan 236 on the spinner unit 228.
  • the capstan 236 can include conventional vacuum holes for firmly engaging a wafer.
  • wafers 24 are removed from the send indexer 200 and passed along the path 226 to the capstan 236.
  • the camera unit 230 analyzes the centroid and notch location of the wafer 24 using the wafer centroid and notch/flat sensing circuit 240.
  • the sensing circuit 240 operates in a manner similar to that described with reference to FIG. 3. In other words, a grayscale analysis is used to determine the location of the wafer centroid and notch or flat.
  • the centroid data is translated into X and Y-values and transmitted to the arm control circuit 224.
  • the arm is then moved to compensate for the difference in the centroid position from a desired location.
  • the four pins 234 then raise the wafer, giving clearance for the end effector 218 to move away from the wafer 24 and capstan 236.
  • the pins 234 then lower the wafer 24 onto the capstan 236 in a centered alignment.
  • the capstan 236 rotates (curved arrow 244) based upon commands from the spinner control circuit 246.
  • the spinner control circuit 246 operates after receiving the value 0 from the wafer centroid and notch/flat sensing circuit 240.
  • the wafer is now aligned and properly oriented.
  • the four pins 234 again lift the wafer, enabling the end effector 218 to pass thereunder.
  • the end effector 218 locates itself at a predetermined position relative to the capstan 236 so that, when the pins 234 again lower the wafer onto the end effector, the wafer is accurately positioned and oriented relatively to the end effector 218.
  • the end effector is then moved along the path (arrow 250) through the port 208 into the process module 204.
  • the end effector 218 deposits the wafer within the process module at a known mounting location 251, corrected for centroid misalignment.
  • the simplified alignment structure of this embodiment allows the receive cassette 252 to be positioned aside the send cassette 200.
  • the exit load lock (XLL) 254 is adapted to move wafers from the process module (arrow 256) and then, at a right angle into the receive cassette 252 (arrow 258).
  • Prior art exit load locks typically discharge in a straight line from the process module to the receive cassette since a complicated shuttle arm and lifter/spinner arrangement is located in the space occupied by the send and receive cassettes 200, 252 of this embodiment.
  • the prior art send cassette is located substantially further away from the entrance load lock that the cassette 200 of this embodiment. Hence, the omission of elaborate alignment mechanisms has enabled substantial compaction of the facility's footprint in the clean room.
  • the four pins 234 can be omitted and that the end effector 218 can be constructed so that it raises and lowers the wafer onto the capstan 236 itself. Whenever the end effector is out of engagement with the wafer, it is free to move to a new orientation to account for misalignments of the wafer.
  • the end effector of the ELL arm described herein can include an integral spinner, such as that shown and described with reference to FIG. 4. Hence, only a camera unit need be located within the entrance load lock 202. However, as shown and described in FIG. 5, a separate spinner can be incorporated into any of the embodiments described herein. Such a spinner can be instructed to rotate based upon data derived from the camera's grayscale analysis of the location of the notch or flat.

Abstract

A method and apparatus for positioning substrates is provided. The substrates are typically semiconductor wafers that require centering on a mounting location within a process module and orientation of a notch, flat or perimeter interruption to a known rotational position. A robot arm transfers wafers from a source to the process module. A camera is provided adjacent a path of travel of the robot arm to scan the wafers as they pass thereover. A grayscale analysis determines the centroid, or other reference point, of the wafer and the location of the notch or flat. The path of travel of the arm is altered to account for misalignment of the centroid/reference point from a known location. Data related to the rotational offset of the notch or flat relative to a known standard location is also obtained. The wafer can be rotated to position the notch or flat at a desired standard position using a rotator mounted on the robot arm or at a remote site.

Description

FIELD OF THE INVENTION
This invention relates to a method and apparatus for aligning and rotationally orienting substrates and more particularly to a semiconductor wafer processing system with improved wafer handling characteristics.
BACKGROUND OF THE INVENTION
The production of etched semiconductor wafers for use in microcircuit chips typically employs large process module systems. Semiconductor process modules form part of a large grouping of components that are usually located in a clean room environment. A clean room is an isolated environment in which the introduction of dust and other foreign matter is strictly controlled. Only by maintaining such control can a high quality semiconductor product be produced. The high level of environmental control makes clean room space extremely expensive. Since the majority of semiconductor processing equipment must be located within the floor space of the clean room, the size of that equipment becomes a significant cost consideration.
A conventional semiconductor wafer processing facility, according to the prior art, is detailed in FIG. 1. The facility in this example is a cluster tool 20. A variety of arrangements and organizations of facilities are in use. In particular, the cluster tool includes a central transport or handling module or platform 22 that is surrounded by modules that perform operations on the wafers. In this example, unprocessed wafers 24 are stored in a vacuum cassette elevator 26 that moves a stack of wafers upwardly to provide new wafers as needed. A robot arm 28 having articulating joints 30 and 32 connecting arm segments 33 and 35 is used to handle wafers 24 within the facility 20. An end effector 34 engages each wafer 24 and withdraws it (double arrow 36) from the vacuum cassette elevator 26 as needed. The arm 28 moves about its pivoting base 38 to access each of the facility modules according to a preprogrammed sequence or "recipe."
Wafers 24 are processed to include etched or deposited surfaces by process modules that perform specific tasks. A typical cluster tool can include two to four process modules. Wafers are often shuttled between one process module and another to undergo multiple process steps. Prior to entry of a wafer, into a process module it is common practice to align and orient the wafer into a standard position. Most wafers are circular in perimeter outline, but each may include a notch, flat or other "interruption" at an arbitrary location along its perimeter. This notch or flat serves to provide a standard rotational reference point for further processing.
When wafers 24 are stacked in the cassette 26, they are not generally oriented so that all notches or flats are similarly positioned. In addition, wafers are not always accurately centered on the end effector 34 following retrieval from the cassette 26. Hence, without performing prior alignment, each wafer may enter a particular process module with its own unique rotational orientation and centering. Proper processing generally necessitates that wafers be centered in the various process modules. Thus they must be centered relative to the end effectors that place wafers into the modules. Rotational orientation should also remain constant, particularly during the photolithography step of the process so that patterns are stacked over one another in the proper relationship. It is also desirable to maintain a known rotational orientation when wafers are periodically inspected for non-uniformities. An inspection usually entails a survey of the processed surface with respect to the notch or flat. If some portion of the processed surface includes a non-uniformity or defect, then this may suggest that a particular process module should be serviced. Accordingly, the position of the notch or flat relative to the mounting location 41 and 43 of the respective process module 40 and 42 must be known to derive the source of the non-uniformity. Hence, prior to insertion of wafers 24 into process modules 40 and 42, the wafers are fed (arrow 44) to an alignment module 46 that places each wafer in a properly centered and rotated position with respect to the robot arm.
During the alignment step, the end effector 34 lays each wafer atop a spinner or capstan 48 having a series of vacuum ports 50, or other friction-generating devices that retain the wafer on the spinner 48. A lifter having four pins 52 can be employed to carefully lower the wafer onto the spinner once the end effector is located over it. FIG. 2 illustrates the positioning of a typical wafer 24, in an unaligned state, on the spinner 48. The wafer's center 54 is offset from the desired center 56. Likewise, the notch 58 is offset from the desired rotational positioning of the notch (shown in phantom). This rotational offset is represented by an angle θ. The spinner 48 rotates the wafer 24 as an edge detector 60 scans the perimeter 62 of the wafer. Changes in position of the detected perimeter edge during rotation are used to derive the eccentricity of the wafer center 54 relative to the spinner center 56. An edge detect circuit 66 calculate the degree of eccentricity based upon the detected changes.
Based upon signals from a robot arm controller 68, the wafer is periodically lifted by the robot arm 28 to reposition the wafer so that its center 54 is aligned with the spinner center 56. Once the wafer is ally centered, the edge detector 60 is then focused upon the notch 58. The wafer is spun until the notch is found. The spinner 48 rotates the wafer so that the notch is positioned at a desired rotation orientation. Following the centering and orienting procedure, the wafer is lifted from the spinner 48 using, for example, the pins 52 and reengaged by the arm end effector 34. The end effector then transports the wafer (arrow 68) to the process module 40. At this time, the end effector enters the module and places the wafer at a precise, preprogrammed location for semiconductor processing. Following processing, the wafer is typically moved by the arm (arrow 70) to a receive indexer 72. The wafer may be realigned in the alignment module each time a further process is performed. Thus, if the wafer is subsequently moved to the second process module 42, it may, first, make a return trip to the alignment module 46.
In some facilities, process modules include elaborate entrance and exit load locks (ELL's and XLL's) each having individual arms with individual alignment units. Clearly, a great deal of space is occupied by the need for dedicated alignment modules and mechanisms. As noted above, this waste of precious clean room floor space to provide alignment devices adds substantial costs to the process.
It is, therefore, an object of this invention to provide a method and apparatus for aligning and rotationally orienting substrates, such as semiconductor wafers, that omit complex alignment modules and mechanisms. This method and the related apparatus should increase the overall process speed for manufacturing wafers, but should still provide desired information on the precise orientation and alignment of individual wafers. The method and apparatus should also provide improved tracking of wafers as they move through a processing facility.
SUMMARY OF THE INVENTION
This invention provides a method and apparatus for aligning/centering and rotationally orienting substrates, particularly for use in semiconductor wafer processing facilities, that overcome the disadvantages of the prior art. A camera, interconnected with a grayscale processing unit scans each wafer to locate its relative position and its associated perimeter notch or flat. This data is used to effect alignment and rotational orientation of the wafer as it enters selected process modules in the facility. As a result, a separate alignment module can be omitted, and rather than centering each wafer on the facility's manipulator arm, the arm is reoriented based upon the actual position of the wafer on the arm. As such, the arm proceeds to a process module along a modified path of travel.
According to one embodiment, an apparatus for positioning substrates provides a source of substrates and a manipulator having an end effector for engaging the substrates at the source. The end effector can be part of an arm that moves the substrates to locations remote from the source. One of the locations can be a process module that processes substrates. Such a process can include semiconductor etching or deposition. The process module has at least one mounting location for mounting substrates thereon. A camera is provided. The camera generates an image of a substrate when the substrate is located on the end effector and while the end effector is positioned adjacent the camera. By "adjacent," it is meant under, over, or otherwise located in o position in which the camera can view the wafer. A sensing processor is operatively interconnected to the camera. The sensing processor determines the position of the centroid or another reference point of the substrate and compares the position of the reference point with a known position of the mounting location. The end effector position relative to the mounting location is also known, and the robot arm travels along a known path to the mounting location. Thus, the end effector can be adjusted to account for variations in the centering of the wafer. And these adjustments affect the final positioning of the end effector when it reaches the mounting location. A manipulator control processor, that is operatively interconnected with the sensing processor moves the end effector while compensating for a difference between the known position and the centroid (or other reference point) of the substrate so that when the end effector is moved automatically to the mounting location in the process module, the wafer's centroid is placed over the mounting location's center.
The apparatus can further include a perimeter interruption locator that scans for a notch, flat or other interruption along the perimeter of the substrate. The locator compares a sensed perimeter interruption to a known angular location and uses this data to derive an offset angle θ. A memory can be provided to store the angle θ for future use. The angle θ can also be employed to physically rotate the substrate using a rotator mounted on either the end effector or at a remote, freestanding location. The manipulator can be a robot arm that is located in a cluster tool handling module. The arm can also be located in an entrance load lock, that services as a process module.
According to another embodiment, a method for positioning substrates is provided. Substrates are retrieved from a source of substrates. An end effector of a manipulator engages the substrates. The end effector is located adjacent to a camera unit. An outline shape of the substrate is retrieved as an image. Using the image, a centroid or other reference point of the substrate is determined and the position of the reference point relative to a known location is also determined. The difference between the position of the wafer's reference point and the known location is calculated and stored as an adjustment factor. The end effector is, thus, moved to a remote location along a predetermined path that is changed to account for the adjustment factor.
BRIEF DESCRIPTION OF THE DRAWINGS
The foregoing and other objects and advantages of the invention will become more clear with reference to the following detailed description as illustrated by the drawings in which:
FIG. 1, already described, is a schematic plan view of a cluster tool for processing substrates, including an alignment module according to the prior art;
FIG. 2, already described, is a more-detailed schematic plan view of a wafer located on the spinner of the alignment module of FIG. 1;
FIG. 3 is a schematic plan view of a semiconductor wafer processing facility, including a mechanism for aligning and rotationally orienting wafers according to one embodiment of this invention;
FIG. 4 is a schematic plan view of an end effector having a spinner according to an alternate embodiment of this invention;
FIG. 5 is a schematic plan view of an entrance load lock (ELL) for use with a process module, having a mechanism for aligning and rotationally orienting wafers according to another alternate embodiment of this invention; and
FIG. 6 is a flow diagram of a process for aligning and rotationally orientating substrates according to this invention.
DETAILED DESCRIPTION
FIG. 3 illustrates a semiconductor processing facility according to an embodiment of this invention. The processing facility is organized as a cluster tool 100 with a central wafer handling module 102 surrounded by a series of process modules. Elements that are like those described for the prior art cluster tool 20 of FIG. 1 are given like reference numbers. In particular, a pair of process modules 40 and 42 are located on adjacent sides of the handling module 102. Additionally, a centrally located robot arm 28 is provided. The robot arm, like that of FIG. 1, uses a pantograph-style arm arrangement with a pair of driving joints 32 for swinging arm segments 33 and 35. The arm segments are, themselves, joined by articulating joints 30. The arm 28 is mounted on a swiveling base 38. The powered joints 32 enable the end effector 34 to move forwardly and rearwardly (double arrow 104). The base 38, similarly, rotates (curved arrow 106) to move the end effector about the module 102. In this embodiment, the end effector 34 can be made movable upwardly and downwardly (perpendicularly to the plane of the page) by providing an elevator drive (not shown) to the swiveling base 38 or a similar lifting mechanism acting upon the arm 28 or the end effector 34.
According to this embodiment, a camera unit 108 having a camera lens 110 is located adjacent the vacuum cassette elevator 26. The exact location of the camera unit 108 can be varied depending upon the configuration of the facility. It is desirable that it be located in an area that is along the natural path of travel of the arm or adjacent thereto, to minimize extraneous movements of the robot arm 28 from a direct path of travel between modules. In this embodiment, the camera is mounted on the surface of the handling module 102 so that wafers pass "over" the camera to be scanned. It is contemplated that the camera can be mounted over the module 102 or at a remote point so long as the camera can view the wafer at some point along its path of travel. While the term passing "over" is used to describe the scanning of the wafer, that term should be taken to include scanning of the wafer by the camera at any relative positioning, including "over," "under," "aside" or "adjacent."
The camera unit 108 comprises a conventional solid-state CCD, or equivalent video camera, having an objective view point or lens size sufficient to scan the entire surface of a wafer 24 at once. Alternatively, the camera can be located so that it scans only part of the wafer, but derives an image of the entire wafer as it passes thereover. However, in a moving scan application, additional scanning software (described generally below) is required.
The camera unit 108 is interconnected with a wafer centroid and notch/flat sensing circuit 112. The operation of this circuit is also described further below. In summary, it is a commercially available image processor circuit or software package that uses a conventional grayscale analysis of the viewed wafer passing over the camera unit 108. The sensing circuit 112 determines the center or "centroid" of the wafer and the orientation of the notch or flat on the wafer perimeter using known centroid calculation methods. Again, while the wafer centroid is located according to this embodiment, it is expressly contemplated that the sensing circuitry can identify any reference point or group of points (such as the perimeter or a portion of the perimeter) on the wafer to determine its relative position. For example the sensing circuit or routine can scan for an arc of the wafer perimeter and store date representing a relative location of the arc. Thus while "centroid" is used herein, it shall be taken broadly to include any reference point or points on the wafer from which a position of the wafer can be discerned.
The sensing circuitry 112 is interconnected with the robot arm control circuit 114 which has been modified to interpret data from the sensing circuit 112. The robot arm control 114, which can be integrated into the handling module 102, is operatively connected with the robot arm 28 so that the control 114 directs movement of the robot arm 28 about the module 102.
Reference may now also be made to the flow diagram of FIG. 6 which sets forth the alignment sequence for a wafer according to this embodiment. In this embodiment, the robot arm 28 is programmed to move so that the end effector withdraws a wafer 24 from the cassette (block 113) and passes over the camera unit 108 (block 115) as it moves (arrow 118) to the process module 40. The camera unit 108 scans the wafer 24 as it rests on the end effector 34. To ensure proper scanning, the arm 28 can slow down or stop briefly while the end effector is adjacent the camera unit 108. Conversely, a quick-scanning camera can vitiate the need for delay as the wafer passes over the camera unit 108. The profile of the wafer 24 is scanned by the camera unit and the data of the visual image is digitized by conventional processes and transmitted as a data stream to the wafer centroid and notch/flat sensing circuit 112. This sensing circuit 112 can be implemented in hardware, or part of a software processor routine. It can be part of the existing handling module 102 arm controller or can be an added component. The sensing circuit 112 performs a grayscale analysis of the viewed image (block 117).
According to this embodiment, the grayscale analysis is performed when the robot arm end effector 34 has reached a predetermined known location relative to the camera unit 108. The triggering of the camera unit 108 and sensing circuit 112 can be linked to the robot arm control circuit 114 which tracks the position of the end effector 34 as it moves along its path. When a location corresponding to the location of the camera unit is identified, the camera unit 108 and sensing circuit 112 are triggered. In performing the resulting grayscale analysis, the sensing circuit 112 differentiates between darkened areas, representing the space occupied by the wafer 24 and lighter areas, representing the space occupied by the area outside the perimeter of the wafer 24. In some embodiments, an appropriate light-colored background can be provided above the camera to generate a contrast difference. The perimeter outline of the wafer, including the notch or flat, are translated into a series of coordinate values and the coordinate values are compared to the desired location of a wafer 24 when the end effector 34 is located at the predetermined known location relative to the camera unit 108. The calculation can include a derivation of the scanned wafer's centroid (or other reference point) relative to the desired center point. Calculating the difference between the actual scanned wafer centroid and the desired center point, an X and Y-component transformation is obtained (block 119). This value is stored. The sensing circuit 112 also determines the location of the flat or notch of the wafer by identifying a discontinuity in the perimeter. It is contemplated that most perimeters of wafers are circular, but other shapes having relatively regular outlines are also contemplated. The rotational position of the discontinuity relative to the camera unit 108 is determined. This value is stored as an angle θ (block 123). Note that each wafer in a set to be processed can be numbered or identified and that the data relative to the X and Y-transformation and angle θ can be permanently stored relative to that wafer's identifier.
As further detailed in FIG. 3, subsequent to scanning, the wafer is moved along the path (arrow 118) to the process module 40. The robot arm 28 adjusts its movement path to account for the X and Y-transformation so that the wafer is placed within the process module with a correction factor that leaves it accurately centered at the mounting location 41 within the process module (block 121).
No further scanning of the wafer at the process module is required, all variation in wafer centroid positioning has been accounted-for with the transformation, and the end effector travels to a known location in the process module subject to the correction factor. In the basic embodiment described above, the rotational orientation of the wafer 24 is not changed. The angle θ, rather, is stored and used later as a correction factor (block 123). In such an embodiment, the angle θ is used as a reference for determining the actual rotational orientation of the processed surface relative to the notch or flat. As noted above, inspection of wafers generally occurs only periodically (once every 12 or 24 hours) to ensure that the process unit is performing as expected. Subsequent to processing, the wafer can be transferred to another process module, such as adjacent process module 42 or, as shown, can be transferred back to the receive cassette 72 (see arrow 119). If further processing is undertaken, the robot arm 28 can pass back over the camera unit 108 to ensure that alignment is maintained. Alternatively, additional camera units (not shown) interconnected with the sensing circuit 112 can be provided at other strategic positions on the handling module 102.
In some processes, it is desirable to provide a positive rotational orientation to wafers as they are moved between steps. FIG. 4 details an end effector 120 that can be used in conjunction with the robot arm 28 shown and described in FIG. 3. In this embodiment, the end effector 120 includes an outer frame 122 having support bearings 124. The outer frame 122 is formed as a C-shape with an open center 126 and a channel 128. This enables the end effector to pass over a spinner, capstan or other freestanding support. An inner frame 130 with inner support bearings 132 is also provided in this embodiment. The inner and outer frames can be connected by appropriate underlying braces (not shown) to maintain a constant coaxial relationship. Between the inner and outer frames 122 and 130, respectively, is positioned a circular base member 134 that rotates (curved arrow 136) relative to the inner and outer frames 122 and 130. The base 134 can include a frictional surface 138 or ports interconnected with a vacuum source (not shown) to maintain the wafer in non-slidable contact with the base 134. The base 134 can be formed with a raised surface so that the frames 122 and 130 do not drag upon a wafer surface when the wafer rests upon the end effector 120. The base 134 rotates in response to a motor 140. The motor 140 is mounted upon the base support bracket 142 according to this embodiment. However, the motor 140 can be mounted anywhere along the end effector or remote from the end effector. When mounted remote from the end effector, the motor is interconnected with the base by appropriate drive shafts and power transmission components of conventional design (not shown).
The motor 140 can comprise a stepper motor or servo that enables the rotational position of the base 134 relative to the frames 122 and 130 to be ascertained at any time and accurately manipulated. The motor 140 is interconnected with a rotator control circuit 144 that can be implemented as a hardware control circuit or, alternative as a software routine. The rotator control circuit 144 is, itself, interconnected with the wafer centroid and notch/flat sensing circuit 112 described with reference to FIG. 3. Data related to the rotational angle θ is transmitted to the rotator control, which rotates the base 134, via the motor 140. The translation of the base is directed in a clockwise or counter-clockwise direction for an angular distance equal to θ. The value θ can be supplied to the system as a positive or negative value to instruct the motor to move in either a clockwise or counter-clockwise direction, respectively.
Referring again to FIG. 6, the rotation of the wafer by the end effector 120 is illustrated as an optional step block 150). The rotation can occur prior to arm translation, while the wafer is still adjacent the camera unit 108. The camera unit 108 can be instructed to rescan the wafer to ensure proper rotation. Subsequent to rotation the wafer is translated (block 152) to the designated process module where it is properly aligned within the module using the X-Y transformation values determined by the wafer sensing circuit 112. Alternatively, the spin command can be transmitted to the end effector when the wafer is located adjacent the process module. A spin can occur at any time during the process so long as it occurs before the wafer is disengaged from the end effector 120. The spinner can also operate in conjunction with signals from the robot arm control circuit 114 to spin the wafer as it moves into other process modules so that it always enters a given process module in a predetermined rotational orientation.
The aligning and rotational orienting process described according to this invention is not limited to facilities organized as cluster tools. FIG. 5 illustrates an alternate embodiment in which wafers 24 are retrieved from a send indexer 200 for placement in an entrance load lock 202 that services a process module 204. The entrance load lock (ELL) 202 includes automatically operated gates 206 and 208 that enable a vacuum to be formed at selective times within the entrance load lock prior to introduction of wafers 24 into the process module 204. An entrance load lock arm assembly 210 extends at selected times through the port 206 to retrieve wafers 24 from the send indexer 200. The arm 210 includes two arm segments 212 and 224 joined at an articulating shoulder 216. The end effector 218 that engages wafers 24 is fork-shaped according to this embodiment. A drive motor 220 controls the arm 210 to move it about the entrance load lock. Various conventional linkages (not shown) can be provided to move each of the two arm segments 212 and 214 in a coordinated manner. For the purposes of this description, it is assumed that the linkages are all operatively interconnected with the control base 222 of the arm 210. The control base 222 is, itself, interconnected with the entrance load lock control circuit 224. The control circuit 224 can be a hardware or software-based processor and can be interconnected with the overall facility control system. In this embodiment, wafers 24 are retrieved by the arm 210 and moved (arrow 226) adjacent an entrance load lock spinner unit 228. In this embodiment, a camera unit 230 is provided adjacent the spinner unit 228. The camera unit 230, including a camera lens 232, can be provided in any location over which the end effector 218 can pass. As described in preceding embodiments, the camera unit 230 can be constructed from a variety of conventional components and is positioned so that it views the disk fully when the disk is positioned adjacent thereto.
A four-pin lifter unit comprising four pins 234 is positioned around the capstan 236 on the spinner unit 228. The capstan 236 can include conventional vacuum holes for firmly engaging a wafer. In operation, wafers 24 are removed from the send indexer 200 and passed along the path 226 to the capstan 236. The camera unit 230 analyzes the centroid and notch location of the wafer 24 using the wafer centroid and notch/flat sensing circuit 240. The sensing circuit 240 operates in a manner similar to that described with reference to FIG. 3. In other words, a grayscale analysis is used to determine the location of the wafer centroid and notch or flat. The centroid data is translated into X and Y-values and transmitted to the arm control circuit 224. The arm is then moved to compensate for the difference in the centroid position from a desired location. In this embodiment, the four pins 234 then raise the wafer, giving clearance for the end effector 218 to move away from the wafer 24 and capstan 236. The pins 234 then lower the wafer 24 onto the capstan 236 in a centered alignment. The capstan 236 rotates (curved arrow 244) based upon commands from the spinner control circuit 246. The spinner control circuit 246 operates after receiving the value 0 from the wafer centroid and notch/flat sensing circuit 240. The wafer is now aligned and properly oriented. The four pins 234 again lift the wafer, enabling the end effector 218 to pass thereunder. The end effector 218 locates itself at a predetermined position relative to the capstan 236 so that, when the pins 234 again lower the wafer onto the end effector, the wafer is accurately positioned and oriented relatively to the end effector 218. The end effector is then moved along the path (arrow 250) through the port 208 into the process module 204. The end effector 218 deposits the wafer within the process module at a known mounting location 251, corrected for centroid misalignment.
The simplified alignment structure of this embodiment allows the receive cassette 252 to be positioned aside the send cassette 200. The exit load lock (XLL) 254 is adapted to move wafers from the process module (arrow 256) and then, at a right angle into the receive cassette 252 (arrow 258). Prior art exit load locks typically discharge in a straight line from the process module to the receive cassette since a complicated shuttle arm and lifter/spinner arrangement is located in the space occupied by the send and receive cassettes 200, 252 of this embodiment. The prior art send cassette is located substantially further away from the entrance load lock that the cassette 200 of this embodiment. Hence, the omission of elaborate alignment mechanisms has enabled substantial compaction of the facility's footprint in the clean room.
It is contemplated that the four pins 234 can be omitted and that the end effector 218 can be constructed so that it raises and lowers the wafer onto the capstan 236 itself. Whenever the end effector is out of engagement with the wafer, it is free to move to a new orientation to account for misalignments of the wafer. It is also contemplated that the end effector of the ELL arm described herein can include an integral spinner, such as that shown and described with reference to FIG. 4. Hence, only a camera unit need be located within the entrance load lock 202. However, as shown and described in FIG. 5, a separate spinner can be incorporated into any of the embodiments described herein. Such a spinner can be instructed to rotate based upon data derived from the camera's grayscale analysis of the location of the notch or flat.
The foregoing has been a detailed description of preferred embodiments. Various modifications and additions can be made without departing from the spirit and scope of this invention. For example, while semiconductor wafers are used as substrates in the foregoing embodiments, any substrate having a known perimeter can be processed according to this invention. Additionally, a variety of process facility configurations can be adapted to utilize the aligning and orienting processes described herein. When desirable, several cameras can be utilized in a single facility and separate analyses for each camera can be made. Accordingly, this description is meant to be taken only by way of example and not to otherwise limit the scope of this invention.

Claims (16)

What is claimed is:
1. A method for positioning substrates comprising the steps of:
providing a source of substrates having a generally circular perimeter with an interruption along the perimeter;
retrieving a substrate from the source of substrates including engaging the substrate with an end effector of a manipulator the end effector including a spinner for rotating the substrate when the substrate is in engagement with the end effector;
locating the end effector adjacent a camera unit with the substrate located in engagement with the end effector wherein the camera views the substrate as it is located on the end effector;
retrieving an image of a shape of the perimeter of the substrate with the camera including positioning the substrate with respect to the camera so that the camera acquires an image of substantially an entire area of the substrate;
determining, from the image of the entire area of the substrate, a reference point within the perimeter of the substrate and a position of the reference point relative to a known location of the end effector as the image is retrieved;
determining an angular value θ based upon an angular offset of the interruption from a known standard position;
calculating a movement adjustment factor, while the substrate is positioned on the end effector based upon a difference between the location of the reference point and the known location;
moving the end effector to a substrate processing location along a predetermined path of movement, the path being modified based upon the adjustment factor so that the substrate is placed in the processing location with the perimeter aligned in a desired alignment; and
rotating the substrate by the angle θ so that the substrate is placed in the processing location in a desired rotational alignment while the substrate is located on the end effector by rotating the spinner with which the substrate is engaged.
2. The method as set forth in claim 1 for the comprising storing the value θ in conjunction with an identifier for the substrate.
3. The method as set forth in claim 1 wherein the step of determining includes acquiring an angular alignment notch on the perimeter of the substrate.
4. The method as set forth in claim 1 further comprising applying a semiconductor processing step to the substrate at the remote location.
5. The method as set forth in claim 1 wherein the step of determining includes performing a grayscale analysis of image data transmitted from the camera unit and resolving location values relative to a perimeter of the substrate.
6. The method as set forth in claim 1 wherein the reference point includes the centroid of substrate and the known location is located at the remote location and comprises a center of a substrate mounting location.
7. An apparatus for positioning substrates comprising:
a source of substrates each having a perimeter with an interruption along the perimeter;
a manipulator including an end effector that engages a substrate at the source of substrates and that moves the substrate on the end effector in a predetermined orientation to a substrate process module for processing substrates remote from the source;
wherein the process module includes a mounting location for mounting substrate thereon in a desired orientation;
a camera unit located along a path of movement of the end effector between the source and the process module, the camera having a field of view within the path so as to view the substrate as the substrate is engaged the end effector, and the camera being constructed and arranged to acquire an image of substantially the entire area of the substrate while the substrate is engaged by the end effector and to thereby;
a sensing processor operatively interconnected to the camera, the sensing processor being constructed and arranged to determine, based upon the image of substantially the entire area of the substrate, a reference point of the substrate and to establish a position of the end effector when the image is acquired, the sensing processor being further constructed and arranged to compare a location of the reference point with a known position of the end effector when the image is acquired and being further constructed and arranged to sense a rotational location of the interruption to derive an offset angle θ with respect to a desired rotational orientation of the interruption;
a manipulator control processor, operatively interconnected with the sensing processor, the manipulator control processor being constructed and arranged to move the end effector while the substrate is positioned thereon to compensate for a difference between the known position and the reference point so that the end effector places the substrate in the desired orientation at the mounting location of the process module; and
a rotator mounted on the end effector and in engagement with the substrate while it is positioned on the end effector, the rotator being operatively connected with the sensing processor, the rotator being constructed and arranged to rotate the substrate by the offset angle θ to the desired rotational location as the end effector is moved to the mounting location so that the substrate is placed at the mounting location in the desired rotational orientation.
8. The apparatus as set forth in claim 7 further comprising a memory operatively interconnected with the locator for storing a value for θ.
9. The apparatus as set forth in claim 7 wherein the interruption comprises an annular alignment notch located on the perimeter of the substrate.
10. The apparatus as set forth in claim 7 wherein the camera comprises a solid state CCD camera.
11. The apparatus as set forth in claim 7 wherein the process module comprises a semiconductor process module and the substrate comprises a semiconductor wafer.
12. The apparatus as set forth in claim 7 wherein the manipulator comprises a cluster tool robot arm mounted within a handling module, and wherein the process module is located adjacent the handling module.
13. The apparatus as set forth in claim 12 further comprising a another process module for processing substrates, located adjacent the handling module.
14. The apparatus as set forth in claim 12 wherein the manipulator comprises an entrance load lock arm mounted in an entrance load lock located at an entrance to the process module.
15. The apparatus as set forth in claim 7 wherein the reference point includes a centroid of the substrate.
16. An apparatus for positioning substrates in a substrate processing facility having a process module with a mounting location, the apparatus comprising:
a robot manipulator including an end effector for engaging and moving substrates to the mounting location along a programmed path from a source location to the mounting location remote from the source location, the end effector further including a rotating surface mounted thereon that rotates substrates thereon to a desired rotational orientation;
a camera unit located with a field of view in line with the programmed path, the field of view being arranged to acquire an image of substantially the entire area of the substrate while the substrate is engaged by the end effector and the end effector is located at a known location;
an image processor, interconnected with the camera unit, that determines, based upon the image, the location of a centroid of the substrate and a location of a perimeter interruption on the substrate; and
a controller that adjusts the programmed path based upon a translation from the known location to an adjusted location in view of a location of the centroid, while the substrate is positioned on the end effector, based upon a difference between the location of the centroid at the predetermined time and a desired location of the centroid at the predetermined time and that further rotates the rotating surface to position the interruption at a desired rotational orientation with respect to the mounting location.
US08/671,715 1996-06-28 1996-06-28 Method and apparatus for positioning substrates Expired - Lifetime US5905850A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US08/671,715 US5905850A (en) 1996-06-28 1996-06-28 Method and apparatus for positioning substrates

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/671,715 US5905850A (en) 1996-06-28 1996-06-28 Method and apparatus for positioning substrates

Publications (1)

Publication Number Publication Date
US5905850A true US5905850A (en) 1999-05-18

Family

ID=24695603

Family Applications (1)

Application Number Title Priority Date Filing Date
US08/671,715 Expired - Lifetime US5905850A (en) 1996-06-28 1996-06-28 Method and apparatus for positioning substrates

Country Status (1)

Country Link
US (1) US5905850A (en)

Cited By (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5990650A (en) * 1998-11-25 1999-11-23 Lumonics Corporation Method and apparatus for orienting a disk via edge contact
US6085967A (en) * 1998-12-28 2000-07-11 Eastman Kodak Company Method of registrably aligning fabricated wafers preceding bonding
US6135051A (en) * 1996-09-17 2000-10-24 Shamrock Technology Corp. End effector assembly for inclusion in a system for producing uniform deposits on a wafer
US6150828A (en) * 1994-04-18 2000-11-21 Micron Technology, Inc. Method and apparatus for automatically positioning electronic dice with component packages
US6197372B1 (en) * 1997-08-06 2001-03-06 Tokyo Electron Limited Coating and developing apparatus, complex apparatus and processing method in coating and developing apparatus
US6275742B1 (en) * 1999-04-16 2001-08-14 Berkeley Process Control, Inc. Wafer aligner system
US6298280B1 (en) * 1998-09-28 2001-10-02 Asyst Technologies, Inc. Method for in-cassette wafer center determination
US6332751B1 (en) * 1999-04-02 2001-12-25 Tokyo Electron Limited Transfer device centering method and substrate processing apparatus
US6405101B1 (en) * 1998-11-17 2002-06-11 Novellus Systems, Inc. Wafer centering system and method
US20020080236A1 (en) * 2000-12-15 2002-06-27 Madsen David D. Camera with improved illuminator
US6471464B1 (en) * 1999-10-08 2002-10-29 Applied Materials, Inc. Wafer positioning device
US6510365B1 (en) * 1998-10-27 2003-01-21 Tokyo Electron Limited Carrier system positioning method
WO2003006216A1 (en) * 2001-07-13 2003-01-23 Brooks Automation, Inc. Substrate transport apparatus with multiple independent end effectors
US6516244B1 (en) * 2000-08-25 2003-02-04 Wafermasters, Inc. Wafer alignment system and method
US6591161B2 (en) 2001-01-31 2003-07-08 Wafermasters, Inc. Method for determining robot alignment
US20030219914A1 (en) * 2002-01-29 2003-11-27 Recif, S. A. Apparatus and process for identification of characters inscribed on a semiconductor wafer containing an orientation mark
US6707544B1 (en) * 1999-09-07 2004-03-16 Applied Materials, Inc. Particle detection and embedded vision system to enhance substrate yield and throughput
US20040151574A1 (en) * 2001-05-29 2004-08-05 Zhimin Lu Method and apparatus to correct wafer drift
US20040158347A1 (en) * 2001-02-20 2004-08-12 Lin Sha Transfer apparatus and method for semiconductor process and semiconductor processing system
US20040254681A1 (en) * 2000-12-15 2004-12-16 Fisher Lance K. Board align image acquisition device with improved interface
US20040258514A1 (en) * 2002-06-12 2004-12-23 Ivo Raaijmakers Semiconductor wafer position shift measurement and correction
US20040267405A1 (en) * 2003-06-20 2004-12-30 Nikolaus Ingenhoven Positioning device and method
US20050034288A1 (en) * 2001-09-07 2005-02-17 Masaru Adachi Wafer position teaching method and teaching jig
US20050131582A1 (en) * 2003-10-01 2005-06-16 Arif Kazi Process and device for determining the position and the orientation of an image reception means
US6931302B1 (en) * 1999-01-15 2005-08-16 Abb Ab Method for a robot
US20060061750A1 (en) * 2004-09-20 2006-03-23 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and apparatus for processing an exchangeable object
US20070189596A1 (en) * 2006-02-13 2007-08-16 Heok-Jae Lee Wafer aligning apparatus and related method
CN100394576C (en) * 2005-12-09 2008-06-11 北京圆合电子技术有限责任公司 Silicon chip transmitting system with visual sensor and transmitting method thereof
US7433759B2 (en) 2004-07-22 2008-10-07 Applied Materials, Inc. Apparatus and methods for positioning wafers
US20080319559A1 (en) * 2007-06-22 2008-12-25 De Ridder Christianus Gerardus Apparatus and method for transferring two or more wafers whereby the positions of the wafers can be measured
US20090093046A1 (en) * 2005-11-11 2009-04-09 Nikon Corporation Incubation apparatus
US20090093906A1 (en) * 2007-10-04 2009-04-09 Asm Japan K.K. Position sensor system for substrate transfer robot
US20090155452A1 (en) * 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Thin film deposition apparatus and method thereof
US20090217871A1 (en) * 2008-02-28 2009-09-03 Asm Genitech Korea Ltd. Thin film deposition apparatus and method of maintaining the same
US20090252580A1 (en) * 2008-04-03 2009-10-08 Asm Japan K.K. Wafer processing apparatus with wafer alignment device
US20100150695A1 (en) * 2008-12-12 2010-06-17 Lam Research Corporation Method and System for Centering Wafer on Chuck
US20100271229A1 (en) * 2007-12-27 2010-10-28 Christine Allen-Blanchette Systems and methods for calibrating end effector alignment in a plasma processing system
US20110050882A1 (en) * 2006-02-13 2011-03-03 Samsung Electronics Co., Ltd. Wafer aligning apparatus and related method
US20120072005A1 (en) * 2006-10-27 2012-03-22 Tokyo Electron Limited Substrate processing system, substrate placing position adjusting method and storage medium
US20120224173A1 (en) * 2007-03-28 2012-09-06 Hitachi High-Technologies Corporation Inspection apparatus and inspection method
WO2012163676A1 (en) * 2011-05-30 2012-12-06 Siltronic Ag Method for placing a semiconductor wafer on a susceptor at a specified angular orientation
EP2631937A1 (en) * 2012-02-24 2013-08-28 Fraunhofer Gesellschaft zur Förderung der angewandten Forschung E.V. Station assembly for processing and/or measuring semiconductor wafers and processing method
US20140365005A1 (en) * 2013-06-05 2014-12-11 Persimmon Technologies, Corp. Robot and Adaptive Placement System and Method
US20150056043A1 (en) * 2013-08-21 2015-02-26 Applied Materials, Inc. Workpiece orienting and centering with a factory interface
JP2015217449A (en) * 2014-05-14 2015-12-07 株式会社ディスコ Grinding device
US20160098837A1 (en) * 2014-10-07 2016-04-07 Tokyo Electron Limited Substrate Inspection Apparatus and Control Method Thereof
US20170028560A1 (en) * 2015-07-30 2017-02-02 Lam Research Corporation System and method for wafer alignment and centering with ccd camera and robot
CN106409741A (en) * 2015-07-30 2017-02-15 朗姆研究公司 Vision-based wafer notch position measurement
US9627179B2 (en) 2015-03-26 2017-04-18 Doug Carson & Associates, Inc. Substrate alignment through detection of rotating timing pattern
US9953806B1 (en) 2015-03-26 2018-04-24 Doug Carson & Associates, Inc. Substrate alignment detection using circumferentially extending timing pattern
WO2018125752A1 (en) * 2016-12-31 2018-07-05 Applied Materials, Inc. Apparatus and methods for wafer rotation to improve spatial ald process uniformity
US10128140B2 (en) 2012-08-31 2018-11-13 Semiconductor Technologies & Instruments Pte Ltd System and method for automatically correcting for rotational misalignment of wafers on film frames
US10134624B2 (en) 2015-03-26 2018-11-20 Doug Carson & Associates, Inc. Substrate alignment detection using circumferentially extending timing pattern
US10615068B2 (en) * 2003-09-10 2020-04-07 Brooks Automation, Inc. Substrate handling system for aligning and orienting substrates during a transfer operation
US20200189115A1 (en) * 2018-12-18 2020-06-18 Kabushiki Kaisha Yaskawa Denki Transfer system and transfer control method
CN111902245A (en) * 2018-01-30 2020-11-06 布鲁克斯自动化公司 Automatic wafer centering method and apparatus

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4685206A (en) * 1984-12-05 1987-08-11 Nippon Kogaku K. K. Positioning apparatus for a circular substrate
US4707647A (en) * 1986-05-19 1987-11-17 Gmf Robotics Corporation Gray scale vision method and system utilizing same
US4752898A (en) * 1987-01-28 1988-06-21 Tencor Instruments Edge finding in wafers
US4917556A (en) * 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US5238354A (en) * 1989-05-23 1993-08-24 Cybeq Systems, Inc. Semiconductor object pre-aligning apparatus
US5511934A (en) * 1991-05-17 1996-04-30 Kensington Laboratories, Inc. Noncentering specimen prealigner having improved specimen edge detection and tracking
US5513948A (en) * 1991-05-17 1996-05-07 Kensington Laboratories, Inc. Universal specimen prealigner

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4685206A (en) * 1984-12-05 1987-08-11 Nippon Kogaku K. K. Positioning apparatus for a circular substrate
US4917556A (en) * 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4707647A (en) * 1986-05-19 1987-11-17 Gmf Robotics Corporation Gray scale vision method and system utilizing same
US4752898A (en) * 1987-01-28 1988-06-21 Tencor Instruments Edge finding in wafers
US5238354A (en) * 1989-05-23 1993-08-24 Cybeq Systems, Inc. Semiconductor object pre-aligning apparatus
US5511934A (en) * 1991-05-17 1996-04-30 Kensington Laboratories, Inc. Noncentering specimen prealigner having improved specimen edge detection and tracking
US5513948A (en) * 1991-05-17 1996-05-07 Kensington Laboratories, Inc. Universal specimen prealigner

Cited By (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6353312B1 (en) * 1994-04-18 2002-03-05 Micron Technology, Inc. Method for positioning a semiconductor die within a temporary package
US6900459B2 (en) 1994-04-18 2005-05-31 Micron Technology, Inc. Apparatus for automatically positioning electronic dice within component packages
US6150828A (en) * 1994-04-18 2000-11-21 Micron Technology, Inc. Method and apparatus for automatically positioning electronic dice with component packages
US6210984B1 (en) 1994-04-18 2001-04-03 Micron Technology, Inc. Method and apparatus for automatically positioning electronic dice within component packages
US6492187B1 (en) 1994-04-18 2002-12-10 Micron Technology, Inc. Method for automatically positioning electronic die within component packages
US6135051A (en) * 1996-09-17 2000-10-24 Shamrock Technology Corp. End effector assembly for inclusion in a system for producing uniform deposits on a wafer
US6197372B1 (en) * 1997-08-06 2001-03-06 Tokyo Electron Limited Coating and developing apparatus, complex apparatus and processing method in coating and developing apparatus
US6298280B1 (en) * 1998-09-28 2001-10-02 Asyst Technologies, Inc. Method for in-cassette wafer center determination
US6510365B1 (en) * 1998-10-27 2003-01-21 Tokyo Electron Limited Carrier system positioning method
US6405101B1 (en) * 1998-11-17 2002-06-11 Novellus Systems, Inc. Wafer centering system and method
US5990650A (en) * 1998-11-25 1999-11-23 Lumonics Corporation Method and apparatus for orienting a disk via edge contact
US6085967A (en) * 1998-12-28 2000-07-11 Eastman Kodak Company Method of registrably aligning fabricated wafers preceding bonding
US6931302B1 (en) * 1999-01-15 2005-08-16 Abb Ab Method for a robot
US6332751B1 (en) * 1999-04-02 2001-12-25 Tokyo Electron Limited Transfer device centering method and substrate processing apparatus
US6275742B1 (en) * 1999-04-16 2001-08-14 Berkeley Process Control, Inc. Wafer aligner system
US6707544B1 (en) * 1999-09-07 2004-03-16 Applied Materials, Inc. Particle detection and embedded vision system to enhance substrate yield and throughput
US6471464B1 (en) * 1999-10-08 2002-10-29 Applied Materials, Inc. Wafer positioning device
US6516244B1 (en) * 2000-08-25 2003-02-04 Wafermasters, Inc. Wafer alignment system and method
US7190393B2 (en) 2000-12-15 2007-03-13 Cyberoptics Corporation Camera with improved illuminator
US6954681B2 (en) 2000-12-15 2005-10-11 Cyberoptics Corporation Board align image acquisition device with improved interface
US20040254681A1 (en) * 2000-12-15 2004-12-16 Fisher Lance K. Board align image acquisition device with improved interface
US20020080236A1 (en) * 2000-12-15 2002-06-27 Madsen David D. Camera with improved illuminator
US20040233322A1 (en) * 2000-12-15 2004-11-25 Madsen David D. Camera with improved illuminator
US6591161B2 (en) 2001-01-31 2003-07-08 Wafermasters, Inc. Method for determining robot alignment
US20040158347A1 (en) * 2001-02-20 2004-08-12 Lin Sha Transfer apparatus and method for semiconductor process and semiconductor processing system
US6845292B2 (en) * 2001-02-20 2005-01-18 Tokyo Electron Limited Transfer apparatus and method for semiconductor process and semiconductor processing system
US7008802B2 (en) 2001-05-29 2006-03-07 Asm America, Inc. Method and apparatus to correct water drift
US20040151574A1 (en) * 2001-05-29 2004-08-05 Zhimin Lu Method and apparatus to correct wafer drift
WO2003006216A1 (en) * 2001-07-13 2003-01-23 Brooks Automation, Inc. Substrate transport apparatus with multiple independent end effectors
US7706919B2 (en) * 2001-09-07 2010-04-27 Kabushiki Kaisha Yaskawa Denki Wafer position teaching method and teaching jig
US20050034288A1 (en) * 2001-09-07 2005-02-17 Masaru Adachi Wafer position teaching method and teaching jig
US20030219914A1 (en) * 2002-01-29 2003-11-27 Recif, S. A. Apparatus and process for identification of characters inscribed on a semiconductor wafer containing an orientation mark
US6961639B2 (en) * 2002-01-29 2005-11-01 Recif, Societe Anonyme Apparatus and process for identification of characters inscribed on a semiconductor wafer containing an orientation mark
US20040258514A1 (en) * 2002-06-12 2004-12-23 Ivo Raaijmakers Semiconductor wafer position shift measurement and correction
US6900877B2 (en) 2002-06-12 2005-05-31 Asm American, Inc. Semiconductor wafer position shift measurement and correction
US7248931B2 (en) 2002-06-12 2007-07-24 Asm America, Inc. Semiconductor wafer position shift measurement and correction
US20040267405A1 (en) * 2003-06-20 2004-12-30 Nikolaus Ingenhoven Positioning device and method
US7529598B2 (en) * 2003-06-20 2009-05-05 Tecan Trading Ag Positioning device and method
US10615068B2 (en) * 2003-09-10 2020-04-07 Brooks Automation, Inc. Substrate handling system for aligning and orienting substrates during a transfer operation
US20050131582A1 (en) * 2003-10-01 2005-06-16 Arif Kazi Process and device for determining the position and the orientation of an image reception means
US7818091B2 (en) * 2003-10-01 2010-10-19 Kuka Roboter Gmbh Process and device for determining the position and the orientation of an image reception means
US7433759B2 (en) 2004-07-22 2008-10-07 Applied Materials, Inc. Apparatus and methods for positioning wafers
US7167233B2 (en) * 2004-09-20 2007-01-23 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and apparatus for processing an exchangeable object
US20060061750A1 (en) * 2004-09-20 2006-03-23 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and apparatus for processing an exchangeable object
US20160376540A1 (en) * 2005-11-11 2016-12-29 Nikon Corporation Incubation apparatus
US20090093046A1 (en) * 2005-11-11 2009-04-09 Nikon Corporation Incubation apparatus
US9404074B2 (en) * 2005-11-11 2016-08-02 Nikon Corporation Incubation apparatus
US10597626B2 (en) 2005-11-11 2020-03-24 Nikon Corporation Incubation apparatus
CN100394576C (en) * 2005-12-09 2008-06-11 北京圆合电子技术有限责任公司 Silicon chip transmitting system with visual sensor and transmitting method thereof
US20110050882A1 (en) * 2006-02-13 2011-03-03 Samsung Electronics Co., Ltd. Wafer aligning apparatus and related method
US7813542B2 (en) * 2006-02-13 2010-10-12 Samsung Electronics Co., Ltd. Wafer aligning apparatus and related method
US8600150B2 (en) * 2006-02-13 2013-12-03 Samsung Electronics Co., Ltd. Wafer aligning apparatus and related method
US20070189596A1 (en) * 2006-02-13 2007-08-16 Heok-Jae Lee Wafer aligning apparatus and related method
US20120072005A1 (en) * 2006-10-27 2012-03-22 Tokyo Electron Limited Substrate processing system, substrate placing position adjusting method and storage medium
US8433436B2 (en) * 2006-10-27 2013-04-30 Tokyo Electron Limited Substrate processing system, substrate placing position adjusting method and storage medium
US20120224173A1 (en) * 2007-03-28 2012-09-06 Hitachi High-Technologies Corporation Inspection apparatus and inspection method
US8525984B2 (en) * 2007-03-28 2013-09-03 Hitachi High-Technologies Corporation Inspection apparatus and inspection method
US20080319559A1 (en) * 2007-06-22 2008-12-25 De Ridder Christianus Gerardus Apparatus and method for transferring two or more wafers whereby the positions of the wafers can be measured
US8099190B2 (en) * 2007-06-22 2012-01-17 Asm International N.V. Apparatus and method for transferring two or more wafers whereby the positions of the wafers can be measured
US8041450B2 (en) 2007-10-04 2011-10-18 Asm Japan K.K. Position sensor system for substrate transfer robot
US20090093906A1 (en) * 2007-10-04 2009-04-09 Asm Japan K.K. Position sensor system for substrate transfer robot
US20090155452A1 (en) * 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Thin film deposition apparatus and method thereof
US8347813B2 (en) 2007-12-13 2013-01-08 Asm Genitech Korea Ltd. Thin film deposition apparatus and method thereof
US8751047B2 (en) * 2007-12-27 2014-06-10 Lam Research Corporation Systems and methods for calibrating end effector alignment in a plasma processing system
US20100271229A1 (en) * 2007-12-27 2010-10-28 Christine Allen-Blanchette Systems and methods for calibrating end effector alignment in a plasma processing system
US20090217871A1 (en) * 2008-02-28 2009-09-03 Asm Genitech Korea Ltd. Thin film deposition apparatus and method of maintaining the same
US8273178B2 (en) 2008-02-28 2012-09-25 Asm Genitech Korea Ltd. Thin film deposition apparatus and method of maintaining the same
US20090252580A1 (en) * 2008-04-03 2009-10-08 Asm Japan K.K. Wafer processing apparatus with wafer alignment device
US7963736B2 (en) 2008-04-03 2011-06-21 Asm Japan K.K. Wafer processing apparatus with wafer alignment device
US8060330B2 (en) * 2008-12-12 2011-11-15 Lam Research Corporation Method and system for centering wafer on chuck
US20100150695A1 (en) * 2008-12-12 2010-06-17 Lam Research Corporation Method and System for Centering Wafer on Chuck
WO2012163676A1 (en) * 2011-05-30 2012-12-06 Siltronic Ag Method for placing a semiconductor wafer on a susceptor at a specified angular orientation
EP2631937A1 (en) * 2012-02-24 2013-08-28 Fraunhofer Gesellschaft zur Förderung der angewandten Forschung E.V. Station assembly for processing and/or measuring semiconductor wafers and processing method
WO2013124402A1 (en) * 2012-02-24 2013-08-29 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Station arrangement for processing and/or measuring semiconductor disks, and processing method
US10128140B2 (en) 2012-08-31 2018-11-13 Semiconductor Technologies & Instruments Pte Ltd System and method for automatically correcting for rotational misalignment of wafers on film frames
US9330951B2 (en) * 2013-06-05 2016-05-03 Persimmon Technologies, Corp. Robot and adaptive placement system and method
US20140365005A1 (en) * 2013-06-05 2014-12-11 Persimmon Technologies, Corp. Robot and Adaptive Placement System and Method
US9548231B2 (en) 2013-06-05 2017-01-17 Persimmon Technologies, Corp. Robot and adaptive placement system and method
US9496160B2 (en) * 2013-08-21 2016-11-15 Applied Materials, Inc. Workpiece orienting and centering with a factory interface
US20150056043A1 (en) * 2013-08-21 2015-02-26 Applied Materials, Inc. Workpiece orienting and centering with a factory interface
JP2015217449A (en) * 2014-05-14 2015-12-07 株式会社ディスコ Grinding device
US20160098837A1 (en) * 2014-10-07 2016-04-07 Tokyo Electron Limited Substrate Inspection Apparatus and Control Method Thereof
US10074192B2 (en) * 2014-10-07 2018-09-11 Tokyo Electron Limited Substrate inspection apparatus and control method thereof
US10134624B2 (en) 2015-03-26 2018-11-20 Doug Carson & Associates, Inc. Substrate alignment detection using circumferentially extending timing pattern
US9953806B1 (en) 2015-03-26 2018-04-24 Doug Carson & Associates, Inc. Substrate alignment detection using circumferentially extending timing pattern
US9627179B2 (en) 2015-03-26 2017-04-18 Doug Carson & Associates, Inc. Substrate alignment through detection of rotating timing pattern
TWI681852B (en) * 2015-07-30 2020-01-11 美商蘭姆研究公司 System and method for wafer alignment and centering with ccd camera and robot
CN106409741A (en) * 2015-07-30 2017-02-15 朗姆研究公司 Vision-based wafer notch position measurement
US20170028560A1 (en) * 2015-07-30 2017-02-02 Lam Research Corporation System and method for wafer alignment and centering with ccd camera and robot
US9966290B2 (en) * 2015-07-30 2018-05-08 Lam Research Corporation System and method for wafer alignment and centering with CCD camera and robot
CN106409741B (en) * 2015-07-30 2022-04-19 朗姆研究公司 Position measurement based on visible wafer notch
US10186449B2 (en) 2016-12-31 2019-01-22 Applied Materials, Inc. Apparatus and methods for wafer rotation to improve spatial ALD process uniformity
WO2018125752A1 (en) * 2016-12-31 2018-07-05 Applied Materials, Inc. Apparatus and methods for wafer rotation to improve spatial ald process uniformity
CN111902245A (en) * 2018-01-30 2020-11-06 布鲁克斯自动化公司 Automatic wafer centering method and apparatus
US11764093B2 (en) 2018-01-30 2023-09-19 Brooks Automation Us, Llc Automatic wafer centering method and apparatus
CN111902245B (en) * 2018-01-30 2024-02-23 博鲁可斯自动化美国有限责任公司 Automatic wafer centering method and apparatus
US20200189115A1 (en) * 2018-12-18 2020-06-18 Kabushiki Kaisha Yaskawa Denki Transfer system and transfer control method
US11638998B2 (en) * 2018-12-18 2023-05-02 Kabushiki Kaisha Yaskawa Denki Transfer system and transfer control method

Similar Documents

Publication Publication Date Title
US5905850A (en) Method and apparatus for positioning substrates
US20200388523A1 (en) Wafer aligner
US6298280B1 (en) Method for in-cassette wafer center determination
KR100885082B1 (en) Edge grip aligner with buffering capabilities
US6195619B1 (en) System for aligning rectangular wafers
US9275886B2 (en) Device and method for detecting position of semiconductor substrate
TWI447061B (en) Substrate transport apparatus with automated alignment
TWI462217B (en) High speed substrate aligner apparatus
US20040158347A1 (en) Transfer apparatus and method for semiconductor process and semiconductor processing system
JP2006521704A5 (en)
US20070004058A1 (en) Semiconductor manufacturing device with transfer robot
KR101366754B1 (en) High speed substrate aligner apparatus
US6478532B1 (en) Wafer orienting and reading mechanism
US5990650A (en) Method and apparatus for orienting a disk via edge contact
US6114705A (en) System for correcting eccentricity and rotational error of a workpiece
US6633046B1 (en) Method and apparatus for detecting that two moveable members are correctly positioned relatively to one another
JP2005011966A (en) Substrate transporting device, substrate processing device, conveyance reference position teaching method and sensor jig used for them
US11572235B2 (en) Aligner device and method for correcting positional misalignment of workpiece
WO1999064207A1 (en) Prealigner for substrates in a robotic system
US6175418B1 (en) Multiple alignment mechanism in close proximity to a shared processing device
KR100213193B1 (en) Array method of wafer handler
WO2000043157A1 (en) Laser alignment system for processing substrates

Legal Events

Date Code Title Description
AS Assignment

Owner name: LAM RESEARCH CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:KAVEH, FARROKH;REEL/FRAME:008092/0238

Effective date: 19960627

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12