US5907248A - FPGA interconnect structure with high-speed high fanout capability - Google Patents

FPGA interconnect structure with high-speed high fanout capability Download PDF

Info

Publication number
US5907248A
US5907248A US09/020,369 US2036998A US5907248A US 5907248 A US5907248 A US 5907248A US 2036998 A US2036998 A US 2036998A US 5907248 A US5907248 A US 5907248A
Authority
US
United States
Prior art keywords
lines
length
line
tiles
tile
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US09/020,369
Inventor
Trevor J. Bauer
Steven P. Young
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Xilinx Inc
Original Assignee
Xilinx Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Xilinx Inc filed Critical Xilinx Inc
Priority to US09/020,369 priority Critical patent/US5907248A/en
Application granted granted Critical
Publication of US5907248A publication Critical patent/US5907248A/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/02Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components
    • H03K19/173Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components
    • H03K19/177Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components arranged in matrix form
    • H03K19/1778Structural details for adapting physical parameters
    • H03K19/17796Structural details for adapting physical parameters for physical disposition of blocks
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/02Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components
    • H03K19/173Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components
    • H03K19/1733Controllable logic circuits
    • H03K19/1737Controllable logic circuits using multiplexers
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/02Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components
    • H03K19/173Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components
    • H03K19/177Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components arranged in matrix form
    • H03K19/17704Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components arranged in matrix form the logic functions being realised by the interconnection of rows and columns

Definitions

  • the invention relates to programmable integrated circuit devices, more particularly to the interconnect structure in a field programmable logic device.
  • Field programmable gate arrays include logic blocks connectable through a programmable interconnect structure.
  • the interconnect structure typically provides for connecting each logic block to each other logic block.
  • Early FPGAs accomplished this by providing short interconnect segments that could be joined to each other and to input and output terminals of the logic blocks at programmable interconnection points (PIPs).
  • PIPs programmable interconnection points
  • the interconnect structure must also become both larger and more complex.
  • PIPs programmable interconnection points
  • 5,469,003 shows a hierarchical interconnect structure having lines of a short length connectable at boundaries to lines of a longer length extending between the boundaries, and larger boundaries with lines of even longer length extending between those boundaries.
  • Kean shows in particular lines the length of one logic block connecting each logic block to the next, lines the length of four logic blocks connectable to each logic block they pass, and lines the length of sixteen logic blocks connectable at the length-four boundaries to the length-four lines but not connectable directly to the logic blocks.
  • adjacent logic blocks in two different hierarchical blocks i.e., on either side of the boundaries connect to each other differently than adjacent logic blocks in the same hierarchical block.
  • Pierce et al in U.S. Pat. No. 5,581,199 shows a tile-based interconnect structure with lines of varying lengths in which each tile in a rectangular array may be identical to each other tile.
  • an interconnect line is part of the output structure of a logic block. Output lines of more than one length extend past other logic block input lines to which the logic block output lines can be connected.
  • each path is formed by traversing a series of programmably concatenated interconnect lines, i.e., a series of relatively short interconnect lines are programmably connected end to end to form a longer path.
  • the relatively large number of programmable connections on a given signal path introduces delay into the signal path and therefore reduces the performance of the FPGA.
  • Such interconnect structures are called "general interconnect".
  • Interconnect lines called "quad lines” are included in the XC4000EX FPGAs from Xilinx, Inc., and described on pages 4-32 through 4-37 of the Xilinx 1996 Data Book entitled “The Programmable Logic Data Book", available from Xilinx, Inc., 2100 Logic Drive, San Jose, Calif. 95124, which are incorporated herein by reference. However, since each quad line contacts every tile that it traverses, these lines have a large number of PIPs, each of which adds RC delay.
  • Pierce et al provides fast paths between both adjacent logic blocks and logic blocks several tiles apart.
  • the output lines of the Pierce et al architecture can each drive the inputs of a limited set of other logic blocks.
  • the possible destinations are limited to selected logic blocks, and the interconnect lines can only access certain specific inputs of the destination logic blocks.
  • each interconnect line has programmable connections to the inputs of other logic blocks.
  • a given logic block input can be driven from either horizontal interconnect lines, or vertical interconnect lines, but not both.
  • An alternative approach is to separate the interconnect lines from the logic block inputs by way of a routing matrix, which gives each interconnect line more flexible access to the logic block inputs.
  • Such an architecture is described in U.S. Pat. No. 5,682,107 entitled “FPGA Architecture With Repeatable Tiles Including Routing Matrices and Logic Matrices" by Tavana et al, issued Oct. 28, 1997, which is referenced above and incorporated herein by reference.
  • Tavana et al have therefore provided long lines connectable to every tile they pass and double-length lines that bypass the tile interconnect lines in one tile. These lines can be used for signals that are traversing one or more tiles without accessing the logic blocks in the traversed tiles.
  • Kean separates the interconnect lines from the logic block inputs using input multiplexer switches, which provide routing flexibility to the inputs.
  • the interconnect structure such that there is a relatively uniform delay on all signal paths throughout an FPGA.
  • a typical distribution of delays on signal paths shows a few signal paths with significantly greater delay than the average.
  • These signal paths are typically those with large "RC trees", i.e., signal paths which traverse a resistor (such as an unbuffered PIP), then have a large capacitance on the destination side of the resistor.
  • An interconnect structure with relatively uniform delay could be better realized if large capacitances on a signal path (e.g., longer interconnect lines) were predictably placed on the source side of the resistor, or as close as possible to the source end of the signal path.
  • High fanout signals have large capacitance and are often slower than low fanout signals.
  • Prior art routing structures had high-fanout signal routing with relatively large RC delay.
  • An interconnect structure should ideally provide high-fanout signal routing with a delay comparable to that of other signals.
  • an FPGA interconnect structure includes a combination of wiring segment lengths and connections to logic blocks such that a given logic block can be connected through fast paths both to adjacent logic blocks and to logic blocks several tiles away.
  • the FPGA includes a two-dimensional array of identical tiles. In each tile is a logic block. Also in each tile are programmable interconnection points (PIPs) and segments of interconnect lines that adjoin segments of interconnect lines in adjacent tiles. The adjoined segments form interconnect lines extending through several tiles to PIPs in other tiles some distance away.
  • PIPs programmable interconnection points
  • a combination of lines connecting to adjacent tiles creates an interconnect hierarchy which allows any logic block to be connected to any other logic block, and yet also allows for fast paths both to adjacent tiles and to tiles some distance away.
  • Longer interconnect lines may be included as a third level of hierarchy to permit efficient interconnection of widely separated tiles.
  • Long lines can span the entire width of the tile array, or can be programmably segmented into two or more shorter long lines.
  • long lines are distinguished from intermediate-length lines in that a pattern of PIPs spanning two or more tiles is repeated along the length of the long line.
  • intermediate-length lines according to the invention are of a predetermined length that does not alter when the size of the array is increased.
  • additional intermediate-length lines are added.
  • a unique aspect of the invention is having an interconnect line (specifically an intermediate-length line or a long line) that programmably connects to logic blocks in at least three separate tiles, while extending through at least one tile in which no PIPs connect to the interconnect line.
  • Such an interconnect line is said to be “unconnectable” to the tile in which no PIPs connect to the interconnect line and also “unconnectable” to the logic block in the tile, although connections can be made from the interconnect line to the logic block through PIPs in other tiles.
  • a programmable connection from an interconnect line to a logic block in a given tile may be made: a) directly to a logic block input; b) through one or more PIPs connected to the interconnect line in the same tile; or c) through one or more PIPs connected to the interconnect line in the same tile and through one or more single-length lines. If any such programmable connection from an interconnect line to a logic block in a given tile can be made, the interconnect line is said to be "connectable" to the logic block and to the tile.
  • an intermediate-length line connects to the tile three tiles away (i.e., separated by two tiles from the originating tile), then continues and connects to the tile six tiles away (i.e., separated by five tiles from the originating tile).
  • This intermediate-length line (called a "hex line”) does not connect to the intervening tiles one, two, four, and five tiles away. Instead, these tiles are reached indirectly by using single-length lines from the originating tile, the third tile, or the sixth tile.
  • interconnect structure of the invention Many modifications of the interconnect structure of the invention are possible.
  • One such modification is the use of asymmetrical PIP patterns on interconnect lines (intermediate-length lines or long lines) such that the interconnect line connects three logic blocks, with two of the three logic blocks being in adjacent tiles, while the third logic block is in a tile separated from the other two tiles.
  • only the single-length lines have connections to the logic block inputs.
  • the intermediate-length lines have connections to each other and to single-length lines, but not to the logic block inputs.
  • the long lines have connections to the intermediate-length lines, but not to the single-length lines or to the logic block inputs. Therefore, the number of PIPs in a tile is reduced. As with the intermediate-length lines, reducing the number of PIPs on a long line reduces both silicon area and capacitance on the long line, thereby reducing RC delay.
  • special tiles include additional connections between the various interconnect lines to facilitate distribution of high-fanout signals.
  • global lines having direct access to the logic block inputs are available for global signals such as clocks or other high fanout control signals.
  • the long lines have connections to each other in the repeatable tile instead of in special tiles.
  • the single-length lines are driven by unbuffered PIPs and the intermediate-length and long lines are driven by buffered PIPs.
  • Signals are typically routed on longer buffered interconnect lines first, then fanned out on shorter unbuffered lines. In this manner, large unbuffered RC trees are avoided, making delays on signal paths throughout the FPGA more uniform and improving performance. More uniform delays also make it easier to predict the performance of a circuit earlier in the design cycle.
  • high fanout signals can be distributed to any tile in the array.
  • a signal on a horizontal long line traverses a row of tiles, in which it makes contact with the logic block in each tile through hex lines and single-length lines.
  • the horizontal single-length lines connected to some horizontal hex lines can programmably drive vertical long lines. Using these programmable connections, the signal on the horizontal long line bus is transferred to the vertical long lines. From the vertical long lines, a high-fanout signal is delivered to an array of tiles.
  • FIG. 1 shows some of the single-length and intermediate-length interconnect lines according to one embodiment of the invention.
  • FIG. 1 is a simplified representation of the embodiment of FIG. 2.
  • FIG. 2 shows a bus representation of a single tile in which intermediate-length lines are connected to every third and sixth tile (i.e., hex buses are used).
  • FIG. 2A is a slightly modified version of FIG. 2 showing a different representation of the single-length buses and switching structure 403.
  • FIG. 3 shows a detailed representation of a single tile in the preferred embodiment. All features shown in FIG. 2 are represented, and some additional features are included.
  • FIG. 4 shows the region of FIG. 3 labeled INTERCONNECT.
  • FIG. 5 shows the output multiplexer (OMUX) of FIG. 3.
  • FIG. 6 shows the input and output signals for the configurable logic element (CLE) of FIG. 3.
  • FIGS. 6A and 6B show the internal logic for the CLE of FIG. 3. To view the entire CLE, FIGS. 6A and 6B must be viewed together as shown in the key at the top of FIG. 6A.
  • FIG. 7 shows the input multiplexer (IMUX) of FIG. 3.
  • FIG. 8 shows a routing example of a signal path using hex and single-length lines.
  • FIG. 9 shows a routing example of a signal path using long lines, hex lines, and single-length lines.
  • FIG. 10 shows a routing example of a high fanout control signal delivered to a column of tiles.
  • FIG. 11 shows a routing example of a high fanout function generator input signal delivered to a column of tiles.
  • FIG. 12 shows an example of how to combine the interconnect of FIGS. 10 and/or 11 to deliver a high-fanout signal to an array of tiles.
  • a small solid black dot at the intersection of two lines indicates a permanent electrical connection between the crossing lines.
  • An open circle enclosing an intersection between two lines, or separating a single line into two line segments, indicates a programmable bidirectional connection between the lines or line segments (for example, a pass transistor which is turned on to make the connection).
  • An open triangle at an intersection of two lines indicates a programmable connection with signal flow going onto the line pointed to by the apex of the triangle. (The signal is of course then present on the full length of the line.
  • a triangle showing a connection between two buses indicates that at least one connection exists between the lines making up the buses.
  • programmable connections are provided by using programmable interconnection points (PIPs), wherein each PIP includes at least one transistor.
  • a line which ends within a tile or block structure (i.e., does not extend to the border of a tile or block) is physically terminated within the tile or block.
  • a line which extends to the border of the tile connects to a line on the next tile, which it contacts when two tiles are abutted together. Note that some lines which extend to an edge of a tile and thus into an adjacent tile change names at the tile boundary.
  • FIG. 1 shows in simplified form some of the single-length and intermediate-length interconnect lines according to one embodiment of the invention.
  • FIG. 1 illustrates part of an array of tiles in an FPGA.
  • logic block L In each tile is logic block L and a routing or switching structure R.
  • line segments which connect to line segments in adjacent tiles to form interconnect lines.
  • Most of the interconnect lines in the FPGA are not shown in FIG. 1 so as not to obscure the connectivity of the invention.
  • Three of the tiles, labeled TILE1, TILE2, and TILE3 are shown in more detail, and short- and intermediate-length interconnect lines extending from TILE1, TILE2, and TILE3 are shown.
  • Single-length lines N, S, E, and W extend north, south, east, and west, respectively, from TILE2 to the four neighboring tiles. Equivalent lines not labeled extend from TILE1 and TILE3 as well. Identical structures are also present in all other tiles but are not shown in FIG. 1. These single-length lines N, S, E, and W extend between switching structures R in neighboring tiles; and have programmable connections to logic block L.
  • Intermediate-length lines also extend north, south, east and west from each tile to connect to other tiles three and six tiles away. Therefore in this embodiment the intermediate-length lines are "hex lines" as previously defined. Only the vertically extending (north and south) hex lines are shown, to avoid obscuring the connections. (Later figures show the complete connection pattern.)
  • hex line 6VN extends to the north a length of six tiles, connecting to TILE1 three tiles to the north and to another tile (not shown) six tiles to the north.
  • hex line 6VS extends to the south six tiles, connecting to TILE3 and to another tile (not shown) six tiles to the south.
  • Hex line 6VM connects tile TILE2 to tiles TILE1 and TILE3.
  • three hex lines extend vertically and connect to TILE2.
  • line 6VS is programmably connectable to line 6VN, as indicated by the PIP joining the ends of these two lines. In this manner an easy connection is available to a tile twelve tiles away from the starting tile. Only one additional connection is needed to extend the connection to another interconnect line six tiles long.
  • TILE2 only the single-length lines N, S, E, and W have access to logic block L.
  • a signal entering TILE2 on line 6VS can connect to lines W, N, or E and thereby to logic block L in TILE2.
  • the signal now on single-length lines W, N or E can also access the logic block L in the tiles to the west, north or east of TILE2.
  • no connection from hex line 6VS to single-length line S is provided, as eliminating this connection saves silicon area and the tile to the south of TILE2 can be accessed through another path.
  • a signal in TILE3 can reach the logic block of the tile to the south of TILE2 either by: 1) traversing two single-length lines to the north; or 2) taking hex line 6VM to TILE2, connecting to single-length line W or E, then entering switching structure R in TILE2 and "bouncing" (moving onto and off of an interconnect line in the same tile) from single-length line W or E to single-length line S inside the switching structure.
  • the second alternative has one more PIP in the signal path than the first alternative, the PIP in switching structure R.
  • a connection to the south can of course be provided.
  • FIG. 2 more completely illustrates a single tile.
  • FIG. 2 shows bus segments of various widths, as indicated by diagonal slash lines having a number indicating the bus width. The number of lines in each bus segment can of course vary in different embodiments.
  • the tiles are identical. Since the illustration is of a single tile, complete interconnect lines must be understood by realizing that bus segments extending to the top of FIG. 2 will contact bus segments at the bottom of another copy of FIG. 2 placed adjacent to the north. Similarly, bus segments extending to the right of FIG. 2 will contact bus segments of another copy of FIG. 2 placed adjacent to the right, and so forth.
  • An array such as present in an FPGA is represented by placing many copies of FIG. 2 together.
  • 12-segment bus 6N extends horizontally through programmable region PROG-2, then turns north to become bus segment 47.
  • Bus segment 47 extends to the top edge of the tile.
  • Another copy of FIG. 2 placed adjacent and to the north of FIG. 2 will have a bus segment 46 extending to the bottom of the copy that contacts bus segment 47.
  • Bus segment 46 extends vertically through the tile, but is offset right by one bus before it reaches the top of the tile, such that bus segment 46 in a given tile contacts bus segment 45 in the tile adjacent to the north.
  • bus segment 45 contacts bus segment 44
  • bus segment 44 contacts bus segment 43
  • bus segment 43 contacts bus segment 42
  • bus segment 42 contacts bus segment 41.
  • Bus segment 41 extends into the tile from the bottom, then turns west and extends horizontally through programmable region PROG-2 as bus segment 6S.
  • Bus segment 6V also extends horizontally through programmable region PROG-2, and connects to bus segment 44.
  • Each tile therefore includes three bus segments 6N, 6V, and 6S extending into programmable region PROG-2.
  • Bus segments 41, 42, 43, 44, 45, 46 and 47 together form a 72-line vertical "routing track" designated 6VN at the north end and 6VS at the south end of FIG. 2.
  • Bus segments 42, 43, 44, 45, and 46 are called “interior segments”
  • bus segments 41 and 47 are called "end segments”.
  • Horizontal 12-segment hex buses are similarly provided, each tile having portions 6W, 6H, and 6E extending into programmable region PROG-2.
  • Bus segment 6E extends from programmable region PROG-2, then turns east and extends as bus segment 37 to the east edge of the tile.
  • Bus segment 37 of a given tile contacts bus segment 36 in the adjacent identical tile to the east.
  • bus segments 31-37 provide a hex length bus extending through six adjacent tiles.
  • Bus segment 31 extends into programmable region PROG-2 as bus segment 6W.
  • Bus segment 34 extends into programmable region PROG-2 as bus segment 6H.
  • Bus segments 31, 32, 33, 34, 35, 36 and 37 together form a 72-line horizontal routing track designated 6HW at the west end and 6HE at the east end of FIG. 2.
  • 72-line hex buses 6VN, 6VS, 6HW, 6HE are not typical buses in that the hex lines making up the buses do not all start in the same tile and end in the same tile, i.e., there is no fixed boundary for the bus. Twelve hex lines end, and another twelve hex lines begin, in each tile.
  • This feature distinguishes the inventive structure over that of Kean and other hierarchical interconnect structures.
  • an FPGA is divided hierarchically with periodic routing structures at the hierarchical boundaries.
  • the present invention uses identical tiles, each of which tiles includes end segments of some of the lines.
  • North, south, east, and west single-length buses (N, S, E, and W respectively), each 24 lines wide, are also provided.
  • These single-length buses connect to each other within switching structure 403 located in programmable region PROG-2.
  • FIG. 2 single-length buses S, W and E are shown entering switching structure 403 in two positions, in order to correspond to FIG. 4.
  • the bus segments labeled S,W, and E pass continuously through switching structure 403.
  • FIG. 2A shows a different representation of switching structure 403, but is otherwise the same as FIG. 2.
  • each single-length line can be connected to the input multiplexer IMUX-2.
  • Each single-length line can also be connected to some of the hex lines.
  • FIG. 2 includes another feature: horizontal and vertical long line buses.
  • Each of the two long line buses is 12 lines wide.
  • Two-line buses 437P, 438 (corresponding to lines LV0 and LV6, LH0 and LH6, respectively, in FIGS. 4 and 7) taken from each long line bus extend into programmable region PROG-2 where they can be programmably connected to drive hex lines.
  • the two accessed long lines in each long line bus are separated from each other by six lines, and each long line is accessed every sixth tile.
  • Two-line buses 437I, 438 taken from each long line bus extend from input multiplexer IMUX-2, which can provide signals to the long lines.
  • Two-line bus 437I includes the same two signals as two-line bus 437P.
  • the horizontal long line bus is designated LHW at the west end and LHE at the east end of FIG. 2.
  • LHW and LHE extend through an offset region 422 (shown in FIG. 3) which offsets the bus by one line per tile. In this manner, each identical tile accesses two different lines of horizontal long line bus LHW/LHE, and each long line is accessed every sixth tile.
  • the vertical long line bus is designated LVN at the north end and LVS at the south end of FIG. 2.
  • LVN and LVS extend through an offset region 421 similar to offset region 422.
  • the long line buses extend the entire length or width of the tile array.
  • Another bus extends horizontally through the programmable region PROG-2, a tristate buffer bus, 4 lines wide, designated TW at the west end and TE at the east end of FIG. 2.
  • TW and TE are connected by programmable offset region 423.
  • Programmable offset region 423 is similar to offset regions 421 and 422 in that it offsets the bus by one line; however, programmable offset region 423 is only four lines wide and also includes one programmable bidirectional PIP for extending the length of bus TW or TE. (The internal structure of programmable offset region 423 is shown in FIG. 3.) This PIP can be used either to "break" each line of the tristate bus every fourth tile, or to connect lines together every fourth tile to continue a tristate line up to the full width of the tile array.
  • Programmable bus connections are located in region INTERCONNECT-2, which is part of programmable region PROG-2. Where hex buses cross single-length buses, open triangles indicate programmable connections in which one of the hex lines at the base of the triangle can drive one of the single-length lines at the tip of the triangle. Triangles pointing to hex lines represent buffered connections, and triangles pointing to single-length lines represent unbuffered connections such as simple pass transistors.
  • hex bus segment 6V can connect to east and west single-length buses E and W, but not to north and south buses N and S.
  • hex bus segment 6H can connect to north and south single-length buses N and S, but not to east and west buses E and W.
  • Hex bus segment 6S coming from the south can connect to east and west single-length buses E and W and also to north bus N. This partial connectivity saves silicon area while still providing useful connections for good routability and performance.
  • hex bus segment 6N coming from the north can connect to east and west single-length buses E and W and also to south bus S; hex bus segment 6W coming from the west can connect to north and south single-length buses N and S and also to east bus E; and hex bus segment 6E coming from the east can connect to north and south single-length buses N and S and also to west bus W.
  • the connection may be limited by hardware or software to being unidirectional such that the hex buses can drive the single-length buses, but the single-length buses cannot drive the hex buses.
  • the connection is a bidirectional pass-gate; whereby the single-length buses can drive the hex buses. However, doing so reduces the speed of the resulting signal path dramatically, and therefore software for programming the FPGA does not select such a path.
  • All of the single-length buses can be driven by output multiplexer OMUX-2 by way of output bus OUT.
  • Hex bus segments 6E, 6W, 6N, and 6S can also be driven by output bus OUT.
  • Vertical hex bus segments 6N and 6S can be connected together via programmable bus connection 404.
  • the internal structure of programmable bus connection 404 is shown in FIG. 4.
  • a line in a vertical hex bus can be continued 12, 18 or more tiles, rather than terminating after 6 tiles.
  • horizontal hex bus segments 6W and 6E can be connected together via programmable bus connection 405.
  • vertical hex bus segments 6N and 6S can be connected to horizontal hex bus segments 6W and 6E via programmable bus connections 406, 407, 408, and 409. The connectivity of these bus connections is shown in FIG. 4.
  • hex bus segment 6V (which implements the connection to the third tile in the vertical direction) can drive horizontal hex bus segments 6W and 6E.
  • hex bus segment 6H (which implements the connection to the third tile in the horizontal direction) can drive vertical hex bus segments 6N and 6S.
  • Horizontal hex bus segments 6E and 6W in a given tile can be driven by the two horizontal long lines accessed in the same tile.
  • Vertical hex bus segments 6N and 6S in a given tile can be driven by the two vertical long lines accessed in the same tile. This capability allows a signal on a long line to fan out to an entire row or column of tiles with high speed, as later shown in FIGS. 10-12, by routing from the long line to each hex line (and hence to single lines) rather than propagating serially along the hex lines.
  • Switching structure 403 allows each single-length bus (N, S, E, W) to be connected to each of the other single-length buses.
  • the connectivity in switching structure 403 is shown in FIG. 4, and is also described and shown in detail in FIG. 6A of commonly assigned, co-pending U.S. application Ser. No. 08/775,425 entitled "FPGA Two Turn Routing Structure with Lane Changing and Minimum Diffusion Area” by Steven P. Young, which is referenced above and incorporated herein by reference.
  • the bus connections in FIG. 2 implement an interconnect structure for FPGAs according to the invention.
  • the buses of this embodiment interact in the following ways: 1) long lines can drive hex buses parallel thereto, but cannot drive either perpendicular hex buses or single-length buses except through the parallel hex buses; 2) hex buses can drive single-length buses both parallel and perpendicular thereto, but single-length buses cannot drive hex buses (although in one embodiment hex buses and single-length buses are connected through bidirectional PIPs, so a software limitation is imposed to make these connections unidirectional); 3) hex buses can further drive other hex buses both parallel and perpendicular thereto; and 4) most hex buses cannot connect to the inputs of input multiplexer IMUX-2, although a limited number of lines from the hex buses can make such connections, as is later described.
  • connections between tiles by placing a signal first on the longest suitable line and from there moving the signal to a shorter line. For example: 1) a connection to a logic block three or six tiles away would be made through a hex line, switching to a single-length line to reach the input multiplexer; 2) a connection to a logic block seven tiles away would be made through first a hex line and then a single-length line (this connection requires no more PIPs than the first example); 3) a connection to a logic block on the other side of the FPGA would be made through first a long line, then a hex line, then transferred to one or more single-length lines before entering the destination input multiplexer. In this manner, large RC trees are avoided, by ensuring that unbuffered PIPs do not drive heavily loaded lines. Further, the various types of signal paths throughout the interconnect structure have similar delays, increasing the overall performance of the circuit.
  • a signal path can move from a given single-length line to a perpendicular single-length line through the switching structure 403, or from a given hex line to a perpendicular hex line.
  • the interconnect portion INTERCONNECT-2 of the repeatable tile of FIG. 2 exists only for the purpose of delivering signals between the logic blocks in different tiles.
  • the logic block LB of this embodiment comprises a configurable logic element CLE-2, an output multiplexer OMUX-2, an input multiplexer IMUX-2, and two tristate buffers 445. (The number of tristate buffers can of course vary in other embodiments of the invention.)
  • Configurable logic element CLE-2, input multiplexer IMUX-2, and output multiplexer OMUX-2 in FIG. 2 have small solid black triangles on each input and output. The direction of the apex of the triangle indicates the direction of the signal flow. Thus, a triangle with its apex inside the rectangular box indicates an input line or bus and a triangle with its apex outside the rectangular box indicates an output line or bus.
  • Input multiplexer IMUX-2 of this embodiment accepts inputs from: 1) each of single-length buses N, S, E, W; 2) four lines 428 of each 12-line vertical hex bus 42, 43, 44, 45, 46, 47; 3) four lines 429 from 8-line output bus OUT from output multiplexer OMUX-2; and 4) a total of four fast-feedback lines 430 from configurable logic element CLE-2.
  • Input multiplexer IMUX-2 can programmably create the following output signals: 1) two 13-line buses 436 that provide input signals to configurable logic element CLE-2; 2) two signal lines 431 that drive the data inputs of tristate buffers 445; 3) two signal lines 432 that enable tristate buffers 445; 4) two lines of vertical long line bus LVS; and 5) two lines of horizontal long line bus LHE.
  • Configurable logic element CLE-2 accepts only inputs from input multiplexer IMUX-2, and drives 12 output lines shown in FIG. 2 as two 6-line buses 433. Four of these output lines form fast-feedback paths 430 to input multiplexer IMUX-2.
  • Outputs 433 from configurable logic element CLE-2 drive output multiplexer OMUX-2.
  • OMUX-2 gets one additional input 434 from tristate buffer bus TW, and drives 8-line output bus OUT.
  • Each of the two tristate buffers 445 drives two of the tristate lines in tristate buffer bus TW via 2-line bus 435. The connection of tristate buffers 445 to tristate buffer bus TW is shown in more detail in FIG. 3.
  • FIGS. 3-12 show the preferred embodiment of a repeatable interconnect structure according to the invention, which embodiment is similar to the embodiment of FIG. 2.
  • FIG. 3 shows a detailed representation of a single tile in the preferred embodiment. All features shown in FIG. 2 are represented, and some additional features are included. Labels in FIG. 3 correspond to the same labels in FIG. 2; thus corresponding structures are easily identified. There is one difference in representation: in FIG. 3, 72-line vertical routing track 6VN/6VS of FIG. 2 is represented as two 36-line vertical routing tracks, 6RN/6RS on the right and 6LN/6LS on the left. Half of the lines of each 12-line hex bus are drawn on each side of programmable region PROG, which corresponds to programmable region PROG-2 in FIG. 2.
  • FIG. 3 the horizontal and vertical hex buses are shown with first lines of all buses are grouped together. Only the first lines are labeled. For example, labels 41 through 47 represent the first lines of hex bus segments 41 through 47 of FIG. 2. Hex bus segments 31 through 37 of FIG. 2 are similarly represented, but are not labeled in FIG. 3.
  • Interconnect region INTERCONNECT of FIG. 3 is functionally the same as interconnect region INTERCONNECT-2 of FIG. 2.
  • FIG. 3 includes: 1) horizontal four-line global bus G along the top of the tile provides four global inputs to input multiplexer IMUX, which global inputs can be used to distribute high-fanout or high-speed global clock signals; 2) two direct output connection lines DOW from output bus OUT (driven by output multiplexer OMUX) exit the tile of FIG. 3 on the west edge, where they are connected to input lines DIE of an adjacent tile to the west; 3) two direct input connection lines DIE from the adjacent tile to the east enter the tile of FIG.
  • FIG. 4 shows interconnect region INTERCONNECT of FIG. 3 in detail. All input and output lines are located in the same relative positions in FIGS. 3 and 4. Input and output lines to interconnect region INTERCONNECT in FIG. 3 can be identified by looking at the corresponding labels in FIG. 4. With the exception of the division of the vertical routing track, as described above, FIG. 4 also corresponds directly to the interconnect region INTERCONNECT-2 of FIG. 2. Numerical labels identify features of the implementation previously shown and described.
  • the connectivity in switching structure 403 is also described and shown in detail in FIG. 6A of commonly assigned, co-pending U.S. application Ser. No. 08/775,425 entitled "FPGA Two Turn Routing Structure with Lane Changing and Minimum Diffusion Area" by Steven P. Young, which is referenced above and incorporated herein by reference.
  • Programmable bus connections 404 and 405 in FIG. 4 demonstrate an additional feature of the invention.
  • programmable bus connections 404 and 405 vertical and horizontal hex buses (respectively) are programmably connected together to enable the continuation of a signal path in the same direction from one hex bus to the next.
  • some of these connections can be bidirectional (for example, implemented as pass transistors) while others are unidirectional (such as buffered connections).
  • the bidirectional hex buses (hex buses that can be programmably driven from either end) have more programmable connections to perpendicular buses than do the unidirectional hex buses (hex buses that can be programmably driven from only one end).
  • Such bidirectional connections will be most flexible, while such unidirectional connections will be faster, since the PIP can be implemented as a single buffer without a programmable enable.
  • Vertical long lines LV0 and LV6 identify the first and seventh lines, respectively, of vertical long line bus LVS that are accessed in each repeatable tile, as previously described.
  • horizontal long lines LH0 and LH6 identify the first and seventh lines, respectively, of the horizontal long line bus LHE.
  • hex bus segments 6N, 6V, and 6S of FIG. 2 are divided in FIG. 3 into hex bus segments 6NR, 6VR, and 6SR, which exit the INTERCONNECT region on the east edge to form 36-line vertical routing track 6RN/6RS of FIG. 3, and hex bus segments 6NL, 6VL, and 6SL, which exit the INTERCONNECT region on the west edge to form 36-line vertical routing track 6LN/6LS of FIG. 3.
  • FIGS. 5, 6 and 7 show the output multiplexer (OMUX), configurable logic element (CLE) and input multiplexer (IMUX), respectively, of the embodiment shown in FIG. 3. All input and output lines are physically located in the same relative positions in FIGS. 3, 5, 6 and 7, thus input and output lines may readily be traced between the figures.
  • the PIP patterns shown in FIGS. 5 and 7 form only one of many possible patterns.
  • the implementation of these three blocks, and the connections between the blocks, may differ widely in different embodiments of the invention, provided that the three blocks are compatible with each other. For example, the number of connections between the blocks may be increased or decreased. Further, two or more of these blocks may be combined, or one or both of the input or output multiplexers may be eliminated. Although these variations are not further described herein, such modifications are encompassed by the inventive concept. It will be apparent to one skilled in the art after reading this specification that the present invention may be practiced within these and other architectural variations.
  • FIG. 5 shows details of the interior of output multiplexer OMUX.
  • the input lines (the outputs of logic block CLE) are selectively programmed to drive a series of eight outputs (OUT0 to OUT7). Not all inputs can drive all outputs; a selection of how many PIPs to provide and where they should be located is typically made based on the trade-off constraints of performance, silicon area, and routing flexibility.
  • FIG. 6 shows the input and output signals for the configurable logic element (CLE) of the embodiment shown in FIG. 3.
  • CLE configurable logic element
  • Many configurable logic elements can be used with the interconnect structure of the invention.
  • pages 4-11 through 4-23 of the Xilinx 1996 Data Book entitled “The Programmable Logic Data Book", available from Xilinx, Inc., 2100 Logic Drive, San Jose, Calif. 95124, also incorporated herein by reference describe a configurable logic element used in the XC4000 Series FPGA architecture which is compatible with the invention.
  • Pages 4-294, 4-295, and 13--13 through 13-15 of the same document, also incorporated herein by reference describe another compatible configurable logic element, used in the XC3000 Series FPGA architecture.
  • Pages 4-188 through 4-190 of the same document, also incorporated herein by reference describe yet another compatible configurable logic element, used in the XC5200 Family FPGA architecture.
  • FIGS. 6A and 6B One CLE that can be used with the embodiment of FIG. 3 is shown in FIGS. 6A and 6B.
  • the CLE is implemented in two similar portions called “slices".
  • FIGS. 6A and 6B To view the entire CLE, FIGS. 6A and 6B, each showing one slice, must be viewed together as shown in the key at the top of FIG. 6A.
  • Programmable (configurable) bits controlling the function of the CLE are shown as small boxes containing an "x".
  • FIGS. 6A and 6B which includes four function generators: F and G in FIG. 6B, and H and J in FIG. 6A.
  • Function generator F has four inputs F1, F2, F3, F4;
  • function generator G has four inputs G1, G2, G3, G4;
  • function generator H has four inputs H1, H2, H3, H4;
  • function generator J has four inputs J1, J2, J3, J4.
  • Each of function generators F, G, H, J also has a data-in input DI and an output 0.
  • each function generator F, G has a write strobe WS input WSF, WSG, respectively, generated in write strobe generator WB from clock input signal CK0 optionally inverted by multiplexer 69B, set/reset input signal SR0 optionally inverted by multiplexer 60B, and input signal BF optionally inverted by multiplexer VF.
  • Each function generator H, J has a write strobe WS input WSH, WSJ, respectively, generated in write strobe generator WA from clock input signal CK1 optionally inverted by multiplexer 69A, set/reset input signal SR1 optionally inverted by multiplexer 60B, and input signal BH optionally inverted by multiplexer VH.
  • Function generators F, G, H, J of FIGS. 6A and 6B preferably include novel features described by Bauer in commonly assigned, co-pending U.S. patent application Ser. No. 08/754,421 entitled “Lookup Tables Which Double as Shift Registers", which is referenced above and incorporated herein by reference. Briefly, these function generators have the ability to operate as shift registers as well as small RAMs and lookup tables.
  • Write strobe signal WS controls the shifting function and the RAM write cycle. (In one embodiment, write strobe signal WS is implemented as two separate control signals, as described by Bauer.)
  • Data-in port DI carries a data signal (in this embodiment derived from input signals BF, BH, BG, BJ) for writing to an addressed memory cell.
  • the shift register data input signal is taken from BF, BG, BH, BJ, respectively.
  • Write strobe generators WB, WA generate write strobe signals WSF and WSG, WSH and WSJ, respectively.
  • the use of function generators as RAM is described in pages 4-11 through 4-23 of the Xilinx 1996 Data Book entitled "The Programmable Logic Data Book", referenced above and incorporated herein by reference.
  • the function generator of this embodiment can therefore be configured as a look-up table, a shift register, a 16 ⁇ 1 RAM, half of a 16 ⁇ 1 dual-ported RAM (when the two function generators in a slice are paired together), half of a 16 ⁇ 2 RAM, or half of a 32 ⁇ 1 RAM (when the two function generators in a slice are paired together). Not all combinations of function generator configurations are supported in a single slice. The supported combinations are detailed below.
  • write strobe signals WSF and WSG are controlled by write strobe generator WB.
  • a High (logic 1) pulse on function generator input WS causes a write to occur to the function generator look-up table, to either the first memory cell of the look-up table (if configured as a shift register) or to an addressed cell (if configured as a RAM).
  • Write strobe generator WB functions as follows in the supported combinations of function generator configurations: a) when function generators F and G are both configured as look-up tables, write strobe signals WSF and WSG are held Low (logic 0); b) when function generator G is configured as a 16 ⁇ 1 RAM or shift register and function generator F is configured as a look-up table, write strobe signal WSF remains Low while write strobe signal WSG pulses High when SR0 is active (Low or High, depending on whether multiplexer 60B is inverting or not) and CK0 makes the proper transition (falling or rising, depending on whether multiplexer 69B is inverting CK0 or not); c) when function generators F and G are configured as a dual-ported 16 ⁇ 1 RAM, a 16 ⁇ 2 RAM, or a pair of shift registers, write strobe signals WSF and WSG pulse High together when SR0 is active and CK0 makes the proper transition; d) when function generators F and G are configured as a single 32
  • the generated High pulse occurs on write strobe signal WSF if multiplexer VF is non-inverting and input signal BF is High or if multiplexer VF is inverting and input signal BF is low, otherwise the High pulse occurs on write strobe signal WSG.
  • SR0 acts as a write enable and CK0 acts as a clock to a synchronous RAM or shift register, while BF serves as a fifth address bit when the two function generators in a slice are configured as a 32-bit RAM.
  • Write strobe signals WSH and WSJ are generated by write strobe generator WA and controlled by input signals SR1, CK1, and BH, in a corresponding fashion.
  • AND gates 61F, 61G, 61H, 61J and multiplexers 81F, 81G, 81H, 81J, CF, CG, CH, CJ implement multiplier and carry logic functions as described in commonly assigned, co-pending U.S. patent application Ser. No. 08/786,818 entitled "Configurable Logic Block with AND Gate for Efficient Multiplication in FPGAs" by Chapman et al, which is referenced above and incorporated herein by reference.
  • each of multiplexers 81F, 81G, 81H, 81J has separate programmable memory cells that determine the multiplexer output.
  • the two multiplexers in each slice (81F and 81G, 81H and 81J) share memory cells and therefore have the same programmed functionality.
  • each slice has a separate carry chain.
  • One carry chain is from input signal CIN0 to output signal COUT0 and incorporates the outputs of function generators F and G.
  • the other carry chain is from input signal CIN1 to output signal COUT1 and incorporates the outputs of function generators H and J.
  • the two carry chains are implemented as described in U.S. Pat. No. 5,629,886, entitled "Method and Structure for Providing Fast Propagation of a Carry Signal in a Field Programmable Gate Array" by Bernard J. New, issued May 13, 1997, which is referenced above and incorporated herein by reference.
  • Each slice further includes five-input-function multiplexer F5A, F5B and six-input-function multiplexer F6A, F6B.
  • multiplexer F6A receives an input signal on west-going line F5W from the output of multiplexer F5B in FIG. 6B.
  • six-input-function multiplexer F6B receives an input signal on east-going line F5E from the output of multiplexer F5A in FIG. 6A.
  • Multiplexer F6A receives a second input signal from multiplexer F5A
  • multiplexer F6B receives a second input signal from multiplexer F5B.
  • Multiplexer F5A receives inputs from outputs 0 of function generators H and J.
  • Multiplexer F5B receives inputs from outputs 0 of function generators F and G.
  • the CLE of this embodiment receives four extra control signals on lines BF, BH, BG, and BJ. These lines can be programmably inverted using multiplexers VF, VH, VG, and VJ, respectively, and control several useful functions.
  • the five-input function multiplexers F5A, F5B are controlled by lines BH, BF, respectively.
  • the six-input function multiplexers F6A, F6B are controlled by lines BJ, BG, respectively.
  • a user can form a four-input multiplexer using multiplexer F5A and the outputs of function generators H and J, with function generators H and J each configured as a two-input multiplexer.
  • a four-input multiplexer can be formed using multiplexer F5B and the outputs of function generators F and G.
  • a user can form an eight-input multiplexer using either multiplexer F6A or multiplexer F6B receiving inputs from both multiplexers F5A and F5B, each of which is receiving outputs from its respective function generators.
  • multiplexer F5A the memory cells in function generators H and J can operate as a 32-bit RAM.
  • multiplexer F5B the memory cells in function generators F and G can operate as a 32-bit RAM.
  • Lines BF, BH, BG, BJ serve as multiplexer control lines or RAM address lines, in addition to other functions as later described.
  • Registers RX, RY, RZ, RV generate outputs XQ, YQ, ZQ, VQ, respectively.
  • Clock inputs to registers RX, RY, RZ, RV are designated by open triangles with apexes pointing into these registers.
  • Clock enable inputs CE can be disabled using AND-gates 62A, 62B. (AND-gates 62A, 62B are controlled by a programmable memory cell that provides one of the inputs to each AND-gate.)
  • Registers RX, RY, RZ, RV can be set or reset either synchronously or asynchronously through set port S or reset port R.
  • Set/reset input signals SR0, SR1 are controlled by multiplexers 60A, 60B and AND-gates 67A, 67B. Inputs SR0, SR1 (if enabled by AND-gates 67A, 67B) can set or reset the registers.
  • Set/reset control units R81B, R82B, R81A, R82A are programmably controlled to either set or reset registers RX, RY, RZ, RV, respectively.
  • Registers RX, RY, RZ, RV can also be configured as latches, the choice being made by a programmable memory cell shared between the two registers in each slice.
  • XOR gates SF, SG, SH, SJ generate the sum portion of an addition or multiplication, as described in commonly assigned, co-pending U.S. patent application Ser. No. 08/754,421 entitled “Lookup Tables Which Double as Shift Registers", which is referenced above and incorporated herein by reference.
  • Multiplexers MF, MG, MH, MJ select between signals to place onto output lines X, Y, Z, V, respectively.
  • Multiplexers MF and MH select between the related function generator output signal, sum output signal, and five-input function multiplexer output signal.
  • Multiplexers MG and MJ select between the related function generator output signal, sum output signal, and six-input function multiplexer output signal.
  • the function generator F, G, H, J output signal is selected when a logical function of up to four inputs is chosen.
  • the five-input function multiplexer F5A, F5B output signal is selected when one of a limited set of nine-input functions is chosen, including any logical function of five inputs, a 4-to-1 multiplexer, and any other function that can be implemented as two four-input functions feeding a 2-to-1 multiplexer.
  • the six-input function multiplexer F6A, F6B output signal is selected when one of a limited set of 18-input functions is chosen, including any logical function of six inputs, an 8-to-1 multiplexer, and any other function that can be implemented as the output of two five-input function multiplexers F5A, F5B feeding a 2-to-1 multiplexer.
  • the sum output signal of XOR gates SF, SG, SH, SJ is selected when an appropriate arithmetic operation such as addition, subtraction or multiplication is implemented.
  • Multiplexers OF, OG, OH, OJ allow the carry chain to bypass the related function generator. Multiplexers OF, OG, OH, OJ select whether the respective carry multiplexer CF, CG, CH, CJ is controlled by the function generator output signal 0 or whether the carry chain bypasses the function generator by propagating the carry-in signal to the next stage along the carry chain. Multiplexers CA, CB allow additional access onto their respective carry chains by selecting either a carry-in signal CIN0, CIN1 (buffered in this embodiment by inverters I121B and I122B, I121A and I122A, respectively) or an input signal BF, BH as the starting point for the carry chain in the CLE.
  • Multiplexers DF, DH select a signal to be loaded into related registers RX, RZ either from the outputs of multiplexers MF, MH, or from input signals BF, BH, respectively.
  • Multiplexers DG, DJ select a signal to be loaded into related registers RY, RV either from the outputs of multiplexers MG, MJ, or from input signal lines BG, BJ, respectively.
  • Multiplexers BB, BA are bypass multiplexers that can apply carry-out signals COUT0, COUT1 to output lines YB, VB, or can forward input signals BG, BJ to output lines YB, VB to route a signal generated on one side of the CLE to a destination on the other side of the CLE.
  • AND-gates BRB, BRA allow input signals BG, BJ to serve as set/reset signals to registers RX, RY, RZ, RV, or alternatively allows input signals BG, BJ to be decoupled from registers RX, RY, RZ, RV so that input signals BG, BJ can be used for other purposes, such as controlling multiplexers F6B, F6A or providing a DI signal to function generators G, J, without setting or resetting the registers.
  • a logic 0 in the memory cells controlling AND-gates BRB, BRA decouples lines BG, BJ from set/reset control units R81B, R82B, R81A, R82A; while a logic 1 in the associated memory cell enables AND-gates BRB, BRA to use the signals on BG, BJ to set or reset registers RX, RY, RZ, RV as determined by set/reset control units R81B, R82B, R81A, R82A.
  • FIG. 7 shows details of the interior of input multiplexer IMUX of the embodiment shown in FIG. 3.
  • the input lines in this embodiment (G, 430, DIW, 428, DIE, 429) are selectively programmed to drive a series of 34 outputs (431, 432, 436, LV0, LV6, LH0, LH6). 26 of these outputs drive CLE inputs 436, two drive the data inputs 431 and two drive the enable inputs 432 of tristate buffers 445, two drive LV0 and LV6 (two lines of vertical long line bus LVS), and two drive LH0 and LH6 (two lines of horizontal long line bus LHE).
  • any IMUX output not connected to any IMUX input line is connected through a pullup to the positive voltage supply.
  • FIGS. 8 and 9 show examples of signal paths implemented in an array of tiles according to the embodiment shown in FIG. 3.
  • the labeling convention used in FIGS. 8 and 9 is that tiles are identified by a row and column number based on the portion of the array shown in the figure. Within a tile the line labels correspond to the bus labels indicated in FIG. 2. However, in FIGS. 8 and 9, the label indicates a single line rather than the entire bus. Only lines used in the examples are shown and labeled. With this labeling convention, some labels are used more than once. But no ambiguity exists, because all tiles are identical and each tile has a different tile number. The tile numbers distinguish between labels used more than once in the same drawing.
  • FIG. 8 shows a routing example of a signal path using hex and single-length lines.
  • a signal is sourced by source logic block L0 of tile 8-2, and programmably connected within the tile through line OUT to hex segment 6N.
  • Hex segment 6N turns north and becomes vertical hex segment 47. This signal must fan out to logic blocks at three destinations in tiles 5-3, 4-3, and 1-2.
  • the signal passes through tiles 7-2 through 3-2 on segments 46 through 42.
  • the signal is on segment 44, where it fans out to segment 6V.
  • segment 6V is programmably connected to single-length line E, which continues east into tile 5-3 as line W.
  • line W is an input to the first destination, logic block L1, and also to switching structure 403, where it is programmably connected to line N.
  • Line N of tile 5-3 continues north into tile 4-3 as line S, which is an input to the second destination, logic block L2.
  • the northern end of the vertical hex line is reached in tile 2--2, where the signal is on segment 41.
  • Segment 41 is programmably connected to single-length line N, which continues north into tile 1-2 as line S.
  • line S is an input to the third destination, logic block L3.
  • a signal net is formed from source logic block LO to destination logic blocks L1, L2 and L3.
  • the connections are fast because the PIP onto the hex line is buffered, there is a low capacitance on the hex line due to the small number of PIPs on the hex line, and each path from source to destination has a small total number of PIPs inserting delay into the signal path.
  • each signal path between source and destination logic blocks requires at most three PIPs: one buffered PIP onto the hex line and one or two unbuffered PIPs onto single-length lines.
  • a logic block is the structure designated LB in FIG. 2.
  • FIG. 9 shows a routing example of a signal path using a long line, hex lines, and single-length lines.
  • a signal is sourced by source logic block L8 of tile 1--1, and must be routed to logic blocks L4, L5, L6, and L7.
  • the signal from logic block L8 is programmably connected within tile 1--1 to one of the vertical long lines LV accessible from that particular tile.
  • Long line LV extends southward for 18 tiles to tile 19-1, where long line LV is programmably connected to hex segment 6S, then continues southward to drive other hex lines (not shown) south of the portion of the array shown in FIG. 9. (The gap of 18 tiles in FIG.
  • the signal makes a programmable connection between segment 6H and single-length line S, which continues south into tile 23-4 as line N.
  • line N enters switching structure 403 and connects to line E, which continues east into tile 23-5 on line W, an input to the first destination, logic block L4.
  • the signal continues east into tile 22-7 on segment 31, then turns north onto segment 6W.
  • Segment 6W is programmably connected to line N, which continues north into tile 21-7 as line S and is an input to the second destination, logic block L5.
  • the signal on segment 46 in tile 24-1 continues south into tile 25-1 on segment 47, which turns west on segment 6N.
  • the signal makes a programmable connection to segment 6E.
  • the signal then moves east on segment 37, traversing tiles 25-2 through 25-6 on segments 36 through 32.
  • the signal continues east into tile 25-7 on segment 31, then turns north onto segment 6W.
  • Segment 6W is programmably connected to line S, which continues south into tile 26-7 as line N and is an input to the third destination, logic block L6.
  • One further connection remains to be made.
  • the signal on segment 6V makes an additional programmable connection to line E.
  • Line E continues east into tile 25-2 on line W.
  • line W enters switching structure 403 and connects to line S, which continues south into tile 26-2 on line N, an input to the fourth destination, logic block L7.
  • a signal net is formed from source logic block L8 to destination logic blocks L4, L5, L6 and L7.
  • the connections to long lines and hex lines are buffered and the RC delay of the unbuffered connection is minimized.
  • these destinations required at most four PIPs in the interconnect path between logic blocks: one or two buffered PIPs onto hex lines, and one or two unbuffered PIPs onto single-length lines. Yet 31 tiles were traversed between source logic block L8 and destination logic block L6.
  • FIG. 10 shows a routing example of a high fanout control signal, such as a clock (CK), clock enable (CE), set/reset (SR), or tristate enable signal (432) delivered from a vertical long line to a column of tiles.
  • a signal can be placed onto a vertical long line either from outside the tile array, or by using one of lines 437I of FIG. 2.
  • TILE4 From vertical long line bus LV, in every twelfth tile (TILE4) the high fanout control signal emerges on line 600.
  • Line 600 drives two vertical hex lines, one of which (H1) extends north six tiles from TILE4 and one of which (H2) extends south six tiles from TILE4. From hex lines H1 and H2, lines 428 (shown in FIG.
  • the input multiplexer (IMUX) of FIG. 7 permits vertical hex lines, through lines 428, to drive control signals CK, CE, SR, and 432 without passing through a single-length line, although signals on other CLE inputs, such as function generator inputs, must always pass through a single-length line to reach the CLE.
  • Hex line H1 drives the logic block in TILE4, plus the five tiles adjacent to the north. Note that in the northernmost tile reached by hex line H1, line 428 does not make contact with hex line H1. Instead, contact is made with hex line H3, which originates 12 tiles north of TILE4. Hex line H2 drives the six logic blocks adjacent to the south of TILE4.
  • hex line H1 North of hex line H1, hex line H3 drives the adjacent six logic blocks. South of hex line H2, hex line H4 drives the adjacent six logic blocks. Each hex line drives a high fanout control signal in six logic blocks.
  • the tiles accessed by hex lines H1 and H2 (labeled 610 in FIG. 10) form a column of 12 tiles that can be vertically repeated to access an entire column of an array of repeating tiles.
  • FIG. 10 shows that for a high fanout control signal, there is only one PIP between a signal on a vertical long line and any destination logic block in the same column. (However, in the preferred embodiment, there is an additional PIP added to the path in input multiplexer IMUX.) Therefore, there is very little delay on this path. Additionally, this distribution method has the same number of PIPs and the same number of interconnect lines (one long line, one hex line) in the path to each logic block input. Therefore, there is very low skew on this path. Although the global lines (G in FIG. 3) would typically be used for up to four clocks, the distribution method of FIG. 10, by taking advantage of the hierarchical nature of the interconnect scheme according to the invention, produces an excellent additional clock distribution network with high performance and low skew.
  • FIG. 11 shows a routing example of a high fanout function generator input signal (or other non-control signal) delivered from a vertical long line to a column of tiles.
  • a signal can be placed onto a vertical long line either from outside the tile array, or by using one of lines 437I of FIG. 2.
  • From vertical long line bus LV in every twelfth tile (TILE5-6) the high fanout function generator input signal emerges on line 601.
  • Line 601 drives two vertical hex lines, one of which (H5) extends north six tiles from TILE4 and one of which (H6) extends south six tiles from TILE4.
  • line segments 6S, 6N and 6V are used in conjunction with single-length lines N, S and switching structures 403 to drive the logic blocks, similar to the interconnections in FIGS. 8 and 9.
  • signals connecting to function generator inputs inside the CLE must always pass through a single-length line to reach the CLE.
  • Hex line H5 drives, through single-length lines, the logic blocks in tiles TILE5-7, TILE5-8, and TILE5-3.
  • hex line H5 drives, through single-length lines, the logic blocks in the three southernmost tiles of the 12-tile column to the north of column 611 (corresponding to tiles TILE5-10, TILE5-11, and TILE5-12 of column 611).
  • Hex line H6 drives, through single-length lines, the logic blocks in tiles TILE5-4, TILE5-5, TILE5-6, and TILE5-9.
  • hex line H6 drives, through single-length lines, the logic blocks in the two northernmost tiles of the 12-tile column to the south of column 611 (corresponding to tiles TILE5-1 and TILE5-2 of column 611).
  • Tiles TILE5-1 and TILE5-2 are driven, through single-length lines, by hex line H7, which originates 12 tiles north of TILE5-6.
  • Tiles TILE5-10, TILE5-11, and TILE5-12 are driven, through single-length lines, by hex line H8, which originates 12 tiles south of TILE5-6.
  • Each hex line drives a high fanout function generator input signal in six logic blocks.
  • the logic block in TILE5-2 can be driven by hex line 6V through a switching structure and single-length line in TILE5-3 (not shown) and hence through an additional switching structure and single-length line in TILE5-2 to drive the logic block in TILE5-1.
  • This or a similar arrangement can be used in the northernmost implementation of 12-tile column 611, to access the two northernmost tiles. Similar connections can be made in the southernmost implementation of 12-tile column 611 to access the three southernmost tiles.
  • FIG. 11 shows that for a high fanout function generator input signal, there are either two or three PIPs between a signal on a vertical long line and any destination logic block in the same column: one from the long line to a hex line, one from the hex line to a first single-length line, and sometimes one to a second single-length line through the switching structure. (However, in the preferred embodiment, there is an additional PIP added to the path in input multiplexer IMUX.) Only the last one or two PIPs are unbuffered. Therefore, there are no large unbuffered RC trees on this net, no signal path has more than two unbuffered PIPs in series, and the delay on each signal path is minimized.
  • FIG. 12 shows an example of how to combine the interconnect of FIGS. 10 and/or 11 to deliver a high-fanout signal to an array of tiles.
  • a signal can be placed onto a horizontal long line either from outside the tile array, or by using one of lines 438 of FIG. 2.
  • the signal enters the portion of the array shown in FIG. 12 on a line in horizontal long line bus LH.
  • Long line bus LH traverses a row of 12 tiles 612, in which it makes contact with 12 logic blocks through hex lines and single-length lines.
  • the horizontal single-length lines connected to some horizontal hex lines can drive vertical long lines through PIPs in the IMUX. From the logic blocks in the 12 tiles of FIG. 12, lines 437I extend from the input multiplexer (see FIG.
  • special tiles can be created that permit, for example, hex lines to drive long lines, thus bypassing the single-length lines used in FIG. 12.
  • Such special tiles can be advantageously placed in rows along the top and bottom edges of the tile array, or at intervals within the array.
  • the interconnect structure of the present invention offers uniformly fast signals throughout the FPGA, due to the reduced loading (limited number of PIPs) on each interconnect line, the lack of large RC trees on signal nets, and the relatively small number of PIPs between source and destination on each path.
  • the nearly uniform delays on various interconnect paths greatly mitigate a common situation in FPGAs where a few signal paths, much slower than the average signal path, significantly reduce the overall performance of the circuit.
  • Intermediate-length lines enable the efficient implementation of localized circuits and ease the burden on routing software.
  • the limited number of PIPs, and the judicious arrangement thereof imparts a high degree of routing flexibility per silicon area consumed. Further routing flexibility is provided by the ability of logic block inputs to contact interconnect lines located on any edge of the logic block.
  • the present invention provides a new and useful interconnect structure for FPGAs.

Abstract

The invention provides an FPGA interconnect structure preferably included in an array of identical tiles. A combination of single-length lines connecting to adjacent tiles and intermediate-length lines connecting to tiles several tiles away creates an interconnect hierarchy which allows any logic block to be connected to any other logic block, yet also allows for fast paths to both adjacent tiles and tiles some distance away. Longer interconnect lines may be included as a third level of hierarchy to permit interconnection of widely separated tiles. In a preferred embodiment, from a given tile an intermediate-length line connects to the tile three tiles away, then continues and connects to the tile six tiles away. In this embodiment, the intermediate-length line does not connect to the intervening tiles one, two, four, and five tiles away. According to a second aspect of the invention, high fanout signals can be distributed to any tile in the array. A signal on a horizontal long line traverses a row of tiles, in which it makes contact with the logic block in each tile through hex lines and single-length lines. The horizontal single-length lines connected to some horizontal hex lines can programmably drive vertical long lines. Using these programmable connections, the signal on the horizontal long line bus is transferred to the vertical long lines. From the vertical long lines, a high-fanout signal is delivered to an array of tiles.

Description

CROSS-REFERENCE TO RELATED APPLICATIONS
This application is a divisional application of commonly assigned co-pending application Ser. No. 08/806,997 docket X-277 U.S.! invented by Steven P. Young, Kamal Chaudhary, and Trevor J. Bauer and filed Feb. 26, 1997, which is incorporated herein by reference.
CROSS-REFERENCED APPLICATIONS
This application relates to the following commonly assigned co-pending U.S. patent applications:
1. Ser. No. 08/775,425 docket X-233 U.S. ! invented by Steven P. Young entitled "FPGA TWO TURN ROUTING STRUCTURE WITH LANE CHANGING AND MINIMUM DIFFUSION AREA" and filed Jan. 9, 1997,
2. Ser. No. 08/729,065 docket X-296 U.S.! invented by Shekhar Bapat and Sridhar Krishnamurthy, entitled "HIGH SPEED BIDIRECTIONAL BUS WITH MULTIPLEXERS" and filed Oct. 10, 1996,
3. Ser. No. 08/786,818 docket X-158 U.S.! invented by Kenneth D. Chapman and Steven P. Young, entitled "CONFIGURABLE LOGIC BLOCK WITH AND GATE FOR EFFICIENT MULTIPLICATION IN FPGAs" and filed Jan. 21, 1997, and
4. Ser. No. 08/754,421 docket X-275 U.S.! invented by Trevor J. Bauer, entitled "LOOKUP TABLES WHICH DOUBLE AS SHIFT REGISTERS" and filed Nov. 22, 1996, all of which are incorporated herein by reference.
BACKGROUND OF THE INVENTION
1. Field of the Invention
The invention relates to programmable integrated circuit devices, more particularly to the interconnect structure in a field programmable logic device.
2. Description of the Background Art
Field programmable gate arrays (FPGAs) include logic blocks connectable through a programmable interconnect structure. The interconnect structure typically provides for connecting each logic block to each other logic block. Early FPGAs accomplished this by providing short interconnect segments that could be joined to each other and to input and output terminals of the logic blocks at programmable interconnection points (PIPs). As these FPGAs become larger and more complex, the interconnect structure must also become both larger and more complex. In order to improve speed (performance), direct connections to adjacent logic blocks have been provided, and for transmitting a signal the distance of many logic blocks, longer lines have been provided. In order to save silicon area, less frequent PIPs have been provided. With fewer PIPs present, the routing is less flexible (for the same number of routing lines), but typically faster due to reduced loading. By removing only those PIPs which are least often used, routing flexibility can be minimally affected. Thus, there is a trade-off between performance, silicon area, number of routing lines, and routing flexibility.
Several U.S. patents show such structures for interconnecting logic blocks in FPGAs. Freeman in U.S. Reissue Pat. No. Re 34,363 describes the first FPGA interconnect structure, and includes short routing segments and flexible connections as well as global lines for signals such as clock signals. Carter in U.S. Pat. No. 4,642,487 shows the addition of direct connections between adjacent logic blocks to the interconnect structure of Freeman. These direct connections provide fast paths between adjacent logic blocks. Greene et al in U.S. Pat. No. 5,073,729 shows a segmented interconnect structure with routing lines of varied lengths. Kean in U.S. Pat. No. 5,469,003 shows a hierarchical interconnect structure having lines of a short length connectable at boundaries to lines of a longer length extending between the boundaries, and larger boundaries with lines of even longer length extending between those boundaries. Kean shows in particular lines the length of one logic block connecting each logic block to the next, lines the length of four logic blocks connectable to each logic block they pass, and lines the length of sixteen logic blocks connectable at the length-four boundaries to the length-four lines but not connectable directly to the logic blocks. In Kean's architecture, adjacent logic blocks in two different hierarchical blocks (i.e., on either side of the boundaries) connect to each other differently than adjacent logic blocks in the same hierarchical block.
Pierce et al in U.S. Pat. No. 5,581,199 shows a tile-based interconnect structure with lines of varying lengths in which each tile in a rectangular array may be identical to each other tile. In the Pierce et al architecture, an interconnect line is part of the output structure of a logic block. Output lines of more than one length extend past other logic block input lines to which the logic block output lines can be connected. All of the above-referenced patents are incorporated herein by reference, and can be reviewed for more understanding of prior art routing structures in FPGAs.
In the interconnect structures described by Freeman and Greene et al, each path is formed by traversing a series of programmably concatenated interconnect lines, i.e., a series of relatively short interconnect lines are programmably connected end to end to form a longer path. The relatively large number of programmable connections on a given signal path introduces delay into the signal path and therefore reduces the performance of the FPGA. Such interconnect structures are called "general interconnect".
The direct connections first described by Carter and included in the architecture of Kean provide fast paths between adjacent logic blocks, but in Carter's structure general interconnect must still be used to traverse the distance between any two blocks that are not adjacent. Therefore, circuits large enough or complex enough to require interconnecting signals between non-adjacent blocks (which frequently occur) must use the general interconnect to make these connections. For short paths, general interconnect is slower than direct interconnect, because general interconnect must be connected through several PIPs, or, if long lines are used, must be buffered to accommodate long or heavily loaded signals, introducing delay. Additionally, it is inefficient in terms of silicon area to use long lines for short paths that may be traversing only a few logic blocks, since the long lines can otherwise be used for longer paths. Further, since software that implements a logic design in an FPGA typically places interconnected logic in close proximity, structures that take advantage of this placement strategy will work well with the software, resulting in shorter compilation times for routing software and more efficient circuit implementations.
Interconnect lines called "quad lines" are included in the XC4000EX FPGAs from Xilinx, Inc., and described on pages 4-32 through 4-37 of the Xilinx 1996 Data Book entitled "The Programmable Logic Data Book", available from Xilinx, Inc., 2100 Logic Drive, San Jose, Calif. 95124, which are incorporated herein by reference. However, since each quad line contacts every tile that it traverses, these lines have a large number of PIPs, each of which adds RC delay.
Pierce et al provides fast paths between both adjacent logic blocks and logic blocks several tiles apart. The output lines of the Pierce et al architecture can each drive the inputs of a limited set of other logic blocks. However, the possible destinations are limited to selected logic blocks, and the interconnect lines can only access certain specific inputs of the destination logic blocks.
In each of the prior art structures recited above, each interconnect line has programmable connections to the inputs of other logic blocks. However, in the structures of Freeman, Carter, and Pierce et al, a given logic block input can be driven from either horizontal interconnect lines, or vertical interconnect lines, but not both. An alternative approach is to separate the interconnect lines from the logic block inputs by way of a routing matrix, which gives each interconnect line more flexible access to the logic block inputs. Such an architecture is described in U.S. Pat. No. 5,682,107 entitled "FPGA Architecture With Repeatable Tiles Including Routing Matrices and Logic Matrices" by Tavana et al, issued Oct. 28, 1997, which is referenced above and incorporated herein by reference. In the structure of Tavana et al, most interconnect lines entering the tile connect to a routing matrix within the tile, rather than directly to logic block inputs or outputs. Connections between pairs of interconnect lines and between interconnect lines and logic block inputs are made through lines called "tile interconnect lines" that do not leave the tile. The advantage of having an extra interconnect line in a path from the edge of a tile to the logic block in the tile is that the routing matrix is flexible but consumes a relatively small amount of silicon area. A combination of PIPs can allow access from any line entering the tile to any desired input of a destination logic block. Yet the total number of PIPs is smaller than in many other interconnect structures. The disadvantage is that getting on and off the tile interconnect lines inserts a certain amount of delay into the path for each tile traversed. This delay inhibits the fast propagation of signals through the FPGA. Tavana et al have therefore provided long lines connectable to every tile they pass and double-length lines that bypass the tile interconnect lines in one tile. These lines can be used for signals that are traversing one or more tiles without accessing the logic blocks in the traversed tiles.
Kean separates the interconnect lines from the logic block inputs using input multiplexer switches, which provide routing flexibility to the inputs.
Since the slowest signal path between logic blocks typically determines the performance of a circuit, it is advantageous to make the slowest path as fast as possible. One way to accomplish this is to design the interconnect structure such that there is a relatively uniform delay on all signal paths throughout an FPGA. In the above routing structures, a typical distribution of delays on signal paths shows a few signal paths with significantly greater delay than the average. These signal paths are typically those with large "RC trees", i.e., signal paths which traverse a resistor (such as an unbuffered PIP), then have a large capacitance on the destination side of the resistor. An interconnect structure with relatively uniform delay could be better realized if large capacitances on a signal path (e.g., longer interconnect lines) were predictably placed on the source side of the resistor, or as close as possible to the source end of the signal path.
High fanout signals have large capacitance and are often slower than low fanout signals. Prior art routing structures had high-fanout signal routing with relatively large RC delay. An interconnect structure should ideally provide high-fanout signal routing with a delay comparable to that of other signals.
It is therefore desirable to find an interconnect structure that allows: 1) uniformly fast propagation of signals, including high-fanout signals, throughout the FPGA; 2) implementation of localized circuits in non-adjacent as well as adjacent blocks using fast paths; 3) ease of use by software; 4) efficient implementation of commonly used logic functions; and 5) a high degree of routing flexibility per silicon area consumed.
SUMMARY OF THE INVENTION
According to the invention, an FPGA interconnect structure includes a combination of wiring segment lengths and connections to logic blocks such that a given logic block can be connected through fast paths both to adjacent logic blocks and to logic blocks several tiles away. In the preferred mode, the FPGA includes a two-dimensional array of identical tiles. In each tile is a logic block. Also in each tile are programmable interconnection points (PIPs) and segments of interconnect lines that adjoin segments of interconnect lines in adjacent tiles. The adjoined segments form interconnect lines extending through several tiles to PIPs in other tiles some distance away. A combination of lines connecting to adjacent tiles (called single-length lines) and lines at least three tiles long connecting a first tile to at least second and third tiles at least two and three tiles away (called intermediate-length lines) creates an interconnect hierarchy which allows any logic block to be connected to any other logic block, and yet also allows for fast paths both to adjacent tiles and to tiles some distance away. Longer interconnect lines (called long lines) may be included as a third level of hierarchy to permit efficient interconnection of widely separated tiles. Long lines can span the entire width of the tile array, or can be programmably segmented into two or more shorter long lines. In one embodiment, long lines are distinguished from intermediate-length lines in that a pattern of PIPs spanning two or more tiles is repeated along the length of the long line. When the size of the tile array is increased, more instances of the pattern occur. By contrast, intermediate-length lines according to the invention are of a predetermined length that does not alter when the size of the array is increased. When the size of the tile array is increased, additional intermediate-length lines are added.
A unique aspect of the invention is having an interconnect line (specifically an intermediate-length line or a long line) that programmably connects to logic blocks in at least three separate tiles, while extending through at least one tile in which no PIPs connect to the interconnect line. Such an interconnect line is said to be "unconnectable" to the tile in which no PIPs connect to the interconnect line and also "unconnectable" to the logic block in the tile, although connections can be made from the interconnect line to the logic block through PIPs in other tiles. A programmable connection from an interconnect line to a logic block in a given tile may be made: a) directly to a logic block input; b) through one or more PIPs connected to the interconnect line in the same tile; or c) through one or more PIPs connected to the interconnect line in the same tile and through one or more single-length lines. If any such programmable connection from an interconnect line to a logic block in a given tile can be made, the interconnect line is said to be "connectable" to the logic block and to the tile.
In a preferred embodiment, from an originating tile an intermediate-length line connects to the tile three tiles away (i.e., separated by two tiles from the originating tile), then continues and connects to the tile six tiles away (i.e., separated by five tiles from the originating tile). This intermediate-length line (called a "hex line") does not connect to the intervening tiles one, two, four, and five tiles away. Instead, these tiles are reached indirectly by using single-length lines from the originating tile, the third tile, or the sixth tile. Connecting to only three of the seven tiles traversed by the hex line reduces the number of PIPs, and therefore reduces the silicon area required to form the interconnect line (thereby lowering the cost of the FPGA) and also reduces the capacitance added by PIPs (thereby increasing FPGA performance). Yet the combination of this intermediate-length routing, direct connections to neighboring tiles, and long lines to distant tiles allows highly flexible routing of signals.
Many modifications of the interconnect structure of the invention are possible. One such modification is the use of asymmetrical PIP patterns on interconnect lines (intermediate-length lines or long lines) such that the interconnect line connects three logic blocks, with two of the three logic blocks being in adjacent tiles, while the third logic block is in a tile separated from the other two tiles.
As FPGAs grow larger, the amount of routing required per tile grows larger. Therefore, the silicon area required to implement the necessary PIPs tends to grow larger, and the silicon area per tile increases as the number of tiles in an FPGA increases. It is desirable to reduce the number of PIPs required per tile.
In one embodiment, only the single-length lines have connections to the logic block inputs. The intermediate-length lines have connections to each other and to single-length lines, but not to the logic block inputs. The long lines have connections to the intermediate-length lines, but not to the single-length lines or to the logic block inputs. Therefore, the number of PIPs in a tile is reduced. As with the intermediate-length lines, reducing the number of PIPs on a long line reduces both silicon area and capacitance on the long line, thereby reducing RC delay. In some embodiments, special tiles include additional connections between the various interconnect lines to facilitate distribution of high-fanout signals. In some embodiments, global lines having direct access to the logic block inputs are available for global signals such as clocks or other high fanout control signals. In some embodiments, the long lines have connections to each other in the repeatable tile instead of in special tiles.
In some embodiments, the single-length lines are driven by unbuffered PIPs and the intermediate-length and long lines are driven by buffered PIPs. Signals are typically routed on longer buffered interconnect lines first, then fanned out on shorter unbuffered lines. In this manner, large unbuffered RC trees are avoided, making delays on signal paths throughout the FPGA more uniform and improving performance. More uniform delays also make it easier to predict the performance of a circuit earlier in the design cycle.
According to a second aspect of the invention, high fanout signals can be distributed to any tile in the array. A signal on a horizontal long line traverses a row of tiles, in which it makes contact with the logic block in each tile through hex lines and single-length lines. The horizontal single-length lines connected to some horizontal hex lines can programmably drive vertical long lines. Using these programmable connections, the signal on the horizontal long line bus is transferred to the vertical long lines. From the vertical long lines, a high-fanout signal is delivered to an array of tiles.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 shows some of the single-length and intermediate-length interconnect lines according to one embodiment of the invention. FIG. 1 is a simplified representation of the embodiment of FIG. 2.
FIG. 2 shows a bus representation of a single tile in which intermediate-length lines are connected to every third and sixth tile (i.e., hex buses are used).
FIG. 2A is a slightly modified version of FIG. 2 showing a different representation of the single-length buses and switching structure 403.
FIG. 3 shows a detailed representation of a single tile in the preferred embodiment. All features shown in FIG. 2 are represented, and some additional features are included.
FIG. 4 shows the region of FIG. 3 labeled INTERCONNECT.
FIG. 5 shows the output multiplexer (OMUX) of FIG. 3.
FIG. 6 shows the input and output signals for the configurable logic element (CLE) of FIG. 3.
FIGS. 6A and 6B show the internal logic for the CLE of FIG. 3. To view the entire CLE, FIGS. 6A and 6B must be viewed together as shown in the key at the top of FIG. 6A.
FIG. 7 shows the input multiplexer (IMUX) of FIG. 3.
FIG. 8 shows a routing example of a signal path using hex and single-length lines.
FIG. 9 shows a routing example of a signal path using long lines, hex lines, and single-length lines.
FIG. 10 shows a routing example of a high fanout control signal delivered to a column of tiles.
FIG. 11 shows a routing example of a high fanout function generator input signal delivered to a column of tiles.
FIG. 12 shows an example of how to combine the interconnect of FIGS. 10 and/or 11 to deliver a high-fanout signal to an array of tiles.
DETAILED DESCRIPTION OF THE DRAWINGS
The following drawing conventions are used throughout the figures. A small solid black dot at the intersection of two lines indicates a permanent electrical connection between the crossing lines. An open circle enclosing an intersection between two lines, or separating a single line into two line segments, indicates a programmable bidirectional connection between the lines or line segments (for example, a pass transistor which is turned on to make the connection). An open triangle at an intersection of two lines indicates a programmable connection with signal flow going onto the line pointed to by the apex of the triangle. (The signal is of course then present on the full length of the line. Thus, a triangle pointing in the opposite direction would have the same signal flow because the triangle points to the same line.) A triangle showing a connection between two buses indicates that at least one connection exists between the lines making up the buses. In accordance with one embodiment of the present invention, programmable connections are provided by using programmable interconnection points (PIPs), wherein each PIP includes at least one transistor.
A line which ends within a tile or block structure (i.e., does not extend to the border of a tile or block) is physically terminated within the tile or block. A line which extends to the border of the tile connects to a line on the next tile, which it contacts when two tiles are abutted together. Note that some lines which extend to an edge of a tile and thus into an adjacent tile change names at the tile boundary.
Simplified Representation of the Inventive Concept
FIG. 1 shows in simplified form some of the single-length and intermediate-length interconnect lines according to one embodiment of the invention. FIG. 1 illustrates part of an array of tiles in an FPGA. In each tile is logic block L and a routing or switching structure R. Also in each tile are line segments which connect to line segments in adjacent tiles to form interconnect lines. Most of the interconnect lines in the FPGA are not shown in FIG. 1 so as not to obscure the connectivity of the invention. Three of the tiles, labeled TILE1, TILE2, and TILE3 are shown in more detail, and short- and intermediate-length interconnect lines extending from TILE1, TILE2, and TILE3 are shown. (However, intermediate-length lines extending horizontally are not shown.) Single-length lines N, S, E, and W extend north, south, east, and west, respectively, from TILE2 to the four neighboring tiles. Equivalent lines not labeled extend from TILE1 and TILE3 as well. Identical structures are also present in all other tiles but are not shown in FIG. 1. These single-length lines N, S, E, and W extend between switching structures R in neighboring tiles; and have programmable connections to logic block L.
Intermediate-length lines also extend north, south, east and west from each tile to connect to other tiles three and six tiles away. Therefore in this embodiment the intermediate-length lines are "hex lines" as previously defined. Only the vertically extending (north and south) hex lines are shown, to avoid obscuring the connections. (Later figures show the complete connection pattern.)
From TILE2, hex line 6VN extends to the north a length of six tiles, connecting to TILE1 three tiles to the north and to another tile (not shown) six tiles to the north. Also from TILE2, hex line 6VS extends to the south six tiles, connecting to TILE3 and to another tile (not shown) six tiles to the south. Hex line 6VM connects tile TILE2 to tiles TILE1 and TILE3. Thus, three hex lines extend vertically and connect to TILE2. Also in TILE2, line 6VS is programmably connectable to line 6VN, as indicated by the PIP joining the ends of these two lines. In this manner an easy connection is available to a tile twelve tiles away from the starting tile. Only one additional connection is needed to extend the connection to another interconnect line six tiles long.
Note that within TILE2, only the single-length lines N, S, E, and W have access to logic block L. Thus a signal on a hex line must transfer to a single-length line to access logic block L in the destination tile. A signal entering TILE2 on line 6VS can connect to lines W, N, or E and thereby to logic block L in TILE2. Further, the signal now on single-length lines W, N or E can also access the logic block L in the tiles to the west, north or east of TILE2. In this embodiment, no connection from hex line 6VS to single-length line S is provided, as eliminating this connection saves silicon area and the tile to the south of TILE2 can be accessed through another path. In the embodiment of FIG. 1, a signal in TILE3 can reach the logic block of the tile to the south of TILE2 either by: 1) traversing two single-length lines to the north; or 2) taking hex line 6VM to TILE2, connecting to single-length line W or E, then entering switching structure R in TILE2 and "bouncing" (moving onto and off of an interconnect line in the same tile) from single-length line W or E to single-length line S inside the switching structure. The second alternative has one more PIP in the signal path than the first alternative, the PIP in switching structure R. In another embodiment, a connection to the south can of course be provided.
Repeatable Tile Representation
FIG. 2 more completely illustrates a single tile. FIG. 2 shows bus segments of various widths, as indicated by diagonal slash lines having a number indicating the bus width. The number of lines in each bus segment can of course vary in different embodiments. In the embodiment of FIG. 2, the tiles are identical. Since the illustration is of a single tile, complete interconnect lines must be understood by realizing that bus segments extending to the top of FIG. 2 will contact bus segments at the bottom of another copy of FIG. 2 placed adjacent to the north. Similarly, bus segments extending to the right of FIG. 2 will contact bus segments of another copy of FIG. 2 placed adjacent to the right, and so forth. An array such as present in an FPGA is represented by placing many copies of FIG. 2 together.
Hex Buses
In FIG. 2, 12-segment bus 6N extends horizontally through programmable region PROG-2, then turns north to become bus segment 47. Bus segment 47 extends to the top edge of the tile. Another copy of FIG. 2 placed adjacent and to the north of FIG. 2 will have a bus segment 46 extending to the bottom of the copy that contacts bus segment 47. Bus segment 46 extends vertically through the tile, but is offset right by one bus before it reaches the top of the tile, such that bus segment 46 in a given tile contacts bus segment 45 in the tile adjacent to the north. Similarly, at the tile boundary, bus segment 45 contacts bus segment 44, bus segment 44 contacts bus segment 43, bus segment 43 contacts bus segment 42, and bus segment 42 contacts bus segment 41. This offset arrangement results in a hex length bus extending through six adjacent tiles. Bus segment 41 extends into the tile from the bottom, then turns west and extends horizontally through programmable region PROG-2 as bus segment 6S. Bus segment 6V also extends horizontally through programmable region PROG-2, and connects to bus segment 44. Each tile therefore includes three bus segments 6N, 6V, and 6S extending into programmable region PROG-2. These bus segments enable the connections from a given tile to tiles three and six tiles away, which makes these intermediate-length lines hex lines as defined above. Bus segments 41, 42, 43, 44, 45, 46 and 47 together form a 72-line vertical "routing track" designated 6VN at the north end and 6VS at the south end of FIG. 2. Bus segments 42, 43, 44, 45, and 46 are called "interior segments", and bus segments 41 and 47 are called "end segments".
Horizontal 12-segment hex buses are similarly provided, each tile having portions 6W, 6H, and 6E extending into programmable region PROG-2. Bus segment 6E extends from programmable region PROG-2, then turns east and extends as bus segment 37 to the east edge of the tile. Bus segment 37 of a given tile contacts bus segment 36 in the adjacent identical tile to the east. Similarly to bus segments 41-47, bus segments 31-37 provide a hex length bus extending through six adjacent tiles. Bus segment 31 extends into programmable region PROG-2 as bus segment 6W. Bus segment 34 extends into programmable region PROG-2 as bus segment 6H. Bus segments 31, 32, 33, 34, 35, 36 and 37 together form a 72-line horizontal routing track designated 6HW at the west end and 6HE at the east end of FIG. 2.
Note that 72-line hex buses 6VN, 6VS, 6HW, 6HE are not typical buses in that the hex lines making up the buses do not all start in the same tile and end in the same tile, i.e., there is no fixed boundary for the bus. Twelve hex lines end, and another twelve hex lines begin, in each tile. This feature, among others, distinguishes the inventive structure over that of Kean and other hierarchical interconnect structures. In the hierarchical interconnect structures, an FPGA is divided hierarchically with periodic routing structures at the hierarchical boundaries. The present invention uses identical tiles, each of which tiles includes end segments of some of the lines.
Other Buses
North, south, east, and west single-length buses (N, S, E, and W respectively), each 24 lines wide, are also provided. These single-length buses connect to each other within switching structure 403 located in programmable region PROG-2. In FIG. 2, single-length buses S, W and E are shown entering switching structure 403 in two positions, in order to correspond to FIG. 4. However, the bus segments labeled S,W, and E pass continuously through switching structure 403. The way these bus segments pass through switching structure 403 is clarified in FIG. 2A, which shows a different representation of switching structure 403, but is otherwise the same as FIG. 2.
In this embodiment, each single-length line can be connected to the input multiplexer IMUX-2. Each single-length line can also be connected to some of the hex lines.
FIG. 2 includes another feature: horizontal and vertical long line buses. Each of the two long line buses is 12 lines wide. Two-line buses 437P, 438 (corresponding to lines LV0 and LV6, LH0 and LH6, respectively, in FIGS. 4 and 7) taken from each long line bus extend into programmable region PROG-2 where they can be programmably connected to drive hex lines. The two accessed long lines in each long line bus are separated from each other by six lines, and each long line is accessed every sixth tile. Two-line buses 437I, 438 taken from each long line bus extend from input multiplexer IMUX-2, which can provide signals to the long lines. (Two-line bus 437I includes the same two signals as two-line bus 437P.) The horizontal long line bus is designated LHW at the west end and LHE at the east end of FIG. 2. LHW and LHE extend through an offset region 422 (shown in FIG. 3) which offsets the bus by one line per tile. In this manner, each identical tile accesses two different lines of horizontal long line bus LHW/LHE, and each long line is accessed every sixth tile. Similarly, the vertical long line bus is designated LVN at the north end and LVS at the south end of FIG. 2. LVN and LVS extend through an offset region 421 similar to offset region 422. The long line buses extend the entire length or width of the tile array.
Another bus extends horizontally through the programmable region PROG-2, a tristate buffer bus, 4 lines wide, designated TW at the west end and TE at the east end of FIG. 2. TW and TE are connected by programmable offset region 423. Programmable offset region 423 is similar to offset regions 421 and 422 in that it offsets the bus by one line; however, programmable offset region 423 is only four lines wide and also includes one programmable bidirectional PIP for extending the length of bus TW or TE. (The internal structure of programmable offset region 423 is shown in FIG. 3.) This PIP can be used either to "break" each line of the tristate bus every fourth tile, or to connect lines together every fourth tile to continue a tristate line up to the full width of the tile array. Two examples of tristate buses that can be used are described by Steven P. Young et al in U.S. Pat. No. 5,677,638, entitled "High Speed Tristate Bus with Multiplexers for Selecting Bus Driver", issued Oct. 14, 1997, and commonly assigned, co-pending U.S. patent application Ser. No. 08/729,065, "High Speed Bidirectional Bus with Multiplexers", by Bapat et al, both of which are incorporated herein by reference.
Programmable Bus Connections
Programmable bus connections are located in region INTERCONNECT-2, which is part of programmable region PROG-2. Where hex buses cross single-length buses, open triangles indicate programmable connections in which one of the hex lines at the base of the triangle can drive one of the single-length lines at the tip of the triangle. Triangles pointing to hex lines represent buffered connections, and triangles pointing to single-length lines represent unbuffered connections such as simple pass transistors.
Note that not all intersections have triangles. For example, hex bus segment 6V can connect to east and west single-length buses E and W, but not to north and south buses N and S. Similarly, hex bus segment 6H can connect to north and south single-length buses N and S, but not to east and west buses E and W. Hex bus segment 6S coming from the south can connect to east and west single-length buses E and W and also to north bus N. This partial connectivity saves silicon area while still providing useful connections for good routability and performance. Similarly, hex bus segment 6N coming from the north can connect to east and west single-length buses E and W and also to south bus S; hex bus segment 6W coming from the west can connect to north and south single-length buses N and S and also to east bus E; and hex bus segment 6E coming from the east can connect to north and south single-length buses N and S and also to west bus W. In each of these cases, the connection may be limited by hardware or software to being unidirectional such that the hex buses can drive the single-length buses, but the single-length buses cannot drive the hex buses. (In the actual hardware of this embodiment, the connection is a bidirectional pass-gate; whereby the single-length buses can drive the hex buses. However, doing so reduces the speed of the resulting signal path dramatically, and therefore software for programming the FPGA does not select such a path.)
All of the single-length buses can be driven by output multiplexer OMUX-2 by way of output bus OUT. Hex bus segments 6E, 6W, 6N, and 6S can also be driven by output bus OUT.
Vertical hex bus segments 6N and 6S can be connected together via programmable bus connection 404. (The internal structure of programmable bus connection 404 is shown in FIG. 4.) In this fashion, a line in a vertical hex bus can be continued 12, 18 or more tiles, rather than terminating after 6 tiles. Similarly, horizontal hex bus segments 6W and 6E can be connected together via programmable bus connection 405. Additionally, vertical hex bus segments 6N and 6S can be connected to horizontal hex bus segments 6W and 6E via programmable bus connections 406, 407, 408, and 409. The connectivity of these bus connections is shown in FIG. 4. Note also that hex bus segment 6V (which implements the connection to the third tile in the vertical direction) can drive horizontal hex bus segments 6W and 6E. Similarly, hex bus segment 6H (which implements the connection to the third tile in the horizontal direction) can drive vertical hex bus segments 6N and 6S. By this means, the direction of a signal path is easily changed between horizontal and vertical.
Horizontal hex bus segments 6E and 6W in a given tile can be driven by the two horizontal long lines accessed in the same tile. Vertical hex bus segments 6N and 6S in a given tile can be driven by the two vertical long lines accessed in the same tile. This capability allows a signal on a long line to fan out to an entire row or column of tiles with high speed, as later shown in FIGS. 10-12, by routing from the long line to each hex line (and hence to single lines) rather than propagating serially along the hex lines.
Switching structure 403 allows each single-length bus (N, S, E, W) to be connected to each of the other single-length buses. The connectivity in switching structure 403 is shown in FIG. 4, and is also described and shown in detail in FIG. 6A of commonly assigned, co-pending U.S. application Ser. No. 08/775,425 entitled "FPGA Two Turn Routing Structure with Lane Changing and Minimum Diffusion Area" by Steven P. Young, which is referenced above and incorporated herein by reference.
Significance of Programmable Bus Connections
The bus connections in FIG. 2 implement an interconnect structure for FPGAs according to the invention. The buses of this embodiment interact in the following ways: 1) long lines can drive hex buses parallel thereto, but cannot drive either perpendicular hex buses or single-length buses except through the parallel hex buses; 2) hex buses can drive single-length buses both parallel and perpendicular thereto, but single-length buses cannot drive hex buses (although in one embodiment hex buses and single-length buses are connected through bidirectional PIPs, so a software limitation is imposed to make these connections unidirectional); 3) hex buses can further drive other hex buses both parallel and perpendicular thereto; and 4) most hex buses cannot connect to the inputs of input multiplexer IMUX-2, although a limited number of lines from the hex buses can make such connections, as is later described. Therefore, in the interconnect structure of FIG. 2, it is preferred to make connections between tiles by placing a signal first on the longest suitable line and from there moving the signal to a shorter line. For example: 1) a connection to a logic block three or six tiles away would be made through a hex line, switching to a single-length line to reach the input multiplexer; 2) a connection to a logic block seven tiles away would be made through first a hex line and then a single-length line (this connection requires no more PIPs than the first example); 3) a connection to a logic block on the other side of the FPGA would be made through first a long line, then a hex line, then transferred to one or more single-length lines before entering the destination input multiplexer. In this manner, large RC trees are avoided, by ensuring that unbuffered PIPs do not drive heavily loaded lines. Further, the various types of signal paths throughout the interconnect structure have similar delays, increasing the overall performance of the circuit.
Of course, many signal paths do not travel only within one column or one row of tiles. To turn a corner, a signal path can move from a given single-length line to a perpendicular single-length line through the switching structure 403, or from a given hex line to a perpendicular hex line.
Programmable Logic Portion of the Tile
The interconnect portion INTERCONNECT-2 of the repeatable tile of FIG. 2 exists only for the purpose of delivering signals between the logic blocks in different tiles. The logic block LB of this embodiment comprises a configurable logic element CLE-2, an output multiplexer OMUX-2, an input multiplexer IMUX-2, and two tristate buffers 445. (The number of tristate buffers can of course vary in other embodiments of the invention.) Configurable logic element CLE-2, input multiplexer IMUX-2, and output multiplexer OMUX-2 in FIG. 2 have small solid black triangles on each input and output. The direction of the apex of the triangle indicates the direction of the signal flow. Thus, a triangle with its apex inside the rectangular box indicates an input line or bus and a triangle with its apex outside the rectangular box indicates an output line or bus.
Input multiplexer IMUX-2 of this embodiment accepts inputs from: 1) each of single-length buses N, S, E, W; 2) four lines 428 of each 12-line vertical hex bus 42, 43, 44, 45, 46, 47; 3) four lines 429 from 8-line output bus OUT from output multiplexer OMUX-2; and 4) a total of four fast-feedback lines 430 from configurable logic element CLE-2. Input multiplexer IMUX-2 can programmably create the following output signals: 1) two 13-line buses 436 that provide input signals to configurable logic element CLE-2; 2) two signal lines 431 that drive the data inputs of tristate buffers 445; 3) two signal lines 432 that enable tristate buffers 445; 4) two lines of vertical long line bus LVS; and 5) two lines of horizontal long line bus LHE.
Configurable logic element CLE-2 accepts only inputs from input multiplexer IMUX-2, and drives 12 output lines shown in FIG. 2 as two 6-line buses 433. Four of these output lines form fast-feedback paths 430 to input multiplexer IMUX-2. Outputs 433 from configurable logic element CLE-2 drive output multiplexer OMUX-2. OMUX-2 gets one additional input 434 from tristate buffer bus TW, and drives 8-line output bus OUT. Each of the two tristate buffers 445 drives two of the tristate lines in tristate buffer bus TW via 2-line bus 435. The connection of tristate buffers 445 to tristate buffer bus TW is shown in more detail in FIG. 3.
Detailed Repeatable Tile Representation
FIGS. 3-12 show the preferred embodiment of a repeatable interconnect structure according to the invention, which embodiment is similar to the embodiment of FIG. 2. FIG. 3 shows a detailed representation of a single tile in the preferred embodiment. All features shown in FIG. 2 are represented, and some additional features are included. Labels in FIG. 3 correspond to the same labels in FIG. 2; thus corresponding structures are easily identified. There is one difference in representation: in FIG. 3, 72-line vertical routing track 6VN/6VS of FIG. 2 is represented as two 36-line vertical routing tracks, 6RN/6RS on the right and 6LN/6LS on the left. Half of the lines of each 12-line hex bus are drawn on each side of programmable region PROG, which corresponds to programmable region PROG-2 in FIG. 2. This representational difference has no effect on the functionality of the tile. In FIG. 3, the horizontal and vertical hex buses are shown with first lines of all buses are grouped together. Only the first lines are labeled. For example, labels 41 through 47 represent the first lines of hex bus segments 41 through 47 of FIG. 2. Hex bus segments 31 through 37 of FIG. 2 are similarly represented, but are not labeled in FIG. 3.
Interconnect region INTERCONNECT of FIG. 3 is functionally the same as interconnect region INTERCONNECT-2 of FIG. 2. Features in the embodiment of FIG. 3 that are not shown in FIG. 2 include: 1) horizontal four-line global bus G along the top of the tile provides four global inputs to input multiplexer IMUX, which global inputs can be used to distribute high-fanout or high-speed global clock signals; 2) two direct output connection lines DOW from output bus OUT (driven by output multiplexer OMUX) exit the tile of FIG. 3 on the west edge, where they are connected to input lines DIE of an adjacent tile to the west; 3) two direct input connection lines DIE from the adjacent tile to the east enter the tile of FIG. 3 on the east edge and go to input multiplexer IMUX; 4) two direct output connection lines DOE from output bus OUT exit the tile of FIG. 3 on the east edge, where they are connected to lines DIW of an adjacent tile to the east; 5) two direct input connection lines DIW from the adjacent tile to the west enter the tile of FIG. 3 on the west edge and go to input multiplexer IMUX; and 6) two carry chains are included in configurable logic element CLE, each carry chain having an input on the south edge of the tile and an output on the north edge of the tile, the inputs designated CIN0 and CIN1 and the outputs designated COUT0 and COUT1, respectively. Some embodiments of the interconnect structure according to the invention do not have these features.
Interconnect Region
FIG. 4 shows interconnect region INTERCONNECT of FIG. 3 in detail. All input and output lines are located in the same relative positions in FIGS. 3 and 4. Input and output lines to interconnect region INTERCONNECT in FIG. 3 can be identified by looking at the corresponding labels in FIG. 4. With the exception of the division of the vertical routing track, as described above, FIG. 4 also corresponds directly to the interconnect region INTERCONNECT-2 of FIG. 2. Numerical labels identify features of the implementation previously shown and described. The connectivity in switching structure 403 is also described and shown in detail in FIG. 6A of commonly assigned, co-pending U.S. application Ser. No. 08/775,425 entitled "FPGA Two Turn Routing Structure with Lane Changing and Minimum Diffusion Area" by Steven P. Young, which is referenced above and incorporated herein by reference.
Programmable bus connections 404 and 405 in FIG. 4 demonstrate an additional feature of the invention. In programmable bus connections 404 and 405, vertical and horizontal hex buses (respectively) are programmably connected together to enable the continuation of a signal path in the same direction from one hex bus to the next. As shown in FIG. 4, some of these connections can be bidirectional (for example, implemented as pass transistors) while others are unidirectional (such as buffered connections). In one embodiment, the bidirectional hex buses (hex buses that can be programmably driven from either end) have more programmable connections to perpendicular buses than do the unidirectional hex buses (hex buses that can be programmably driven from only one end). Such bidirectional connections will be most flexible, while such unidirectional connections will be faster, since the PIP can be implemented as a single buffer without a programmable enable.
Vertical long lines LV0 and LV6 identify the first and seventh lines, respectively, of vertical long line bus LVS that are accessed in each repeatable tile, as previously described. Similarly, horizontal long lines LH0 and LH6 identify the first and seventh lines, respectively, of the horizontal long line bus LHE.
As previously described, hex bus segments 6N, 6V, and 6S of FIG. 2 are divided in FIG. 3 into hex bus segments 6NR, 6VR, and 6SR, which exit the INTERCONNECT region on the east edge to form 36-line vertical routing track 6RN/6RS of FIG. 3, and hex bus segments 6NL, 6VL, and 6SL, which exit the INTERCONNECT region on the west edge to form 36-line vertical routing track 6LN/6LS of FIG. 3.
Output Multiplexer OMUX
FIGS. 5, 6 and 7 show the output multiplexer (OMUX), configurable logic element (CLE) and input multiplexer (IMUX), respectively, of the embodiment shown in FIG. 3. All input and output lines are physically located in the same relative positions in FIGS. 3, 5, 6 and 7, thus input and output lines may readily be traced between the figures. The PIP patterns shown in FIGS. 5 and 7 form only one of many possible patterns. The implementation of these three blocks, and the connections between the blocks, may differ widely in different embodiments of the invention, provided that the three blocks are compatible with each other. For example, the number of connections between the blocks may be increased or decreased. Further, two or more of these blocks may be combined, or one or both of the input or output multiplexers may be eliminated. Although these variations are not further described herein, such modifications are encompassed by the inventive concept. It will be apparent to one skilled in the art after reading this specification that the present invention may be practiced within these and other architectural variations.
FIG. 5 shows details of the interior of output multiplexer OMUX. As shown in FIG. 5, the input lines (the outputs of logic block CLE) are selectively programmed to drive a series of eight outputs (OUT0 to OUT7). Not all inputs can drive all outputs; a selection of how many PIPs to provide and where they should be located is typically made based on the trade-off constraints of performance, silicon area, and routing flexibility.
Configurable Logic Element CLE
FIG. 6 shows the input and output signals for the configurable logic element (CLE) of the embodiment shown in FIG. 3. Many configurable logic elements can be used with the interconnect structure of the invention. For example, pages 4-11 through 4-23 of the Xilinx 1996 Data Book entitled "The Programmable Logic Data Book", available from Xilinx, Inc., 2100 Logic Drive, San Jose, Calif. 95124, also incorporated herein by reference, describe a configurable logic element used in the XC4000 Series FPGA architecture which is compatible with the invention. Pages 4-294, 4-295, and 13--13 through 13-15 of the same document, also incorporated herein by reference, describe another compatible configurable logic element, used in the XC3000 Series FPGA architecture. Pages 4-188 through 4-190 of the same document, also incorporated herein by reference, describe yet another compatible configurable logic element, used in the XC5200 Family FPGA architecture.
One CLE that can be used with the embodiment of FIG. 3 is shown in FIGS. 6A and 6B. In this embodiment, the CLE is implemented in two similar portions called "slices". To view the entire CLE, FIGS. 6A and 6B, each showing one slice, must be viewed together as shown in the key at the top of FIG. 6A. Programmable (configurable) bits controlling the function of the CLE are shown as small boxes containing an "x".
The details of one function generator compatible with the interconnect structure of the invention are shown and described in commonly assigned, co-pending U.S. application Ser. No. 08/786,818 entitled "Configurable Logic Block with AND Gate for Efficient Multiplication in FPGAs" by Chapman et al, which is referenced above and incorporated herein by reference.
One CLE compatible with the interconnect structure of the invention is the CLE of FIGS. 6A and 6B, which includes four function generators: F and G in FIG. 6B, and H and J in FIG. 6A. Function generator F has four inputs F1, F2, F3, F4; function generator G has four inputs G1, G2, G3, G4; function generator H has four inputs H1, H2, H3, H4; and function generator J has four inputs J1, J2, J3, J4. Each of function generators F, G, H, J also has a data-in input DI and an output 0. In addition, each function generator F, G has a write strobe WS input WSF, WSG, respectively, generated in write strobe generator WB from clock input signal CK0 optionally inverted by multiplexer 69B, set/reset input signal SR0 optionally inverted by multiplexer 60B, and input signal BF optionally inverted by multiplexer VF. Each function generator H, J has a write strobe WS input WSH, WSJ, respectively, generated in write strobe generator WA from clock input signal CK1 optionally inverted by multiplexer 69A, set/reset input signal SR1 optionally inverted by multiplexer 60B, and input signal BH optionally inverted by multiplexer VH.
Function generators F, G, H, J of FIGS. 6A and 6B preferably include novel features described by Bauer in commonly assigned, co-pending U.S. patent application Ser. No. 08/754,421 entitled "Lookup Tables Which Double as Shift Registers", which is referenced above and incorporated herein by reference. Briefly, these function generators have the ability to operate as shift registers as well as small RAMs and lookup tables. Write strobe signal WS controls the shifting function and the RAM write cycle. (In one embodiment, write strobe signal WS is implemented as two separate control signals, as described by Bauer.) Data-in port DI carries a data signal (in this embodiment derived from input signals BF, BH, BG, BJ) for writing to an addressed memory cell. When function generators F, G, H, J are configured as shift registers as described by Bauer, the shift register data input signal is taken from BF, BG, BH, BJ, respectively. Write strobe generators WB, WA generate write strobe signals WSF and WSG, WSH and WSJ, respectively. Signals WSF, WSG, WSH, WSJ strobe data into their respective function generators during a write cycle when the function generators are configured as RAM, and cause a shift when the function generators are configured as shift registers. The use of function generators as RAM is described in pages 4-11 through 4-23 of the Xilinx 1996 Data Book entitled "The Programmable Logic Data Book", referenced above and incorporated herein by reference.
The function generator of this embodiment can therefore be configured as a look-up table, a shift register, a 16×1 RAM, half of a 16×1 dual-ported RAM (when the two function generators in a slice are paired together), half of a 16×2 RAM, or half of a 32×1 RAM (when the two function generators in a slice are paired together). Not all combinations of function generator configurations are supported in a single slice. The supported combinations are detailed below.
In this embodiment, write strobe signals WSF and WSG are controlled by write strobe generator WB. A High (logic 1) pulse on function generator input WS causes a write to occur to the function generator look-up table, to either the first memory cell of the look-up table (if configured as a shift register) or to an addressed cell (if configured as a RAM). (See commonly assigned, co-pending U.S. patent application Ser. No. 08/754,421 entitled "Lookup Tables Which Double as Shift Registers", which is referenced above and incorporated herein by reference.)
Write strobe generator WB functions as follows in the supported combinations of function generator configurations: a) when function generators F and G are both configured as look-up tables, write strobe signals WSF and WSG are held Low (logic 0); b) when function generator G is configured as a 16×1 RAM or shift register and function generator F is configured as a look-up table, write strobe signal WSF remains Low while write strobe signal WSG pulses High when SR0 is active (Low or High, depending on whether multiplexer 60B is inverting or not) and CK0 makes the proper transition (falling or rising, depending on whether multiplexer 69B is inverting CK0 or not); c) when function generators F and G are configured as a dual-ported 16×1 RAM, a 16×2 RAM, or a pair of shift registers, write strobe signals WSF and WSG pulse High together when SR0 is active and CK0 makes the proper transition; d) when function generators F and G are configured as a single 32×1 RAM, one but not both of write strobe signals WSF and WSG pulses High, when SR0 is active and CK0 makes the proper transition. The generated High pulse occurs on write strobe signal WSF if multiplexer VF is non-inverting and input signal BF is High or if multiplexer VF is inverting and input signal BF is low, otherwise the High pulse occurs on write strobe signal WSG. As can be seen from the above description, SR0 acts as a write enable and CK0 acts as a clock to a synchronous RAM or shift register, while BF serves as a fifth address bit when the two function generators in a slice are configured as a 32-bit RAM.
Write strobe signals WSH and WSJ are generated by write strobe generator WA and controlled by input signals SR1, CK1, and BH, in a corresponding fashion.
AND gates 61F, 61G, 61H, 61J and multiplexers 81F, 81G, 81H, 81J, CF, CG, CH, CJ implement multiplier and carry logic functions as described in commonly assigned, co-pending U.S. patent application Ser. No. 08/786,818 entitled "Configurable Logic Block with AND Gate for Efficient Multiplication in FPGAs" by Chapman et al, which is referenced above and incorporated herein by reference. In FIGS. 6A and 6B, each of multiplexers 81F, 81G, 81H, 81J has separate programmable memory cells that determine the multiplexer output. In another embodiment, the two multiplexers in each slice (81F and 81G, 81H and 81J) share memory cells and therefore have the same programmed functionality.
In the embodiment of FIGS. 6A and 6B, each slice has a separate carry chain. One carry chain is from input signal CIN0 to output signal COUT0 and incorporates the outputs of function generators F and G. The other carry chain is from input signal CIN1 to output signal COUT1 and incorporates the outputs of function generators H and J. In one embodiment, the two carry chains are implemented as described in U.S. Pat. No. 5,629,886, entitled "Method and Structure for Providing Fast Propagation of a Carry Signal in a Field Programmable Gate Array" by Bernard J. New, issued May 13, 1997, which is referenced above and incorporated herein by reference.
Each slice further includes five-input-function multiplexer F5A, F5B and six-input-function multiplexer F6A, F6B. In FIG. 6A, multiplexer F6A receives an input signal on west-going line F5W from the output of multiplexer F5B in FIG. 6B. In FIG. 6B, six-input-function multiplexer F6B receives an input signal on east-going line F5E from the output of multiplexer F5A in FIG. 6A. Multiplexer F6A receives a second input signal from multiplexer F5A, and multiplexer F6B receives a second input signal from multiplexer F5B. Multiplexer F5A receives inputs from outputs 0 of function generators H and J. Multiplexer F5B receives inputs from outputs 0 of function generators F and G.
The CLE of this embodiment receives four extra control signals on lines BF, BH, BG, and BJ. These lines can be programmably inverted using multiplexers VF, VH, VG, and VJ, respectively, and control several useful functions. The five-input function multiplexers F5A, F5B are controlled by lines BH, BF, respectively. The six-input function multiplexers F6A, F6B are controlled by lines BJ, BG, respectively. A user can form a four-input multiplexer using multiplexer F5A and the outputs of function generators H and J, with function generators H and J each configured as a two-input multiplexer. Similarly, a four-input multiplexer can be formed using multiplexer F5B and the outputs of function generators F and G. A user can form an eight-input multiplexer using either multiplexer F6A or multiplexer F6B receiving inputs from both multiplexers F5A and F5B, each of which is receiving outputs from its respective function generators. Further, with multiplexer F5A, the memory cells in function generators H and J can operate as a 32-bit RAM. With multiplexer F5B, the memory cells in function generators F and G can operate as a 32-bit RAM. Lines BF, BH, BG, BJ serve as multiplexer control lines or RAM address lines, in addition to other functions as later described.
Registers RX, RY, RZ, RV generate outputs XQ, YQ, ZQ, VQ, respectively. Clock inputs to registers RX, RY, RZ, RV are designated by open triangles with apexes pointing into these registers. Clock enable inputs CE can be disabled using AND- gates 62A, 62B. (AND- gates 62A, 62B are controlled by a programmable memory cell that provides one of the inputs to each AND-gate.) Registers RX, RY, RZ, RV can be set or reset either synchronously or asynchronously through set port S or reset port R. (The choice of synchronous or asynchronous is made by a programmable memory cell shared between the two registers in each slice.) Set/reset input signals SR0, SR1 are controlled by multiplexers 60A, 60B and AND- gates 67A, 67B. Inputs SR0, SR1 (if enabled by AND- gates 67A, 67B) can set or reset the registers. Set/reset control units R81B, R82B, R81A, R82A are programmably controlled to either set or reset registers RX, RY, RZ, RV, respectively. Registers RX, RY, RZ, RV can also be configured as latches, the choice being made by a programmable memory cell shared between the two registers in each slice.
XOR gates SF, SG, SH, SJ generate the sum portion of an addition or multiplication, as described in commonly assigned, co-pending U.S. patent application Ser. No. 08/754,421 entitled "Lookup Tables Which Double as Shift Registers", which is referenced above and incorporated herein by reference.
Multiplexers MF, MG, MH, MJ select between signals to place onto output lines X, Y, Z, V, respectively. Multiplexers MF and MH select between the related function generator output signal, sum output signal, and five-input function multiplexer output signal. Multiplexers MG and MJ select between the related function generator output signal, sum output signal, and six-input function multiplexer output signal. The function generator F, G, H, J output signal is selected when a logical function of up to four inputs is chosen. The five-input function multiplexer F5A, F5B output signal is selected when one of a limited set of nine-input functions is chosen, including any logical function of five inputs, a 4-to-1 multiplexer, and any other function that can be implemented as two four-input functions feeding a 2-to-1 multiplexer. The six-input function multiplexer F6A, F6B output signal is selected when one of a limited set of 18-input functions is chosen, including any logical function of six inputs, an 8-to-1 multiplexer, and any other function that can be implemented as the output of two five-input function multiplexers F5A, F5B feeding a 2-to-1 multiplexer. The sum output signal of XOR gates SF, SG, SH, SJ is selected when an appropriate arithmetic operation such as addition, subtraction or multiplication is implemented.
Multiplexers OF, OG, OH, OJ allow the carry chain to bypass the related function generator. Multiplexers OF, OG, OH, OJ select whether the respective carry multiplexer CF, CG, CH, CJ is controlled by the function generator output signal 0 or whether the carry chain bypasses the function generator by propagating the carry-in signal to the next stage along the carry chain. Multiplexers CA, CB allow additional access onto their respective carry chains by selecting either a carry-in signal CIN0, CIN1 (buffered in this embodiment by inverters I121B and I122B, I121A and I122A, respectively) or an input signal BF, BH as the starting point for the carry chain in the CLE.
Multiplexers DF, DH select a signal to be loaded into related registers RX, RZ either from the outputs of multiplexers MF, MH, or from input signals BF, BH, respectively. Multiplexers DG, DJ select a signal to be loaded into related registers RY, RV either from the outputs of multiplexers MG, MJ, or from input signal lines BG, BJ, respectively.
Multiplexers BB, BA are bypass multiplexers that can apply carry-out signals COUT0, COUT1 to output lines YB, VB, or can forward input signals BG, BJ to output lines YB, VB to route a signal generated on one side of the CLE to a destination on the other side of the CLE.
AND-gates BRB, BRA allow input signals BG, BJ to serve as set/reset signals to registers RX, RY, RZ, RV, or alternatively allows input signals BG, BJ to be decoupled from registers RX, RY, RZ, RV so that input signals BG, BJ can be used for other purposes, such as controlling multiplexers F6B, F6A or providing a DI signal to function generators G, J, without setting or resetting the registers. A logic 0 in the memory cells controlling AND-gates BRB, BRA decouples lines BG, BJ from set/reset control units R81B, R82B, R81A, R82A; while a logic 1 in the associated memory cell enables AND-gates BRB, BRA to use the signals on BG, BJ to set or reset registers RX, RY, RZ, RV as determined by set/reset control units R81B, R82B, R81A, R82A.
Input Multiplexer IMUX
FIG. 7 shows details of the interior of input multiplexer IMUX of the embodiment shown in FIG. 3. As shown in FIG. 7, the input lines in this embodiment (G, 430, DIW, 428, DIE, 429) are selectively programmed to drive a series of 34 outputs (431, 432, 436, LV0, LV6, LH0, LH6). 26 of these outputs drive CLE inputs 436, two drive the data inputs 431 and two drive the enable inputs 432 of tristate buffers 445, two drive LV0 and LV6 (two lines of vertical long line bus LVS), and two drive LH0 and LH6 (two lines of horizontal long line bus LHE). Not all inputs can drive all outputs; a selection of how many PIPs to provide and where they should be located is typically made based on the trade-off constraints of performance, silicon area, and routing flexibility. In one embodiment, any IMUX output not connected to any IMUX input line is connected through a pullup to the positive voltage supply.
Routing Examples
FIGS. 8 and 9 show examples of signal paths implemented in an array of tiles according to the embodiment shown in FIG. 3. The labeling convention used in FIGS. 8 and 9 is that tiles are identified by a row and column number based on the portion of the array shown in the figure. Within a tile the line labels correspond to the bus labels indicated in FIG. 2. However, in FIGS. 8 and 9, the label indicates a single line rather than the entire bus. Only lines used in the examples are shown and labeled. With this labeling convention, some labels are used more than once. But no ambiguity exists, because all tiles are identical and each tile has a different tile number. The tile numbers distinguish between labels used more than once in the same drawing.
FIG. 8 shows a routing example of a signal path using hex and single-length lines. A signal is sourced by source logic block L0 of tile 8-2, and programmably connected within the tile through line OUT to hex segment 6N. Hex segment 6N turns north and becomes vertical hex segment 47. This signal must fan out to logic blocks at three destinations in tiles 5-3, 4-3, and 1-2. The signal passes through tiles 7-2 through 3-2 on segments 46 through 42. At tile 5-2, the signal is on segment 44, where it fans out to segment 6V. In tile 5-2, segment 6V is programmably connected to single-length line E, which continues east into tile 5-3 as line W. In tile 5-3, line W is an input to the first destination, logic block L1, and also to switching structure 403, where it is programmably connected to line N. Line N of tile 5-3 continues north into tile 4-3 as line S, which is an input to the second destination, logic block L2. The northern end of the vertical hex line is reached in tile 2--2, where the signal is on segment 41. Segment 41 is programmably connected to single-length line N, which continues north into tile 1-2 as line S. In tile 1-2, line S is an input to the third destination, logic block L3.
Thus in FIG. 8 a signal net is formed from source logic block LO to destination logic blocks L1, L2 and L3. The connections are fast because the PIP onto the hex line is buffered, there is a low capacitance on the hex line due to the small number of PIPs on the hex line, and each path from source to destination has a small total number of PIPs inserting delay into the signal path. In the example of FIG. 8, each signal path between source and destination logic blocks requires at most three PIPs: one buffered PIP onto the hex line and one or two unbuffered PIPs onto single-length lines. (A logic block is the structure designated LB in FIG. 2.)
FIG. 9 shows a routing example of a signal path using a long line, hex lines, and single-length lines. A signal is sourced by source logic block L8 of tile 1--1, and must be routed to logic blocks L4, L5, L6, and L7. The signal from logic block L8 is programmably connected within tile 1--1 to one of the vertical long lines LV accessible from that particular tile. Long line LV extends southward for 18 tiles to tile 19-1, where long line LV is programmably connected to hex segment 6S, then continues southward to drive other hex lines (not shown) south of the portion of the array shown in FIG. 9. (The gap of 18 tiles in FIG. 9 is included to demonstrate that long line LV must carry the signal far enough that a long line is more efficient than concatenated hex lines.) From hex segment 6S in tile 19-1, the signal turns south and becomes vertical hex segment 41. The signal passes through tiles 20-1 through 24-1 on segments 42 through 46. At tile 22-1, the signal is on segment 44, where it fans out to segment 6V and continues south to tile 25-1. From segment 6V in tile 22-1, the signal makes a programmable connection to segment 6E (demonstrating the connection of two perpendicular hex lines). The signal then moves east on segment 37, traversing tiles 22-2 through 22-6 on segments 36 through 32. At tile 22-4, the signal is on segment 34, where it fans out to segment 6H and continues east to tile 22-5. In tile 22-4, the signal makes a programmable connection between segment 6H and single-length line S, which continues south into tile 23-4 as line N. In tile 23-4, line N enters switching structure 403 and connects to line E, which continues east into tile 23-5 on line W, an input to the first destination, logic block L4. From segment 32 in tile 22-6, the signal continues east into tile 22-7 on segment 31, then turns north onto segment 6W. Segment 6W is programmably connected to line N, which continues north into tile 21-7 as line S and is an input to the second destination, logic block L5.
Returning to the vertical hex line, the signal on segment 46 in tile 24-1 continues south into tile 25-1 on segment 47, which turns west on segment 6N. From segment 6N in tile 25-1, the signal makes a programmable connection to segment 6E. The signal then moves east on segment 37, traversing tiles 25-2 through 25-6 on segments 36 through 32. From segment 32 in tile 25-6, the signal continues east into tile 25-7 on segment 31, then turns north onto segment 6W. Segment 6W is programmably connected to line S, which continues south into tile 26-7 as line N and is an input to the third destination, logic block L6. One further connection remains to be made. From the south end of the vertical hex line, in tile 25-1, the signal on segment 6V makes an additional programmable connection to line E. Line E continues east into tile 25-2 on line W. In tile 25-2, line W enters switching structure 403 and connects to line S, which continues south into tile 26-2 on line N, an input to the fourth destination, logic block L7.
Thus in FIG. 9 a signal net is formed from source logic block L8 to destination logic blocks L4, L5, L6 and L7. As in the example of FIG. 8, the connections to long lines and hex lines are buffered and the RC delay of the unbuffered connection is minimized. In this example, these destinations required at most four PIPs in the interconnect path between logic blocks: one or two buffered PIPs onto hex lines, and one or two unbuffered PIPs onto single-length lines. Yet 31 tiles were traversed between source logic block L8 and destination logic block L6.
In all of the above routing examples, alternative paths are available, many of which require more PIPs than the signal paths used in these examples. If some of the interconnect lines on one path are already used by other signals, an alternative path may be used.
High Fanout Routing Examples
FIG. 10 shows a routing example of a high fanout control signal, such as a clock (CK), clock enable (CE), set/reset (SR), or tristate enable signal (432) delivered from a vertical long line to a column of tiles. A signal can be placed onto a vertical long line either from outside the tile array, or by using one of lines 437I of FIG. 2. From vertical long line bus LV, in every twelfth tile (TILE4) the high fanout control signal emerges on line 600. Line 600 drives two vertical hex lines, one of which (H1) extends north six tiles from TILE4 and one of which (H2) extends south six tiles from TILE4. From hex lines H1 and H2, lines 428 (shown in FIG. 2) permit four bits of each vertical hex bus to drive the logic block. The input multiplexer (IMUX) of FIG. 7 permits vertical hex lines, through lines 428, to drive control signals CK, CE, SR, and 432 without passing through a single-length line, although signals on other CLE inputs, such as function generator inputs, must always pass through a single-length line to reach the CLE. Hex line H1 drives the logic block in TILE4, plus the five tiles adjacent to the north. Note that in the northernmost tile reached by hex line H1, line 428 does not make contact with hex line H1. Instead, contact is made with hex line H3, which originates 12 tiles north of TILE4. Hex line H2 drives the six logic blocks adjacent to the south of TILE4. North of hex line H1, hex line H3 drives the adjacent six logic blocks. South of hex line H2, hex line H4 drives the adjacent six logic blocks. Each hex line drives a high fanout control signal in six logic blocks. The tiles accessed by hex lines H1 and H2 (labeled 610 in FIG. 10) form a column of 12 tiles that can be vertically repeated to access an entire column of an array of repeating tiles.
FIG. 10 shows that for a high fanout control signal, there is only one PIP between a signal on a vertical long line and any destination logic block in the same column. (However, in the preferred embodiment, there is an additional PIP added to the path in input multiplexer IMUX.) Therefore, there is very little delay on this path. Additionally, this distribution method has the same number of PIPs and the same number of interconnect lines (one long line, one hex line) in the path to each logic block input. Therefore, there is very low skew on this path. Although the global lines (G in FIG. 3) would typically be used for up to four clocks, the distribution method of FIG. 10, by taking advantage of the hierarchical nature of the interconnect scheme according to the invention, produces an excellent additional clock distribution network with high performance and low skew.
FIG. 11 shows a routing example of a high fanout function generator input signal (or other non-control signal) delivered from a vertical long line to a column of tiles. A signal can be placed onto a vertical long line either from outside the tile array, or by using one of lines 437I of FIG. 2. From vertical long line bus LV, in every twelfth tile (TILE5-6) the high fanout function generator input signal emerges on line 601. Line 601 drives two vertical hex lines, one of which (H5) extends north six tiles from TILE4 and one of which (H6) extends south six tiles from TILE4. From hex lines H5 and H6, line segments 6S, 6N and 6V are used in conjunction with single-length lines N, S and switching structures 403 to drive the logic blocks, similar to the interconnections in FIGS. 8 and 9. In one embodiment, signals connecting to function generator inputs inside the CLE must always pass through a single-length line to reach the CLE. Hex line H5 drives, through single-length lines, the logic blocks in tiles TILE5-7, TILE5-8, and TILE5-3. In addition, hex line H5 drives, through single-length lines, the logic blocks in the three southernmost tiles of the 12-tile column to the north of column 611 (corresponding to tiles TILE5-10, TILE5-11, and TILE5-12 of column 611). Hex line H6 drives, through single-length lines, the logic blocks in tiles TILE5-4, TILE5-5, TILE5-6, and TILE5-9. In addition, hex line H6 drives, through single-length lines, the logic blocks in the two northernmost tiles of the 12-tile column to the south of column 611 (corresponding to tiles TILE5-1 and TILE5-2 of column 611). Tiles TILE5-1 and TILE5-2 are driven, through single-length lines, by hex line H7, which originates 12 tiles north of TILE5-6. Tiles TILE5-10, TILE5-11, and TILE5-12 are driven, through single-length lines, by hex line H8, which originates 12 tiles south of TILE5-6. Each hex line drives a high fanout function generator input signal in six logic blocks. Thus, by cooperation between adjacent 12-tile columns 611, a repeating pattern is formed that enables the distribution of non-control signals to an entire column of an array of repeating tiles.
Note that other connections of hex lines and single-length lines are possible. For example, the logic block in TILE5-2 can be driven by hex line 6V through a switching structure and single-length line in TILE5-3 (not shown) and hence through an additional switching structure and single-length line in TILE5-2 to drive the logic block in TILE5-1. This or a similar arrangement can be used in the northernmost implementation of 12-tile column 611, to access the two northernmost tiles. Similar connections can be made in the southernmost implementation of 12-tile column 611 to access the three southernmost tiles.
FIG. 11 shows that for a high fanout function generator input signal, there are either two or three PIPs between a signal on a vertical long line and any destination logic block in the same column: one from the long line to a hex line, one from the hex line to a first single-length line, and sometimes one to a second single-length line through the switching structure. (However, in the preferred embodiment, there is an additional PIP added to the path in input multiplexer IMUX.) Only the last one or two PIPs are unbuffered. Therefore, there are no large unbuffered RC trees on this net, no signal path has more than two unbuffered PIPs in series, and the delay on each signal path is minimized.
FIG. 12 shows an example of how to combine the interconnect of FIGS. 10 and/or 11 to deliver a high-fanout signal to an array of tiles. A signal can be placed onto a horizontal long line either from outside the tile array, or by using one of lines 438 of FIG. 2. The signal enters the portion of the array shown in FIG. 12 on a line in horizontal long line bus LH. Long line bus LH traverses a row of 12 tiles 612, in which it makes contact with 12 logic blocks through hex lines and single-length lines. The horizontal single-length lines connected to some horizontal hex lines can drive vertical long lines through PIPs in the IMUX. From the logic blocks in the 12 tiles of FIG. 12, lines 437I extend from the input multiplexer (see FIG. 2) in the logic block to drive the vertical long lines LV. Using lines 437I in each of the 12 tiles 612, the signal on horizontal long line bus LH is transferred to 12 vertical long lines LV. Columns of 12 vertical tiles (613) can be implemented by either 12 tiles 610 of FIG. 10 (for control signals) or 12 tiles 611 of FIG. 11 (for function generator or other non-control inputs). Multiple 12-tile columns 613 can be placed to increase the number of accessible tiles. In this manner, high fanout signals can be distributed from an originating tile to any tile in the array.
As an alternative to the distribution scheme of FIG. 12, special tiles can be created that permit, for example, hex lines to drive long lines, thus bypassing the single-length lines used in FIG. 12. Such special tiles can be advantageously placed in rows along the top and bottom edges of the tile array, or at intervals within the array.
Summary
The interconnect structure of the present invention offers uniformly fast signals throughout the FPGA, due to the reduced loading (limited number of PIPs) on each interconnect line, the lack of large RC trees on signal nets, and the relatively small number of PIPs between source and destination on each path. The nearly uniform delays on various interconnect paths greatly mitigate a common situation in FPGAs where a few signal paths, much slower than the average signal path, significantly reduce the overall performance of the circuit. Intermediate-length lines enable the efficient implementation of localized circuits and ease the burden on routing software. The limited number of PIPs, and the judicious arrangement thereof, imparts a high degree of routing flexibility per silicon area consumed. Further routing flexibility is provided by the ability of logic block inputs to contact interconnect lines located on any edge of the logic block. Thus it will be understood that the present invention provides a new and useful interconnect structure for FPGAs.
Those having skill in the relevant arts of the invention will now perceive various modifications and additions which may be made as a result of the disclosure herein of the preferred embodiment. Accordingly, all such modifications and additions are deemed to be within the scope of the invention, which is to be limited only by the appended claims and their equivalents.

Claims (18)

What is claimed is:
1. An interconnect structure for distributing high-fanout signals to logic blocks in an FPGA, comprising:
an array of logic blocks arranged in rows and columns, said logic blocks each having inputs and at least one output;
a plurality of intermediate-length lines extending a length greater than two of said logic blocks;
a plurality of long lines extending a length greater than a length of said intermediate-length lines, a first plurality of said long lines extending in a first direction and a second plurality of said long lines extending in a direction perpendicular to said first direction; and
means for programmably interconnecting one of said first plurality of said long lines and one of said second plurality of said long lines through one of said plurality of intermediate-length lines, said interconnecting means comprising a first PIP connecting said one of said first plurality of said long lines to said one of said plurality of intermediate-length lines.
2. The interconnect structure of claim 1, wherein said intermediate-length lines are hex lines.
3. The interconnect structure of claim 1, wherein said intermediate-length lines extend in said first direction.
4. The interconnect structure of claim 1, further comprising:
a plurality of single-length lines, each such single-length line being of sufficient length to connect to said inputs and outputs of two such adjacent logic blocks; and wherein:
said means for programmably interconnecting one of said first plurality of said long lines and one of said second plurality of said long lines through one of said plurality of intermediate-length lines comprises at least one of said plurality of single-length lines.
5. The interconnect structure of claim 4, wherein said intermediate-length lines are hex lines.
6. The interconnect structure of claim 4, wherein said intermediate-length lines and said single-length lines extend in said first direction.
7. The interconnect structure of claim 4, wherein said interconnecting means further comprises:
a second PIP connecting said one of said plurality of intermediate-length lines to said one of said plurality of single-length lines; and
a third PIP connecting said one of said plurality of single-length lines to said one of said second plurality of long lines.
8. The interconnect structure of claim 7, wherein said third PIP is located within one of said logic blocks.
9. An interconnect structure for distributing high-fanout signals in an FPGA, comprising:
a column of tiles, each such tile comprising a logic block having at least one input;
a plurality of intermediate-length lines extending through at least a portion of said column of tiles, each such intermediate-length line being at least three such tiles long, each such intermediate-length line connecting a first such tile to at least second and third such tiles at least two and three tiles away;
at least one long line extending through at least a portion of said column of tiles, said long line having a length greater than a length of said intermediate-length lines; and
means for programmably interconnecting said one long line to at least one such input in each said logic block, said interconnecting means comprising:
at least one such intermediate-length line; and
a PIP connecting said long line to said intermediate-length line.
10. The interconnect structure of claim 9, wherein said means for interconnecting said long line to at least one such input in each said logic block comprises at least two programmable interconnection points (PIPs), such that:
a first PIP programmably connects said long line to said intermediate-length line;
a second PIP programmably connects said intermediate-length line to said each said logic block input; and
the number of PIPs programmably connecting said long line to each such logic block input is the same.
11. The interconnect structure of claim 9, further comprising:
a plurality of single-length lines, each such single-length line being of sufficient length to connect to said inputs and outputs of two such adjacent logic blocks; and wherein:
said interconnecting means further comprises one such single-length line.
12. An interconnect structure for distributing high-fanout signals in an FPGA, comprising:
a column of at least N tiles, each such tile comprising a logic block having at least one input;
a plurality of intermediate-length lines extending through at least N/2 of said tiles;
at least one long line extending through at least N of said tiles; and
means for programmably interconnecting said one long line to at least one such input in at least N such logic blocks, said interconnecting means comprising:
at least one such intermediate-length line; and
a PIP connecting said long line to said intermediate-length line.
13. The interconnect structure of claim 12, wherein N is 12.
14. The interconnect structure of claim 12, wherein:
said at least one long line is connectable to one such intermediate-length line every N/2 tiles; and
said at least one long line is unconnectable to any of said plurality of intermediate-length lines in all other of said tiles.
15. An interconnect structure for distributing high-fanout signals in an FPGA, comprising:
a column of tiles, each such tile comprising a logic block having at least one input;
a plurality of intermediate-length lines extending through at least a portion of said column of tiles, each such intermediate-length line being at least three such tiles long, each such intermediate-length line connecting a first such tile to at least second and third such tiles at least two and three tiles away;
at least one long line extending through at least a portion of said column of tiles, said long line having a length greater than a length of said intermediate-length lines; and
means for programmably interconnecting said one long line to at least one such input in each said logic block, said interconnecting means comprising:
at least one such intermediate-length line; and
a PIP connecting said long line to said intermediate-length line.
16. An interconnect structure for distributing high-fanout signals in an FPGA, comprising:
a column of at least N tiles, each such tile comprising a logic block having at least one input, said column therefore comprising a column of N logic blocks;
a plurality of intermediate-length lines extending through at least N/2 of said tiles;
at least one long line extending through at least said N tiles; and
means for programmably interconnecting said one long line to at least one such input in each of said N logic blocks, said interconnecting means comprising:
at least one such intermediate-length line; and
a PIP connecting said long line to said intermediate-length line.
17. The interconnect structure of claim 16, wherein N is 12.
18. The interconnect structure of claim 16, wherein:
said at least one long line is connectable to one such intermediate-length line every N/2 tiles; and
said at least one long line is unconnectable to any of said plurality of intermediate-length lines in all other of said tiles.
US09/020,369 1997-02-26 1998-02-09 FPGA interconnect structure with high-speed high fanout capability Expired - Lifetime US5907248A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/020,369 US5907248A (en) 1997-02-26 1998-02-09 FPGA interconnect structure with high-speed high fanout capability

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/806,997 US5914616A (en) 1997-02-26 1997-02-26 FPGA repeatable interconnect structure with hierarchical interconnect lines
US09/020,369 US5907248A (en) 1997-02-26 1998-02-09 FPGA interconnect structure with high-speed high fanout capability

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US08/806,997 Division US5914616A (en) 1997-02-26 1997-02-26 FPGA repeatable interconnect structure with hierarchical interconnect lines

Publications (1)

Publication Number Publication Date
US5907248A true US5907248A (en) 1999-05-25

Family

ID=25195337

Family Applications (2)

Application Number Title Priority Date Filing Date
US08/806,997 Expired - Lifetime US5914616A (en) 1997-02-26 1997-02-26 FPGA repeatable interconnect structure with hierarchical interconnect lines
US09/020,369 Expired - Lifetime US5907248A (en) 1997-02-26 1998-02-09 FPGA interconnect structure with high-speed high fanout capability

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US08/806,997 Expired - Lifetime US5914616A (en) 1997-02-26 1997-02-26 FPGA repeatable interconnect structure with hierarchical interconnect lines

Country Status (1)

Country Link
US (2) US5914616A (en)

Cited By (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6396303B1 (en) * 1997-02-26 2002-05-28 Xilinx, Inc. Expandable interconnect structure for FPGAS
US6448808B2 (en) 1997-02-26 2002-09-10 Xilinx, Inc. Interconnect structure for a programmable logic device
US6590419B1 (en) 1999-10-12 2003-07-08 Altera Toronto Co. Heterogeneous interconnection architecture for programmable logic devices
US6605962B2 (en) 2001-05-06 2003-08-12 Altera Corporation PLD architecture for flexible placement of IP function blocks
US6630842B1 (en) 2001-05-06 2003-10-07 Altera Corporation Routing architecture for a programmable logic device
US6631510B1 (en) 1999-10-29 2003-10-07 Altera Toronto Co. Automatic generation of programmable logic device architectures
US6653862B2 (en) 2001-05-06 2003-11-25 Altera Corporation Use of dangling partial lines for interfacing in a PLD
US20050023656A1 (en) * 2002-08-08 2005-02-03 Leedy Glenn J. Vertical system integration
US6895570B2 (en) 2001-05-06 2005-05-17 Altera Corporation System and method for optimizing routing lines in a programmable logic device
US20050242867A1 (en) * 2004-04-30 2005-11-03 Xilinx, Inc. Differential clocking scheme in an integrated circuit having digital multiplexers
US20050242865A1 (en) * 2004-04-30 2005-11-03 Xilinx, Inc. Differential clock tree in an integrated circuit
US20050242866A1 (en) * 2004-04-30 2005-11-03 Xilinx, Inc. Programmable logic device having an embedded differential clock tree
US6970014B1 (en) 2001-05-06 2005-11-29 Altera Corporation Routing architecture for a programmable logic device
US20050275427A1 (en) * 2004-06-10 2005-12-15 Man Wang Field programmable gate array logic unit and its cluster
US20050275428A1 (en) * 2004-06-10 2005-12-15 Guy Schlacter Field programmable gate array logic unit and its cluster
US7071756B1 (en) 2003-06-02 2006-07-04 Xilinx, Inc. Clock multiplexing system
US7098687B1 (en) * 2003-08-18 2006-08-29 Altera Corporation Flexible routing resources in a programmable logic device
US20070030029A1 (en) * 1999-03-04 2007-02-08 Altera Corporation, A Corporation Of Delaware Interconnection and input/output resources for programmable logic integrated circuit devices
US7253658B1 (en) 2005-06-14 2007-08-07 Xilinx, Inc. Integrated circuit providing direct access to multi-directional interconnect lines in a general interconnect structure
US7256612B1 (en) * 2005-06-14 2007-08-14 Xilinx, Inc. Programmable logic block providing carry chain with programmable initialization values
US7265576B1 (en) 2005-06-14 2007-09-04 Xilinx, Inc. Programmable lookup table with dual input and output terminals in RAM mode
US7268587B1 (en) 2005-06-14 2007-09-11 Xilinx, Inc. Programmable logic block with carry chains providing lookahead functions of different lengths
US7274214B1 (en) 2005-06-14 2007-09-25 Xilinx, Inc. Efficient tile layout for a programmable logic device
US7276934B1 (en) 2005-06-14 2007-10-02 Xilinx, Inc. Integrated circuit with programmable routing structure including diagonal interconnect lines
US7375552B1 (en) 2005-06-14 2008-05-20 Xilinx, Inc. Programmable logic block with dedicated and selectable lookup table outputs coupled to general interconnect structure
KR100893970B1 (en) 2000-12-29 2009-04-20 퀵로직 코퍼레이션 Architecture for field programmable gate array
US20090256590A1 (en) * 2008-04-10 2009-10-15 Silicon Storage Technology, Inc. Storage element for controlling a logic circuit, and a logic device having an array of such storage elements
US7804719B1 (en) 2005-06-14 2010-09-28 Xilinx, Inc. Programmable logic block having reduced output delay during RAM write processes when programmed to function in RAM mode
US8791581B2 (en) 1997-04-04 2014-07-29 Glenn J Leedy Three dimensional structure memory
US8890567B1 (en) 2010-09-30 2014-11-18 Altera Corporation High speed testing of integrated circuits including resistive elements
US9166598B1 (en) 2012-05-08 2015-10-20 Altera Corporation Routing and programming for resistive switch arrays
US10108769B1 (en) 2016-10-17 2018-10-23 Xilinx, Inc. Delay modeling for high fan-out nets within circuit designs
US11314911B1 (en) 2021-05-27 2022-04-26 Xilinx, Inc. High-level synthesis implementation of data structures in hardware

Families Citing this family (230)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6154053A (en) * 1993-09-02 2000-11-28 Xilinx, Inc. Look-ahead carry structure with homogeneous CLB structure and pitch larger than CLB pitch
US6201410B1 (en) 1997-02-26 2001-03-13 Xilinx, Inc. Wide logic gate implemented in an FPGA configurable logic element
US6097212A (en) 1997-10-09 2000-08-01 Lattice Semiconductor Corporation Variable grain architecture for FPGA integrated circuits
US6064225A (en) * 1998-03-20 2000-05-16 Lucent Technologies Inc. Global signal distribution with reduced routing tracks in an FPGA
US6215326B1 (en) 1998-11-18 2001-04-10 Altera Corporation Programmable logic device architecture with super-regions having logic regions and a memory region
US6118300A (en) 1998-11-24 2000-09-12 Xilinx, Inc. Method for implementing large multiplexers with FPGA lookup tables
US6505337B1 (en) 1998-11-24 2003-01-07 Xilinx, Inc. Method for implementing large multiplexers with FPGA lookup tables
US6507211B1 (en) 1999-07-29 2003-01-14 Xilinx, Inc. Programmable logic device capable of preserving user data during partial or complete reconfiguration
US6191613B1 (en) 1999-07-29 2001-02-20 Xilinx, Inc. Programmable logic device with delay-locked loop
US6278290B1 (en) * 1999-08-13 2001-08-21 Xilinx, Inc. Method and circuit for operating programmable logic devices during power-up and stand-by modes
US6539508B1 (en) 2000-03-15 2003-03-25 Xilinx, Inc. Methods and circuits for testing programmable logic
US6278289B1 (en) 2000-05-01 2001-08-21 Xilinx, Inc. Content-addressable memory implemented using programmable logic
US6445209B1 (en) 2000-05-05 2002-09-03 Xilinx, Inc. FPGA lookup table with NOR gate write decoder and high speed read decoder
US6529040B1 (en) 2000-05-05 2003-03-04 Xilinx, Inc. FPGA lookup table with speed read decoder
US6373279B1 (en) 2000-05-05 2002-04-16 Xilinx, Inc. FPGA lookup table with dual ended writes for ram and shift register modes
US6362650B1 (en) 2000-05-18 2002-03-26 Xilinx, Inc. Method and apparatus for incorporating a multiplier into an FPGA
US6493862B1 (en) 2000-07-25 2002-12-10 Xilinx Inc. Method for compressing an FPGA bitsream
US6526557B1 (en) 2000-07-25 2003-02-25 Xilinx, Inc. Architecture and method for partially reconfiguring an FPGA
US6437713B1 (en) * 2000-10-06 2002-08-20 Xilinx, Inc. Programmable logic device having amplitude and phase modulation communication
US6366117B1 (en) 2000-11-28 2002-04-02 Xilinx, Inc. Nonvolatile/battery-backed key in PLD
US6931543B1 (en) 2000-11-28 2005-08-16 Xilinx, Inc. Programmable logic device with decryption algorithm and decryption key
US7117372B1 (en) 2000-11-28 2006-10-03 Xilinx, Inc. Programmable logic device with decryption and structure for preventing design relocation
US6981153B1 (en) 2000-11-28 2005-12-27 Xilinx, Inc. Programmable logic device with method of preventing readback
US6441641B1 (en) 2000-11-28 2002-08-27 Xilinx, Inc. Programmable logic device with partial battery backup
US7058177B1 (en) 2000-11-28 2006-06-06 Xilinx, Inc. Partially encrypted bitstream method
US7117373B1 (en) 2000-11-28 2006-10-03 Xilinx, Inc. Bitstream for configuring a PLD with encrypted design data
US6957340B1 (en) 2000-11-28 2005-10-18 Xilinx, Inc. Encryption key for multi-key encryption in programmable logic device
US6965675B1 (en) 2000-11-28 2005-11-15 Xilinx, Inc. Structure and method for loading encryption keys through a test access port
US6522167B1 (en) 2001-01-09 2003-02-18 Xilinx, Inc. User configurable on-chip memory system
US6662285B1 (en) 2001-01-09 2003-12-09 Xilinx, Inc. User configurable memory system having local and global memory blocks
US6369608B1 (en) 2001-01-18 2002-04-09 Xillinx, Inc. Conditioning semiconductor-on-insulator transistors for programmable logic devices
US6384627B1 (en) 2001-02-16 2002-05-07 Xilinx, Inc. Logic block used as dynamically configurable logic function
US6914449B2 (en) * 2001-04-02 2005-07-05 Xilinx, Inc. Structure for reducing leakage current in submicron IC devices
US6874107B2 (en) * 2001-07-24 2005-03-29 Xilinx, Inc. Integrated testing of serializer/deserializer in FPGA
US20030020082A1 (en) * 2001-07-25 2003-01-30 Motorola, Inc. Structure and method for fabricating semiconductor structures and devices for optical switching
US6732309B1 (en) 2001-08-02 2004-05-04 Xilinx, Inc. Method for testing faults in a programmable logic device
US6664808B2 (en) 2001-08-07 2003-12-16 Xilinx, Inc. Method of using partially defective programmable logic devices
US7127697B1 (en) 2001-08-07 2006-10-24 Xilinx, Inc. Methods of utilizing programmable logic devices having localized defects in application-specific products
DE60202152T2 (en) * 2001-08-07 2005-12-01 Xilinx, Inc., San Jose Application-specific test methods for programmable logic devices
US6583645B1 (en) 2001-08-27 2003-06-24 Xilinx, Inc. Field programmable optical arrays
US6501297B1 (en) 2001-09-05 2002-12-31 Xilinx, Inc. Resource cost assignment in programmable logic device routing
US6798239B2 (en) 2001-09-28 2004-09-28 Xilinx, Inc. Programmable gate array having interconnecting logic to support embedded fixed logic circuitry
US6781407B2 (en) 2002-01-09 2004-08-24 Xilinx, Inc. FPGA and embedded circuitry initialization and processing
US7420392B2 (en) * 2001-09-28 2008-09-02 Xilinx, Inc. Programmable gate array and embedded circuitry initialization and processing
US6983405B1 (en) 2001-11-16 2006-01-03 Xilinx, Inc., Method and apparatus for testing circuitry embedded within a field programmable gate array
US6996758B1 (en) 2001-11-16 2006-02-07 Xilinx, Inc. Apparatus for testing an interconnecting logic fabric
US6590826B1 (en) 2002-01-22 2003-07-08 Xilinx, Inc. Self-addressing FIFO
US6820248B1 (en) 2002-02-14 2004-11-16 Xilinx, Inc. Method and apparatus for routing interconnects to devices with dissimilar pitches
US6976160B1 (en) 2002-02-22 2005-12-13 Xilinx, Inc. Method and system for controlling default values of flip-flops in PGA/ASIC-based designs
US6754882B1 (en) * 2002-02-22 2004-06-22 Xilinx, Inc. Method and system for creating a customized support package for an FPGA-based system-on-chip (SoC)
US6934922B1 (en) 2002-02-27 2005-08-23 Xilinx, Inc. Timing performance analysis
US6839874B1 (en) 2002-02-28 2005-01-04 Xilinx, Inc. Method and apparatus for testing an embedded device
US7111217B1 (en) 2002-02-28 2006-09-19 Xilinx, Inc. Method and system for flexibly nesting JTAG TAP controllers for FPGA-based system-on-chip (SoC)
US7187709B1 (en) 2002-03-01 2007-03-06 Xilinx, Inc. High speed configurable transceiver architecture
US7088767B1 (en) 2002-03-01 2006-08-08 Xilinx, Inc. Method and apparatus for operating a transceiver in different data rates
US7111220B1 (en) 2002-03-01 2006-09-19 Xilinx, Inc. Network physical layer with embedded multi-standard CRC generator
US6961919B1 (en) 2002-03-04 2005-11-01 Xilinx, Inc. Method of designing integrated circuit having both configurable and fixed logic circuitry
US6996713B1 (en) 2002-03-29 2006-02-07 Xilinx, Inc. Method and apparatus for protecting proprietary decryption keys for programmable logic devices
US7162644B1 (en) 2002-03-29 2007-01-09 Xilinx, Inc. Methods and circuits for protecting proprietary configuration data for programmable logic devices
US6973405B1 (en) 2002-05-22 2005-12-06 Xilinx, Inc. Programmable interactive verification agent
US6772405B1 (en) 2002-06-13 2004-08-03 Xilinx, Inc. Insertable block tile for interconnecting to a device embedded in an integrated circuit
US7085973B1 (en) 2002-07-09 2006-08-01 Xilinx, Inc. Testing address lines of a memory controller
US7028281B1 (en) 2002-07-12 2006-04-11 Lattice Semiconductor Corporation FPGA with register-intensive architecture
US7143295B1 (en) 2002-07-18 2006-11-28 Xilinx, Inc. Methods and circuits for dedicating a programmable logic device for use with specific designs
US7092865B1 (en) 2002-09-10 2006-08-15 Xilinx, Inc. Method and apparatus for timing modeling
US6829756B1 (en) * 2002-09-23 2004-12-07 Xilinx, Inc. Programmable logic device with time-multiplexed interconnect
US6930510B2 (en) * 2003-03-03 2005-08-16 Xilinx, Inc. FPGA architecture with mixed interconnect resources optimized for fast and low-power routing and methods of utilizing the same
US6897676B1 (en) 2003-06-04 2005-05-24 Xilinx, Inc. Configuration enable bits for PLD configurable blocks
US7225422B2 (en) * 2003-06-19 2007-05-29 International Business Machines Corporation Wire trimmed programmable logic array
US7068072B2 (en) * 2003-06-30 2006-06-27 Xilinx, Inc. Integrated circuit with interface tile for coupling to a stacked-die second integrated circuit
US7132851B2 (en) * 2003-07-11 2006-11-07 Xilinx, Inc. Columnar floorplan
US7421014B2 (en) * 2003-09-11 2008-09-02 Xilinx, Inc. Channel bonding of a plurality of multi-gigabit transceivers
US6933747B1 (en) 2003-10-10 2005-08-23 Xilinx, Inc. Structures and methods of testing interconnect structures in programmable logic devices
US7124338B1 (en) 2003-10-10 2006-10-17 Xilinx, Inc. Methods of testing interconnect lines in programmable logic devices using partial reconfiguration
US7243312B1 (en) 2003-10-24 2007-07-10 Xilinx, Inc. Method and apparatus for power optimization during an integrated circuit design process
US7216277B1 (en) 2003-11-18 2007-05-08 Xilinx, Inc. Self-repairing redundancy for memory blocks in programmable logic devices
US7071732B1 (en) 2003-12-09 2006-07-04 Xilinx, Inc. Scalable complex programmable logic device with segmented interconnect resources
US7467177B2 (en) * 2003-12-29 2008-12-16 Xilinx, Inc. Mathematical circuit with dynamic rounding
US7853634B2 (en) * 2003-12-29 2010-12-14 Xilinx, Inc. Digital signal processing circuit having a SIMD circuit
US7844653B2 (en) * 2003-12-29 2010-11-30 Xilinx, Inc. Digital signal processing circuit having a pre-adder circuit
US7849119B2 (en) * 2003-12-29 2010-12-07 Xilinx, Inc. Digital signal processing circuit having a pattern detector circuit
US7865542B2 (en) * 2003-12-29 2011-01-04 Xilinx, Inc. Digital signal processing block having a wide multiplexer
US7840630B2 (en) 2003-12-29 2010-11-23 Xilinx, Inc. Arithmetic logic unit circuit
US8495122B2 (en) * 2003-12-29 2013-07-23 Xilinx, Inc. Programmable device with dynamic DSP architecture
US7860915B2 (en) * 2003-12-29 2010-12-28 Xilinx, Inc. Digital signal processing circuit having a pattern circuit for determining termination conditions
US7567997B2 (en) * 2003-12-29 2009-07-28 Xilinx, Inc. Applications of cascading DSP slices
US7472155B2 (en) * 2003-12-29 2008-12-30 Xilinx, Inc. Programmable logic device with cascading DSP slices
US7882165B2 (en) * 2003-12-29 2011-02-01 Xilinx, Inc. Digital signal processing element having an arithmetic logic unit
US7870182B2 (en) * 2003-12-29 2011-01-11 Xilinx Inc. Digital signal processing circuit having an adder circuit with carry-outs
US7480690B2 (en) * 2003-12-29 2009-01-20 Xilinx, Inc. Arithmetic circuit with multiplexed addend inputs
US7853632B2 (en) * 2003-12-29 2010-12-14 Xilinx, Inc. Architectural floorplan for a digital signal processing circuit
US7840627B2 (en) * 2003-12-29 2010-11-23 Xilinx, Inc. Digital signal processing circuit having input register blocks
US7853636B2 (en) * 2003-12-29 2010-12-14 Xilinx, Inc. Digital signal processing circuit having a pattern detector circuit for convergent rounding
US7467175B2 (en) * 2003-12-29 2008-12-16 Xilinx, Inc. Programmable logic device with pipelined DSP slices
EP1700231B1 (en) 2003-12-29 2012-10-17 Xilinx, Inc. Integrated circuit with cascading dsp slices
US7425841B2 (en) 2004-02-14 2008-09-16 Tabula Inc. Configurable circuits, IC's, and systems
US7126381B1 (en) 2004-02-14 2006-10-24 Herman Schmit VPA interconnect circuit
US7193440B1 (en) * 2004-02-14 2007-03-20 Herman Schmit Configurable circuits, IC's, and systems
US7126373B1 (en) 2004-02-14 2006-10-24 Herman Schmit Configurable logic circuits with commutative properties
US7157933B1 (en) 2004-02-14 2007-01-02 Herman Schmit Configurable circuits, IC's, and systems
US7167025B1 (en) * 2004-02-14 2007-01-23 Herman Schmit Non-sequentially configurable IC
US7109752B1 (en) 2004-02-14 2006-09-19 Herman Schmit Configurable circuits, IC's, and systems
US7622951B2 (en) * 2004-02-14 2009-11-24 Tabula, Inc. Via programmable gate array with offset direct connections
US7193432B1 (en) 2004-02-14 2007-03-20 Herman Schmit VPA logic circuits
US7284222B1 (en) * 2004-06-30 2007-10-16 Tabula, Inc. Method and apparatus for identifying connections between configurable nodes in a configurable integrated circuit
US6975139B2 (en) * 2004-03-30 2005-12-13 Advantage Logic, Inc. Scalable non-blocking switching network for programmable logic
US7768314B2 (en) * 2004-05-12 2010-08-03 National University Corporation Okayama University Integrated circuit with multidimensional switch topology
US7449915B2 (en) * 2004-06-30 2008-11-11 Tabula Inc. VPA logic circuits
US7145361B1 (en) * 2004-06-30 2006-12-05 Andre Rohe Configurable integrated circuit with different connection schemes
US7282950B1 (en) * 2004-11-08 2007-10-16 Tabula, Inc. Configurable IC's with logic resources with offset connections
US7439766B2 (en) * 2004-06-30 2008-10-21 Tabula, Inc. Configurable logic circuits with commutative properties
US7408382B2 (en) * 2004-06-30 2008-08-05 Tabula, Inc. Configurable circuits, IC's, and systems
US7193438B1 (en) * 2004-06-30 2007-03-20 Andre Rohe Configurable integrated circuit with offset connection
US7312630B2 (en) * 2004-06-30 2007-12-25 Tabula, Inc. Configurable integrated circuit with built-in turns
US7460529B2 (en) * 2004-07-29 2008-12-02 Advantage Logic, Inc. Interconnection fabric using switching networks in hierarchy
US7546441B1 (en) 2004-08-06 2009-06-09 Xilinx, Inc. Coprocessor interface controller
US7590822B1 (en) 2004-08-06 2009-09-15 Xilinx, Inc. Tracking an instruction through a processor pipeline
US7346759B1 (en) 2004-08-06 2008-03-18 Xilinx, Inc. Decoder interface
US7590823B1 (en) 2004-08-06 2009-09-15 Xilinx, Inc. Method and system for handling an instruction not supported in a coprocessor formed using configurable logic
US7200723B1 (en) 2004-08-06 2007-04-03 Xilinx, Inc. Access to a bank of registers of a device control register interface using a single address
US7243212B1 (en) 2004-08-06 2007-07-10 Xilinx, Inc. Processor-controller interface for non-lock step operation
US7366807B1 (en) 2004-08-27 2008-04-29 Xilinx, Inc. Network media access controller embedded in a programmable logic device—statistics interface
US7143218B1 (en) 2004-08-27 2006-11-28 Xilinx, Inc. Network media access controller embedded in a programmable logic device-address filter
US7353487B1 (en) 2004-09-10 2008-04-01 Xilinx, Inc. Regional signal-distribution network for an integrated circuit
US7145362B1 (en) 2004-09-10 2006-12-05 Xilinx, Inc. Clock signal-distribution network for an integrated circuit
US7116131B1 (en) 2004-09-15 2006-10-03 Xilinx, Inc. High performance programmable logic devices utilizing dynamic circuitry
US7284226B1 (en) 2004-10-01 2007-10-16 Xilinx, Inc. Methods and structures of providing modular integrated circuits
US7539241B1 (en) 2004-10-22 2009-05-26 Xilinx, Inc. Packet detector for a communication system
US7342415B2 (en) * 2004-11-08 2008-03-11 Tabula, Inc. Configurable IC with interconnect circuits that also perform storage operations
US7301368B2 (en) * 2005-03-15 2007-11-27 Tabula, Inc. Embedding memory within tile arrangement of a configurable IC
US7224181B1 (en) 2004-11-08 2007-05-29 Herman Schmit Clock distribution in a configurable IC
US7573296B2 (en) 2004-11-08 2009-08-11 Tabula Inc. Configurable IC with configurable routing resources that have asymmetric input and/or outputs
US7330050B2 (en) * 2004-11-08 2008-02-12 Tabula, Inc. Storage elements for a configurable IC and method and apparatus for accessing data stored in the storage elements
US20070244958A1 (en) * 2004-11-08 2007-10-18 Jason Redgrave Configurable IC's with carry bypass circuitry
US7317331B2 (en) 2004-11-08 2008-01-08 Tabula, Inc. Reconfigurable IC that has sections running at different reconfiguration rates
US7917559B2 (en) * 2004-11-08 2011-03-29 Tabula, Inc. Configurable IC's with configurable logic circuits that perform adder and/or subtractor operations
US7295037B2 (en) * 2004-11-08 2007-11-13 Tabula, Inc. Configurable IC with routing circuits with offset connections
US7276933B1 (en) * 2004-11-08 2007-10-02 Tabula, Inc. Reconfigurable IC that has sections running at different looperness
US7259587B1 (en) * 2004-11-08 2007-08-21 Tabula, Inc. Configurable IC's with configurable logic resources that have asymetric inputs and/or outputs
US7242216B1 (en) 2004-11-08 2007-07-10 Herman Schmit Embedding memory between tile arrangement of a configurable IC
US7743085B2 (en) 2004-11-08 2010-06-22 Tabula, Inc. Configurable IC with large carry chains
US7268586B1 (en) * 2004-11-08 2007-09-11 Tabula, Inc. Method and apparatus for accessing stored data in a reconfigurable IC
US7428721B2 (en) 2004-12-01 2008-09-23 Tabula, Inc. Operational cycle assignment in a configurable IC
US7236009B1 (en) 2004-12-01 2007-06-26 Andre Rohe Operational time extension
US7496879B2 (en) * 2004-12-01 2009-02-24 Tabula, Inc. Concurrent optimization of physical design and operational cycle assignment
US7315918B1 (en) 2005-01-14 2008-01-01 Xilinx, Inc. Processor block placement relative to memory in a programmable logic device
US7627291B1 (en) * 2005-01-21 2009-12-01 Xilinx, Inc. Integrated circuit having a routing element selectively operable to function as an antenna
US7233169B1 (en) 2005-02-10 2007-06-19 Xilinx, Inc. Bidirectional register segmented data busing
US7489173B1 (en) 2005-02-18 2009-02-10 Xilinx, Inc. Signal adjustment for duty cycle control
US8462858B2 (en) * 2005-02-18 2013-06-11 Texas Instruments Incorporated Wireless communications with transceiver-integrated frequency shift control and power control
US7277812B1 (en) 2005-02-18 2007-10-02 Xilinx, Inc. Data generator
US7370245B1 (en) 2005-02-25 2008-05-06 Xilinx, Inc. Cross-correlation of delay line characteristics
US7305604B1 (en) 2005-03-04 2007-12-04 Xilinx, Inc. Determining edge relationship between clock signals
US7310003B2 (en) * 2005-03-15 2007-12-18 Tabula, Inc. Configurable IC with interconnect circuits that have select lines driven by user signals
US7825684B2 (en) * 2005-03-15 2010-11-02 Tabula, Inc. Variable width management for a memory of a configurable IC
US7298169B2 (en) 2005-03-15 2007-11-20 Tabula, Inc Hybrid logic/interconnect circuit in a configurable IC
US7230869B1 (en) 2005-03-15 2007-06-12 Jason Redgrave Method and apparatus for accessing contents of memory cells
US7530033B2 (en) * 2005-03-15 2009-05-05 Tabula, Inc. Method and apparatus for decomposing functions in a configurable IC
US7224182B1 (en) 2005-03-15 2007-05-29 Brad Hutchings Hybrid configurable circuit for a configurable IC
US20070244959A1 (en) * 2005-03-15 2007-10-18 Steven Teig Configurable IC's with dual carry chains
US7394708B1 (en) 2005-03-18 2008-07-01 Xilinx, Inc. Adjustable global tap voltage to improve memory cell yield
US7805593B1 (en) 2005-03-24 2010-09-28 Xilinx, Inc. Real-time performance monitoring using a system implemented in an integrated circuit
US7337422B1 (en) 2005-05-10 2008-02-26 Xilinx, Inc. Programmably configurable logic-based macro
US7430703B1 (en) 2005-05-24 2008-09-30 Xilinx, Inc. Error correction for multiple word read
US7279929B1 (en) 2005-06-14 2007-10-09 Xilinx, Inc. Integrated circuit with programmable routing structure including straight and diagonal interconnect lines
US7199610B1 (en) 2005-06-14 2007-04-03 Xilinx, Inc. Integrated circuit interconnect structure having reduced coupling between interconnect lines
US7221186B1 (en) 2005-06-14 2007-05-22 Xilinx, Inc. Efficient tile layout for a programmable logic device
US7205790B1 (en) 2005-06-14 2007-04-17 Xilinx, Inc. Programmable integrated circuit providing efficient implementations of wide logic functions
US7193433B1 (en) 2005-06-14 2007-03-20 Xilinx, Inc. Programmable logic block having lookup table with partial output signal driving carry multiplexer
US7196543B1 (en) 2005-06-14 2007-03-27 Xilinx, Inc. Integrated circuit having a programmable input structure with optional fanout capability
US7233168B1 (en) 2005-06-14 2007-06-19 Xilinx, Inc. Methods of setting and resetting lookup table memory cells
US7218143B1 (en) 2005-06-14 2007-05-15 Xilinx, Inc. Integrated circuit having fast interconnect paths between memory elements and carry logic
US7215138B1 (en) 2005-06-14 2007-05-08 Xilinx, Inc. Programmable lookup table with dual input and output terminals in shift register mode
US7218140B1 (en) 2005-06-14 2007-05-15 Xilinx, Inc. Integrated circuit having fast interconnect paths between carry chain multiplexers and lookup tables
US7202697B1 (en) 2005-06-14 2007-04-10 Xilinx, Inc. Programmable logic block having improved performance when functioning in shift register mode
US7202698B1 (en) 2005-06-14 2007-04-10 Xilinx, Inc. Integrated circuit having a programmable input structure with bounce capability
US7218139B1 (en) 2005-06-14 2007-05-15 Xilinx, Inc. Programmable integrated circuit providing efficient implementations of arithmetic functions
US7239173B1 (en) * 2005-09-07 2007-07-03 Xilinx, Inc. Programmable memory element with power save mode in a programmable logic device
US7312631B1 (en) 2005-11-01 2007-12-25 Xilinx, Inc. Structures and methods for avoiding hold time violations in a programmable logic device
US7765249B1 (en) 2005-11-07 2010-07-27 Tabula, Inc. Use of hybrid interconnect/logic circuits for multiplication
US8463836B1 (en) 2005-11-07 2013-06-11 Tabula, Inc. Performing mathematical and logical operations in multiple sub-cycles
US7818361B1 (en) 2005-11-07 2010-10-19 Tabula, Inc. Method and apparatus for performing two's complement multiplication
US7372297B1 (en) 2005-11-07 2008-05-13 Tabula Inc. Hybrid interconnect/logic circuits enabling efficient replication of a function in several sub-cycles to save logic and routing resources
US7262633B1 (en) 2005-11-11 2007-08-28 Tabula, Inc. Via programmable gate array with offset bit lines
US7679401B1 (en) 2005-12-01 2010-03-16 Tabula, Inc. User registers implemented with routing circuits in a configurable IC
US7461362B1 (en) 2005-12-01 2008-12-02 Tabula, Inc. Replacing circuit design elements with their equivalents
US7489162B1 (en) 2005-12-01 2009-02-10 Tabula, Inc. Users registers in a reconfigurable IC
US7423453B1 (en) 2006-01-20 2008-09-09 Advantage Logic, Inc. Efficient integrated circuit layout scheme to implement a scalable switching network used in interconnection fabric
US7609085B1 (en) 2006-03-08 2009-10-27 Tabula, Inc. Configurable integrated circuit with a 4-to-1 multiplexer
US7518400B1 (en) 2006-03-08 2009-04-14 Tabula, Inc. Barrel shifter implemented on a configurable integrated circuit
US7504858B1 (en) 2006-03-08 2009-03-17 Tabula, Inc. Configurable integrated circuit with parallel non-neighboring offset connections
US7694083B1 (en) * 2006-03-08 2010-04-06 Tabula, Inc. System and method for providing a virtual memory architecture narrower and deeper than a physical memory architecture
US7797497B1 (en) 2006-03-08 2010-09-14 Tabula, Inc. System and method for providing more logical memory ports than physical memory ports
US7529992B1 (en) 2006-03-27 2009-05-05 Tabula, Inc. Configurable integrated circuit with error correcting circuitry
US7669097B1 (en) 2006-03-27 2010-02-23 Tabula, Inc. Configurable IC with error detection and correction circuitry
US7389485B1 (en) 2006-03-28 2008-06-17 Xilinx, Inc. Methods of routing low-power designs in programmable logic devices having heterogeneous routing architectures
CA2648896A1 (en) * 2006-04-19 2007-11-01 Queen's University At Kingston A hybrid nanotube/cmos dynamically reconfigurable architecture and an integrated design optimization method and system therefor
US7478359B1 (en) 2006-10-02 2009-01-13 Xilinx, Inc. Formation of columnar application specific circuitry using a columnar programmable logic device
US7587697B1 (en) 2006-12-12 2009-09-08 Tabula, Inc. System and method of mapping memory blocks in a configurable integrated circuit
US7930666B1 (en) 2006-12-12 2011-04-19 Tabula, Inc. System and method of providing a memory hierarchy
US7525344B2 (en) * 2007-03-20 2009-04-28 Tabula, Inc. Configurable IC having a routing fabric with storage elements
US7610566B1 (en) 2007-03-22 2009-10-27 Tabula, Inc. Method and apparatus for function decomposition
US7843611B2 (en) 2007-07-18 2010-11-30 Kuwait University High speed flatbed scanner comprising digital image-capture module with two-dimensional optical image photo-sensor or digital camera
WO2009035586A1 (en) 2007-09-06 2009-03-19 Tabula, Inc. Configuration context switcher
US8863067B1 (en) 2008-02-06 2014-10-14 Tabula, Inc. Sequential delay analysis by placement engines
US7965799B2 (en) * 2008-02-25 2011-06-21 Xilinx, Inc. Block boundary detection for a wireless communication system
US7958480B1 (en) 2008-04-21 2011-06-07 Xilinx, Inc. Placement of I/O blocks within I/O banks using an integer linear programming formulation
US8166435B2 (en) 2008-06-26 2012-04-24 Tabula, Inc. Timing operations in an IC with configurable circuits
US8674721B2 (en) 2008-09-17 2014-03-18 Tabula, Inc. Controllable storage elements for an IC
US7714611B1 (en) 2008-12-03 2010-05-11 Advantage Logic, Inc. Permutable switching network with enhanced multicasting signals routing for interconnection fabric
US7705629B1 (en) * 2008-12-03 2010-04-27 Advantage Logic, Inc. Permutable switching network with enhanced interconnectivity for multicasting signals
US7906983B2 (en) * 2008-12-08 2011-03-15 Intuitive Research And Technology Programmable logic device having an embedded test logic with secure access control
US7948269B1 (en) 2009-01-20 2011-05-24 Xilinx, Inc. System and method for open drain/open collector structures in an integrated circuit
US7786762B2 (en) * 2009-01-21 2010-08-31 Xilinx, Inc. Generic buffer circuits and methods for out of band signaling
US8479133B2 (en) * 2009-01-27 2013-07-02 Xilinx, Inc. Method of and circuit for implementing a filter in an integrated circuit
US8543635B2 (en) * 2009-01-27 2013-09-24 Xilinx, Inc. Digital signal processing block with preadder stage
US8104012B1 (en) 2009-01-31 2012-01-24 Xilinx, Inc. System and methods for reducing clock power in integrated circuits
US8058905B1 (en) 2009-01-31 2011-11-15 Xilinx, Inc. Clock distribution to facilitate gated clocks
US8987868B1 (en) 2009-02-24 2015-03-24 Xilinx, Inc. Method and apparatus for programmable heterogeneous integration of stacked semiconductor die
US8549055B2 (en) 2009-03-03 2013-10-01 Altera Corporation Modular digital signal processing circuitry with optionally usable, dedicated connections between modules of the circuitry
US8805916B2 (en) * 2009-03-03 2014-08-12 Altera Corporation Digital signal processing circuitry with redundancy and bidirectional data paths
US7999570B2 (en) * 2009-06-24 2011-08-16 Advantage Logic, Inc. Enhanced permutable switching network with multicasting signals for interconnection fabric
US8296578B1 (en) 2009-08-03 2012-10-23 Xilinx, Inc. Method and apparatus for communicating data between stacked integrated circuits
US7893712B1 (en) 2009-09-10 2011-02-22 Xilinx, Inc. Integrated circuit with a selectable interconnect circuit for low power or high performance operation
US9015023B2 (en) 2010-05-05 2015-04-21 Xilinx, Inc. Device specific configuration of operating voltage
US8760193B2 (en) 2011-07-01 2014-06-24 Tabula, Inc. Configurable storage elements
US9148151B2 (en) 2011-07-13 2015-09-29 Altera Corporation Configurable storage elements
US9000490B2 (en) 2013-04-19 2015-04-07 Xilinx, Inc. Semiconductor package having IC dice and voltage tuners
US9859896B1 (en) 2015-09-11 2018-01-02 Xilinx, Inc. Distributed multi-die routing in a multi-chip module
JP2018120992A (en) * 2017-01-26 2018-08-02 株式会社東芝 Integrated circuit and electronic apparatus

Citations (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4124899A (en) * 1977-05-23 1978-11-07 Monolithic Memories, Inc. Programmable array logic circuit
US4642487A (en) * 1984-09-26 1987-02-10 Xilinx, Inc. Special interconnect for configurable logic array
US4706216A (en) * 1985-02-27 1987-11-10 Xilinx, Inc. Configurable logic element
US4750155A (en) * 1985-09-19 1988-06-07 Xilinx, Incorporated 5-Transistor memory cell which can be reliably read and written
US4758745A (en) * 1986-09-19 1988-07-19 Actel Corporation User programmable integrated circuit interconnect architecture and test method
US4821233A (en) * 1985-09-19 1989-04-11 Xilinx, Incorporated 5-transistor memory cell with known state on power-up
US4870302A (en) * 1984-03-12 1989-09-26 Xilinx, Inc. Configurable electrical circuit having configurable logic elements and configurable interconnects
US5001368A (en) * 1988-12-09 1991-03-19 Pilkington Micro-Electronics Limited Configurable logic array
EP0451798A2 (en) * 1990-04-09 1991-10-16 Aicher, Max, Dipl.-Ing. Ribbed concrete reinforcement with cold-rolled diagonal ribs
US5073729A (en) * 1990-06-22 1991-12-17 Actel Corporation Segmented routing architecture
EP0461798A2 (en) * 1990-06-14 1991-12-18 Advanced Micro Devices, Inc. Configurable interconnect structure
US5079451A (en) * 1990-12-13 1992-01-07 Atmel Corporation Programmable logic device with global and local product terms
US5122685A (en) * 1991-03-06 1992-06-16 Quicklogic Corporation Programmable application specific integrated circuit and logic cell therefor
US5144166A (en) * 1990-11-02 1992-09-01 Concurrent Logic, Inc. Programmable logic cell and array
US5157618A (en) * 1988-03-10 1992-10-20 Cirrus Logic, Inc. Programmable tiles
US5185706A (en) * 1989-08-15 1993-02-09 Advanced Micro Devices, Inc. Programmable gate array with logic cells having configurable output enable
WO1993005577A1 (en) * 1991-08-30 1993-03-18 Concurrent Logic, Inc. Programme logic cell and array
US5198705A (en) * 1990-05-11 1993-03-30 Actel Corporation Logic module with configurable combinational and sequential blocks
US5208491A (en) * 1992-01-07 1993-05-04 Washington Research Foundation Field programmable gate array
US5231588A (en) * 1989-08-15 1993-07-27 Advanced Micro Devices, Inc. Programmable gate array with logic cells having symmetrical input/output structures
USRE34363E (en) * 1984-03-12 1993-08-31 Xilinx, Inc. Configurable electrical circuit having configurable logic elements and configurable interconnects
US5241224A (en) * 1991-04-25 1993-08-31 Altera Corporation High-density erasable programmable logic device architecture using multiplexer interconnections
US5243238A (en) * 1989-03-17 1993-09-07 Algotronix Limited Configurable cellular array
US5258668A (en) * 1992-05-08 1993-11-02 Altera Corporation Programmable logic array integrated circuits with cascade connections between logic modules
US5260610A (en) * 1991-09-03 1993-11-09 Altera Corporation Programmable logic element interconnections for programmable logic array integrated circuits
US5260881A (en) * 1989-10-30 1993-11-09 Advanced Micro Devices, Inc. Programmable gate array with improved configurable logic block
US5260611A (en) * 1991-09-03 1993-11-09 Altera Corporation Programmable logic array having local and long distance conductors
US5267187A (en) * 1990-05-10 1993-11-30 Xilinx Inc Logic structure and circuit for fast carry
US5280202A (en) * 1991-03-06 1994-01-18 Quicklogic Corporation Programmable application specific integrated circuit and logic cell therefor
WO1994010754A1 (en) * 1992-11-05 1994-05-11 Xilinx, Inc. Improved configurable cellular array
US5313119A (en) * 1991-03-18 1994-05-17 Crosspoint Solutions, Inc. Field programmable gate array
US5317209A (en) * 1991-08-29 1994-05-31 National Semiconductor Corporation Dynamic three-state bussing capability in a configurable logic array
US5333279A (en) * 1992-06-01 1994-07-26 Intel Corporation Self-timed mesh routing chip with data broadcasting
US5343406A (en) * 1989-07-28 1994-08-30 Xilinx, Inc. Distributed memory architecture for a configurable logic array and method for using distributed memory
US5347519A (en) * 1991-12-03 1994-09-13 Crosspoint Solutions Inc. Preprogramming testing in a field programmable gate array
US5349250A (en) * 1993-09-02 1994-09-20 Xilinx, Inc. Logic structure and circuit for fast carry
US5357153A (en) * 1993-01-28 1994-10-18 Xilinx, Inc. Macrocell with product-term cascade and improved flip flop utilization
US5359242A (en) * 1993-01-21 1994-10-25 Altera Corporation Programmable logic with carry-in/carry-out between logic blocks
US5365125A (en) * 1992-07-23 1994-11-15 Xilinx, Inc. Logic cell for field programmable gate array having optional internal feedback and optional cascade
EP0630115A2 (en) * 1993-06-18 1994-12-21 Pilkington Micro-Electronics Limited Configurable logic array
US5455525A (en) * 1993-12-06 1995-10-03 Intelligent Logic Systems, Inc. Hierarchically-structured programmable logic array and system for interconnecting logic elements in the logic array
US5457410A (en) * 1993-08-03 1995-10-10 Btr, Inc. Architecture and interconnect scheme for programmable logic circuits
US5481206A (en) * 1993-09-02 1996-01-02 Xilinx, Inc. Circuit for fast carry and logic
US5504440A (en) * 1994-01-27 1996-04-02 Dyna Logic Corporation High speed programmable logic architecture
GB2295738A (en) * 1994-11-04 1996-06-05 Altera Corp Programmable logic array integrated circuits with enhanced output routing.
US5537057A (en) * 1995-02-14 1996-07-16 Altera Corporation Programmable logic array device with grouped logic regions and three types of conductors
US5543732A (en) * 1995-05-17 1996-08-06 Altera Corporation Programmable logic array devices with interconnect lines of various lengths
US5546596A (en) * 1993-08-24 1996-08-13 Intel Corporation Method and apparatus for integrated local and express routing in a multiprocessor
US5546018A (en) * 1993-09-02 1996-08-13 Xilinx, Inc. Fast carry structure with synchronous input
GB2300951A (en) * 1995-05-17 1996-11-20 Altera Corp Programmable logic array with overlapping interconnection conductors
US5581199A (en) * 1995-01-04 1996-12-03 Xilinx, Inc. Interconnect architecture for field programmable gate array using variable length conductors
EP0746107A2 (en) * 1995-06-02 1996-12-04 International Business Machines Corporation Programmable logic cell
US5629886A (en) * 1993-09-02 1997-05-13 Xilinx, Inc. Method and structure for providing fast propagation of a carry signal in a field programmable gate array
US5635851A (en) * 1996-02-02 1997-06-03 Xilinx, Inc. Read and writable data bus particularly for programmable logic devices
US5724276A (en) * 1996-06-17 1998-03-03 Xilinx, Inc. Logic block structure optimized for sum generation
US5740069A (en) * 1989-08-15 1998-04-14 Advanced Micro Devices, Inc. Logic device (PLD) having direct connections between configurable logic blocks (CLBs) and configurable input/output blocks (IOBs)

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5682107A (en) * 1994-04-01 1997-10-28 Xilinx, Inc. FPGA architecture with repeatable tiles including routing matrices and logic matrices
US5815726A (en) * 1994-11-04 1998-09-29 Altera Corporation Coarse-grained look-up table architecture

Patent Citations (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4124899B1 (en) * 1977-05-23 1987-04-28
US4124899A (en) * 1977-05-23 1978-11-07 Monolithic Memories, Inc. Programmable array logic circuit
US4870302A (en) * 1984-03-12 1989-09-26 Xilinx, Inc. Configurable electrical circuit having configurable logic elements and configurable interconnects
USRE34363E (en) * 1984-03-12 1993-08-31 Xilinx, Inc. Configurable electrical circuit having configurable logic elements and configurable interconnects
US4642487A (en) * 1984-09-26 1987-02-10 Xilinx, Inc. Special interconnect for configurable logic array
US4706216A (en) * 1985-02-27 1987-11-10 Xilinx, Inc. Configurable logic element
US4821233A (en) * 1985-09-19 1989-04-11 Xilinx, Incorporated 5-transistor memory cell with known state on power-up
US4750155A (en) * 1985-09-19 1988-06-07 Xilinx, Incorporated 5-Transistor memory cell which can be reliably read and written
US5148390A (en) * 1985-09-19 1992-09-15 Xilinx, Inc. Memory cell with known state on power up
US4758745A (en) * 1986-09-19 1988-07-19 Actel Corporation User programmable integrated circuit interconnect architecture and test method
US4758745B1 (en) * 1986-09-19 1994-11-15 Actel Corp User programmable integrated circuit interconnect architecture and test method
US5157618A (en) * 1988-03-10 1992-10-20 Cirrus Logic, Inc. Programmable tiles
US5001368A (en) * 1988-12-09 1991-03-19 Pilkington Micro-Electronics Limited Configurable logic array
US5243238A (en) * 1989-03-17 1993-09-07 Algotronix Limited Configurable cellular array
US5343406A (en) * 1989-07-28 1994-08-30 Xilinx, Inc. Distributed memory architecture for a configurable logic array and method for using distributed memory
US5231588A (en) * 1989-08-15 1993-07-27 Advanced Micro Devices, Inc. Programmable gate array with logic cells having symmetrical input/output structures
US5185706A (en) * 1989-08-15 1993-02-09 Advanced Micro Devices, Inc. Programmable gate array with logic cells having configurable output enable
US5740069A (en) * 1989-08-15 1998-04-14 Advanced Micro Devices, Inc. Logic device (PLD) having direct connections between configurable logic blocks (CLBs) and configurable input/output blocks (IOBs)
US5260881A (en) * 1989-10-30 1993-11-09 Advanced Micro Devices, Inc. Programmable gate array with improved configurable logic block
EP0451798A2 (en) * 1990-04-09 1991-10-16 Aicher, Max, Dipl.-Ing. Ribbed concrete reinforcement with cold-rolled diagonal ribs
US5267187A (en) * 1990-05-10 1993-11-30 Xilinx Inc Logic structure and circuit for fast carry
US5198705A (en) * 1990-05-11 1993-03-30 Actel Corporation Logic module with configurable combinational and sequential blocks
EP0461798A2 (en) * 1990-06-14 1991-12-18 Advanced Micro Devices, Inc. Configurable interconnect structure
US5073729A (en) * 1990-06-22 1991-12-17 Actel Corporation Segmented routing architecture
US5245227A (en) * 1990-11-02 1993-09-14 Atmel Corporation Versatile programmable logic cell for use in configurable logic arrays
US5144166A (en) * 1990-11-02 1992-09-01 Concurrent Logic, Inc. Programmable logic cell and array
US5079451A (en) * 1990-12-13 1992-01-07 Atmel Corporation Programmable logic device with global and local product terms
US5280202A (en) * 1991-03-06 1994-01-18 Quicklogic Corporation Programmable application specific integrated circuit and logic cell therefor
US5122685A (en) * 1991-03-06 1992-06-16 Quicklogic Corporation Programmable application specific integrated circuit and logic cell therefor
US5313119A (en) * 1991-03-18 1994-05-17 Crosspoint Solutions, Inc. Field programmable gate array
US5241224A (en) * 1991-04-25 1993-08-31 Altera Corporation High-density erasable programmable logic device architecture using multiplexer interconnections
US5317209A (en) * 1991-08-29 1994-05-31 National Semiconductor Corporation Dynamic three-state bussing capability in a configurable logic array
US5319255A (en) * 1991-08-29 1994-06-07 National Semiconductor Corporation Power up detect circuit for configurable logic array
US5323069A (en) * 1991-08-29 1994-06-21 National Semiconductor Corporation Direct I/O access to express bussing in a configurable logic array
WO1993005577A1 (en) * 1991-08-30 1993-03-18 Concurrent Logic, Inc. Programme logic cell and array
US5260610A (en) * 1991-09-03 1993-11-09 Altera Corporation Programmable logic element interconnections for programmable logic array integrated circuits
US5260611A (en) * 1991-09-03 1993-11-09 Altera Corporation Programmable logic array having local and long distance conductors
US5347519A (en) * 1991-12-03 1994-09-13 Crosspoint Solutions Inc. Preprogramming testing in a field programmable gate array
US5208491A (en) * 1992-01-07 1993-05-04 Washington Research Foundation Field programmable gate array
US5258668A (en) * 1992-05-08 1993-11-02 Altera Corporation Programmable logic array integrated circuits with cascade connections between logic modules
US5333279A (en) * 1992-06-01 1994-07-26 Intel Corporation Self-timed mesh routing chip with data broadcasting
US5365125A (en) * 1992-07-23 1994-11-15 Xilinx, Inc. Logic cell for field programmable gate array having optional internal feedback and optional cascade
US5469003A (en) * 1992-11-05 1995-11-21 Xilinx, Inc. Hierarchically connectable configurable cellular array
US5500609A (en) * 1992-11-05 1996-03-19 Xilinx, Inc. Wildcard addressing structure for configurable cellular array
WO1994010754A1 (en) * 1992-11-05 1994-05-11 Xilinx, Inc. Improved configurable cellular array
US5359242A (en) * 1993-01-21 1994-10-25 Altera Corporation Programmable logic with carry-in/carry-out between logic blocks
US5357153A (en) * 1993-01-28 1994-10-18 Xilinx, Inc. Macrocell with product-term cascade and improved flip flop utilization
EP0630115A2 (en) * 1993-06-18 1994-12-21 Pilkington Micro-Electronics Limited Configurable logic array
US5457410A (en) * 1993-08-03 1995-10-10 Btr, Inc. Architecture and interconnect scheme for programmable logic circuits
US5546596A (en) * 1993-08-24 1996-08-13 Intel Corporation Method and apparatus for integrated local and express routing in a multiprocessor
US5481206A (en) * 1993-09-02 1996-01-02 Xilinx, Inc. Circuit for fast carry and logic
US5349250A (en) * 1993-09-02 1994-09-20 Xilinx, Inc. Logic structure and circuit for fast carry
US5546018A (en) * 1993-09-02 1996-08-13 Xilinx, Inc. Fast carry structure with synchronous input
US5629886A (en) * 1993-09-02 1997-05-13 Xilinx, Inc. Method and structure for providing fast propagation of a carry signal in a field programmable gate array
US5455525A (en) * 1993-12-06 1995-10-03 Intelligent Logic Systems, Inc. Hierarchically-structured programmable logic array and system for interconnecting logic elements in the logic array
US5504440A (en) * 1994-01-27 1996-04-02 Dyna Logic Corporation High speed programmable logic architecture
GB2295738A (en) * 1994-11-04 1996-06-05 Altera Corp Programmable logic array integrated circuits with enhanced output routing.
US5581199A (en) * 1995-01-04 1996-12-03 Xilinx, Inc. Interconnect architecture for field programmable gate array using variable length conductors
US5801546A (en) * 1995-01-04 1998-09-01 Xilinx, Inc. Interconnect architecture for field programmable gate array using variable length conductors
US5760604A (en) * 1995-01-04 1998-06-02 Xilinx, Inc. Interconnect architecture for field programmable gate array
US5537057A (en) * 1995-02-14 1996-07-16 Altera Corporation Programmable logic array device with grouped logic regions and three types of conductors
US5543732A (en) * 1995-05-17 1996-08-06 Altera Corporation Programmable logic array devices with interconnect lines of various lengths
GB2300951A (en) * 1995-05-17 1996-11-20 Altera Corp Programmable logic array with overlapping interconnection conductors
EP0746107A2 (en) * 1995-06-02 1996-12-04 International Business Machines Corporation Programmable logic cell
US5646546A (en) * 1995-06-02 1997-07-08 International Business Machines Corporation Programmable logic cell having configurable gates and multiplexers
US5635851A (en) * 1996-02-02 1997-06-03 Xilinx, Inc. Read and writable data bus particularly for programmable logic devices
US5724276A (en) * 1996-06-17 1998-03-03 Xilinx, Inc. Logic block structure optimized for sum generation

Non-Patent Citations (26)

* Cited by examiner, † Cited by third party
Title
"The Programmable Gate Array Data Book", 1989, available from Xilinx Inc., 2100 Logic Drive, San Jose, California, 95124, pp. 6-30 through 6-44.
"The Programmable Logic Data Book", 1993, available from Xilinx Inc., 2100 Logic Drive, San Jose, California, 95124, pp. 1-1 through 1-7; 2-1 through 2-42; 2-97 through 2-130; and 2-177 through 2-204.
"The Programmable Logic Data Book", 1996, available from Xilinx, Inc., 2100 Logic Drive, San Jose, California, 95124, pp. 4-1 to 4-49.
"The Programmable Logic Data Book", 1996, published by Xilinx Inc., 2100 Logic Drive, San Jose, California, 95124, pp. 4-1 to 4-49.
Altera Corporation, "Flex 10K Embedded Programmable Logic Family Data Sheet" from the Altera Digital Library, 1996, available from Altera Corporation, 2610 Orchard Parkway, San Jose, CA 95134-2020, pp. 31-53.
Altera Corporation, Flex 10K Embedded Programmable Logic Family Data Sheet from the Altera Digital Library, 1996, available from Altera Corporation, 2610 Orchard Parkway, San Jose, CA 95134 2020, pp. 31 53. *
Lucent Technologies, Microelectronics Group, ORCA, "Field-Programmable Gate Arrays Data Book", Oct. 1996, pp. 2-9 to 2-20.
Lucent Technologies, Microelectronics Group, ORCA, Field Programmable Gate Arrays Data Book , Oct. 1996, pp. 2 9 to 2 20. *
Luis Morales, "Boundary Scan in XC4000 Devices", XAPP 017.001, Oct. 1992, pp. 2-108 and 2-180.
Luis Morales, Boundary Scan in XC4000 Devices , XAPP 017.001, Oct. 1992, pp. 2 108 and 2 180. *
Morales, Luis, "Boundary Scan in XC4000 Devices", XAPP 017.001, Oct. 1992, pp. 2-108 and 2-180.
Morales, Luis, Boundary Scan in XC4000 Devices , XAPP 017.001, Oct. 1992, pp. 2 108 and 2 180. *
Neil H. E. Weste and Kamran Eshraghian, "Principles of CMOS VLSI: A Systems Approach", by AT&T Bell Laboratories, Inc, published by Addison-Wesley Publishing Company, copyright 1985, p. 56.
Neil H. E. Weste and Kamran Eshraghian, Principles of CMOS VLSI: A Systems Approach , by AT&T Bell Laboratories, Inc, published by Addison Wesley Publishing Company, copyright 1985, p. 56. *
The Programmable Gate Array Data Book , 1989, available from Xilinx Inc., 2100 Logic Drive, San Jose, California, 95124, pp. 6 30 through 6 44. *
The Programmable Logic Data Book , 1993, available from Xilinx Inc., 2100 Logic Drive, San Jose, California, 95124, pp. 1 1 through 1 7; 2 1 through 2 42; 2 97 through 2 130; and 2 177 through 2 204. *
The Programmable Logic Data Book , 1996, available from Xilinx, Inc., 2100 Logic Drive, San Jose, California, 95124, pp. 4 1 to 4 49. *
The Programmable Logic Data Book , 1996, published by Xilinx Inc., 2100 Logic Drive, San Jose, California, 95124, pp. 4 1 to 4 49. *
Xilinx Programmable Gate Array Data Book, 1989, pp. 6 30 through 6 44, available from Xilinx, Inc., 2100 Logic Drive, San Jose, California 95124. *
Xilinx Programmable Gate Array Data Book, 1989, pp. 6-30 through 6-44, available from Xilinx, Inc., 2100 Logic Drive, San Jose, California 95124.
Xilinx, Inc, The Programmable Logic Data Book, 1993, pp. 1 1 through 1 7; 2 1 through 2 42; 2 97 through 2 130; and 2 177 through 2 204, available from Xilinx, Inc., 2100 Logic Drive, San Jose, California 95124. *
Xilinx, Inc, The Programmable Logic Data Book, 1993, pp. 1-1 through 1-7; 2-1 through 2-42; 2-97 through 2-130; and 2-177 through 2-204, available from Xilinx, Inc., 2100 Logic Drive, San Jose, California 95124.
Xilinx, Inc., "The Programmable Logic Data Book", 1996, available from Xilinx, Inc., 2100 Logic Drive, San Jose, CA 95124, pp. (4-1 to 4-49); (4-11 to 4-23); (4-32 to 4-37); (4-5 to 4-45); (4-1 to 4-372); (4-188 to 4-190); (4-294 to 4-295); (13-13 to 13-15).
Xilinx, Inc., "The Programmable Logic Data Book", 1996, available from Xilinx, Inc., 2100 Logic Drive, San Jose, CA 95124, pp. 4-5 through 4-45.
Xilinx, Inc., The Programmable Logic Data Book , 1996, available from Xilinx, Inc., 2100 Logic Drive, San Jose, CA 95124, pp. (4 1 to 4 49); (4 11 to 4 23); (4 32 to 4 37); (4 5 to 4 45); (4 1 to 4 372); (4 188 to 4 190); (4 294 to 4 295); (13 13 to 13 15). *
Xilinx, Inc., The Programmable Logic Data Book , 1996, available from Xilinx, Inc., 2100 Logic Drive, San Jose, CA 95124, pp. 4 5 through 4 45. *

Cited By (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6396303B1 (en) * 1997-02-26 2002-05-28 Xilinx, Inc. Expandable interconnect structure for FPGAS
US6448808B2 (en) 1997-02-26 2002-09-10 Xilinx, Inc. Interconnect structure for a programmable logic device
US8791581B2 (en) 1997-04-04 2014-07-29 Glenn J Leedy Three dimensional structure memory
US8796862B2 (en) 1997-04-04 2014-08-05 Glenn J Leedy Three dimensional memory structure
US8907499B2 (en) 1997-04-04 2014-12-09 Glenn J Leedy Three dimensional structure memory
US8841778B2 (en) 1997-04-04 2014-09-23 Glenn J Leedy Three dimensional memory structure
US9087556B2 (en) 1997-04-04 2015-07-21 Glenn J Leedy Three dimension structure memory
US20070030029A1 (en) * 1999-03-04 2007-02-08 Altera Corporation, A Corporation Of Delaware Interconnection and input/output resources for programmable logic integrated circuit devices
US20090289660A1 (en) * 1999-03-04 2009-11-26 Tony Ngai Interconnection and input/output resources for programmable logic integrated circuit devices
US7839167B2 (en) 1999-03-04 2010-11-23 Altera Corporation Interconnection and input/output resources for programmable logic integrated circuit devices
US20080074143A1 (en) * 1999-03-04 2008-03-27 Tony Ngai Interconnection and input/output resources for programmable logic integrated circuit devices
US20040017222A1 (en) * 1999-10-12 2004-01-29 Altera Toronto Co. Heterogeneous interconnection architecture for programmable logic devices
US6828824B2 (en) 1999-10-12 2004-12-07 Altera Toronto Co. Heterogeneous interconnection architecture for programmable logic devices
US6590419B1 (en) 1999-10-12 2003-07-08 Altera Toronto Co. Heterogeneous interconnection architecture for programmable logic devices
US6631510B1 (en) 1999-10-29 2003-10-07 Altera Toronto Co. Automatic generation of programmable logic device architectures
US7051313B1 (en) 1999-10-29 2006-05-23 Altera Toronto Co. Automatic generation of programmable logic device architectures
KR100893970B1 (en) 2000-12-29 2009-04-20 퀵로직 코퍼레이션 Architecture for field programmable gate array
US8201129B2 (en) 2001-05-06 2012-06-12 Altera Corporation PLD architecture for flexible placement of IP function blocks
US20030237071A1 (en) * 2001-05-06 2003-12-25 Lee Andy L. PLD architecture for flexible placement of IP function blocks
US20040108871A1 (en) * 2001-05-06 2004-06-10 Altera Corporation Use of dangling partial lines for interfacing in a PLD
US9094014B2 (en) 2001-05-06 2015-07-28 Altera Corporation PLD architecture for flexible placement of IP function blocks
US7058920B2 (en) 2001-05-06 2006-06-06 Altera Corporation Methods for designing PLD architectures for flexible placement of IP function blocks
US6630842B1 (en) 2001-05-06 2003-10-07 Altera Corporation Routing architecture for a programmable logic device
US7584447B2 (en) 2001-05-06 2009-09-01 Altera Corporation PLD architecture for flexible placement of IP function blocks
US6895570B2 (en) 2001-05-06 2005-05-17 Altera Corporation System and method for optimizing routing lines in a programmable logic device
US8732646B2 (en) 2001-05-06 2014-05-20 Altera Corporation PLD architecture for flexible placement of IP function blocks
US8407649B2 (en) 2001-05-06 2013-03-26 Altera Corporation PLD architecture for flexible placement of IP function blocks
US6605962B2 (en) 2001-05-06 2003-08-12 Altera Corporation PLD architecture for flexible placement of IP function blocks
US6859065B2 (en) 2001-05-06 2005-02-22 Altera Corporation Use of dangling partial lines for interfacing in a PLD
US20090224800A1 (en) * 2001-05-06 2009-09-10 Altera Corporation Pld architecture for flexible placement of ip function blocks
US6970014B1 (en) 2001-05-06 2005-11-29 Altera Corporation Routing architecture for a programmable logic device
US6653862B2 (en) 2001-05-06 2003-11-25 Altera Corporation Use of dangling partial lines for interfacing in a PLD
US20050023656A1 (en) * 2002-08-08 2005-02-03 Leedy Glenn J. Vertical system integration
US7071756B1 (en) 2003-06-02 2006-07-04 Xilinx, Inc. Clock multiplexing system
US7098687B1 (en) * 2003-08-18 2006-08-29 Altera Corporation Flexible routing resources in a programmable logic device
US7759973B1 (en) 2004-04-30 2010-07-20 Xilinx, Inc. Integrated circuit having embedded differential clock tree
US7518401B2 (en) 2004-04-30 2009-04-14 Xilinx, Inc. Differential clock tree in an integrated circuit
US20050242867A1 (en) * 2004-04-30 2005-11-03 Xilinx, Inc. Differential clocking scheme in an integrated circuit having digital multiplexers
US20050242865A1 (en) * 2004-04-30 2005-11-03 Xilinx, Inc. Differential clock tree in an integrated circuit
US7372299B2 (en) 2004-04-30 2008-05-13 Xilinx, Inc. Differential clock tree in an integrated circuit
US20050242866A1 (en) * 2004-04-30 2005-11-03 Xilinx, Inc. Programmable logic device having an embedded differential clock tree
US7414430B2 (en) 2004-04-30 2008-08-19 Xilinx, Inc. Programmable logic device having an embedded differential clock tree
US20060290402A1 (en) * 2004-04-30 2006-12-28 Xilinx, Inc. Programmable logic device having an embedded differential clock tree
US20060290403A1 (en) * 2004-04-30 2006-12-28 Xilinx, Inc. Differential clock tree in an integrated circuit
US7142033B2 (en) 2004-04-30 2006-11-28 Xilinx, Inc. Differential clocking scheme in an integrated circuit having digital multiplexers
US7126406B2 (en) 2004-04-30 2006-10-24 Xilinx, Inc. Programmable logic device having an embedded differential clock tree
US7129765B2 (en) 2004-04-30 2006-10-31 Xilinx, Inc. Differential clock tree in an integrated circuit
US20070013428A1 (en) * 2004-04-30 2007-01-18 Xilinx, Inc. Differential clock tree in an integrated circuit
US20050275428A1 (en) * 2004-06-10 2005-12-15 Guy Schlacter Field programmable gate array logic unit and its cluster
US7164290B2 (en) * 2004-06-10 2007-01-16 Klp International, Ltd. Field programmable gate array logic unit and its cluster
US20050275427A1 (en) * 2004-06-10 2005-12-15 Man Wang Field programmable gate array logic unit and its cluster
US7265576B1 (en) 2005-06-14 2007-09-04 Xilinx, Inc. Programmable lookup table with dual input and output terminals in RAM mode
US7276934B1 (en) 2005-06-14 2007-10-02 Xilinx, Inc. Integrated circuit with programmable routing structure including diagonal interconnect lines
US7804719B1 (en) 2005-06-14 2010-09-28 Xilinx, Inc. Programmable logic block having reduced output delay during RAM write processes when programmed to function in RAM mode
US7268587B1 (en) 2005-06-14 2007-09-11 Xilinx, Inc. Programmable logic block with carry chains providing lookahead functions of different lengths
US7253658B1 (en) 2005-06-14 2007-08-07 Xilinx, Inc. Integrated circuit providing direct access to multi-directional interconnect lines in a general interconnect structure
US7256612B1 (en) * 2005-06-14 2007-08-14 Xilinx, Inc. Programmable logic block providing carry chain with programmable initialization values
US7274214B1 (en) 2005-06-14 2007-09-25 Xilinx, Inc. Efficient tile layout for a programmable logic device
US7375552B1 (en) 2005-06-14 2008-05-20 Xilinx, Inc. Programmable logic block with dedicated and selectable lookup table outputs coupled to general interconnect structure
US20090256590A1 (en) * 2008-04-10 2009-10-15 Silicon Storage Technology, Inc. Storage element for controlling a logic circuit, and a logic device having an array of such storage elements
US7701248B2 (en) 2008-04-10 2010-04-20 Silicon Storage Technology, Inc. Storage element for controlling a logic circuit, and a logic device having an array of such storage elements
US8890567B1 (en) 2010-09-30 2014-11-18 Altera Corporation High speed testing of integrated circuits including resistive elements
US9166598B1 (en) 2012-05-08 2015-10-20 Altera Corporation Routing and programming for resistive switch arrays
US9432023B2 (en) 2012-05-08 2016-08-30 Altera Corporation Routing and programming for resistive switch arrays
US10027327B2 (en) 2012-05-08 2018-07-17 Altera Corporation Routing and programming for resistive switch arrays
US10108769B1 (en) 2016-10-17 2018-10-23 Xilinx, Inc. Delay modeling for high fan-out nets within circuit designs
US11314911B1 (en) 2021-05-27 2022-04-26 Xilinx, Inc. High-level synthesis implementation of data structures in hardware

Also Published As

Publication number Publication date
US5914616A (en) 1999-06-22

Similar Documents

Publication Publication Date Title
US5907248A (en) FPGA interconnect structure with high-speed high fanout capability
US6107827A (en) FPGA CLE with two independent carry chains
US5942913A (en) FPGA repeatable interconnect structure with bidirectional and unidirectional interconnect lines
US6396303B1 (en) Expandable interconnect structure for FPGAS
US6204689B1 (en) Input/output interconnect circuit for FPGAs
US6124731A (en) Configurable logic element with ability to evaluate wide logic functions
US6069490A (en) Routing architecture using a direct connect routing mesh
US6084429A (en) PLD having a window pane architecture with segmented and staggered interconnect wiring between logic block arrays
US5894565A (en) Field programmable gate array with distributed RAM and increased cell utilization
US5701091A (en) Routing resources for hierarchical FPGA
US5581199A (en) Interconnect architecture for field programmable gate array using variable length conductors
US5598109A (en) Programmable logic array device with grouped logic regions and three types of conductors
US5889411A (en) FPGA having logic element carry chains capable of generating wide XOR functions
US6335634B1 (en) Circuitry and methods for internal interconnection of programmable logic devices
US6130551A (en) Synthesis-friendly FPGA architecture with variable length and variable timing interconnect
US5621650A (en) Programmable logic device with internal time-constant multiplexing of signals from external interconnect buses
US5883526A (en) Hierarchical interconnect for programmable logic devices
US6211695B1 (en) FPGA integrated circuit having embedded SRAM memory blocks with registered address and data input sections
US5463328A (en) Expanded programmable logic architecture
GB2300506A (en) Routing in logic array

Legal Events

Date Code Title Description
STCF Information on status: patent grant

Free format text: PATENTED CASE

CC Certificate of correction
FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12