US6081414A - Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system - Google Patents

Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system Download PDF

Info

Publication number
US6081414A
US6081414A US09/071,784 US7178498A US6081414A US 6081414 A US6081414 A US 6081414A US 7178498 A US7178498 A US 7178498A US 6081414 A US6081414 A US 6081414A
Authority
US
United States
Prior art keywords
pedestal base
electrode
workpiece
puck
isolator
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
US09/071,784
Inventor
Allen Flanigan
Michael N. Sugarman
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US09/071,784 priority Critical patent/US6081414A/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: FLANIGAN, ALLEN, SUGARMAN, MICHAEL N.
Priority to TW088105887A priority patent/TW475912B/en
Priority to KR1020007012101A priority patent/KR20010043180A/en
Priority to PCT/US1999/008871 priority patent/WO1999057753A1/en
Priority to EP99921447A priority patent/EP1075707A1/en
Priority to JP2000547647A priority patent/JP2002514010A/en
Application granted granted Critical
Publication of US6081414A publication Critical patent/US6081414A/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/23Chucks or sockets with magnetic or electrostatic means

Definitions

  • the invention relates generally to plasma-enhanced processing of a workpiece, e.g., a semiconductor wafer, and, more specifically, to an apparatus for improving the biasing and retention of a workpiece upon a workpiece support in a semiconductor wafer processing system.
  • a workpiece e.g., a semiconductor wafer
  • a plasma reactor in a high-temperature physical vapor deposition (PVD) semiconductor wafer processing system generally comprises a reaction chamber for containing a reactant gas, a pair of spaced-apart electrodes (cathode and anode) that are driven by a high power DC voltage to generate an electric field within the chamber, and a workpiece support for supporting a workpiece within the chamber.
  • the cathode is a conductive plate coated with a target material that is to be sputtered or deposited onto the workpiece, while the anode is typically a grounded chamber component.
  • the electric field creating a reaction zone, captures electrons thereby ionizing the reactant gas into a plasma.
  • the plasma characterized by a visible glow, is a mixture of positive and negative reactant gas ions and electrons. Ions from the plasma bombard the negatively biased target releasing electrically neutral deposition material. As such, a conductive deposition film forms on the workpiece which is supported and retained upon the surface of the workpiece support.
  • the workpiece support is fabricated from a dielectric material such as ceramic. However, at wafer processing temperatures (typically in the range of 200-600° C.) the resistivity of the ceramic is reduced and the workpiece support becomes more conductive.
  • the substrate and pedestal are biased negatively with respect to the plasma. This is accomplished by providing RF power to the pedestal.
  • a negative DC offset accumulates on the pedestal as a result of the higher velocity of electrons as compared to the positive ions in the plasma.
  • the target material becomes positively ionized.
  • the positively ionized target material is attracted to and deposits on the substrate more readily than on an unbiased pedestal.
  • a 400 KHz AC source is used to bias the pedestal, but other frequency sources such as a 13.56 MHz source may also be used.
  • ceramic workpiece supports also known as electrostatic chucks
  • the conductive nature of the ceramic at wafer processing conditions is beneficial to electrostatic chucking, but not to establishment of an effective RF induced electric field in the reaction zone.
  • other types of electrostatic chucks are used in RF enhanced chambers.
  • an electrostatic chuck consisting of a conductive layer, e.g., copper, encased in upper and lower insulating layers, e.g., polyimide, is adhered to a support surface of a pedestal.
  • This type of electrostatic chuck is referred to as a Coulombic chuck since the mechanism of attraction of the workpiece to the support surface is a Coulombic force.
  • Coulombic forces are usually weaker and do not retain a workpiece as well as electrostatic chucks employing the Johnson-Rahbek effect.
  • the disadvantages heretofore associated with the prior art are overcome by an apparatus for biasing and retaining a wafer in a semiconductor wafer processing system.
  • the inventive apparatus has a shaft, a pedestal base disposed above the shaft, a puck disposed above the pedestal base and an electrode disposed beneath the puck.
  • the electrode is coupled to a biasing source.
  • the shaft, pedestal base and puck define an enclosure within which the electrode and various other pedestal components are housed.
  • Each of the components are insulated from each other and from the electrode so as to define a particular electrical path.
  • RF power conducts from a power source to a conductor to a coolant tube inside the enclosure.
  • the electrode is a cooling plate in contact with the coolant tube and the puck.
  • the cooling plate is electrically isolated from the pedestal base via an isolator ring that circumscribes an upper inner wall of the enclosure.
  • the ring is fabricated from an insulating material, e.g., ceramic, and is provided with a lip upon which the plate sits.
  • the cooling plate is held in close contact with the bottom surface of the puck via springs in the isolator ring that impart a force against the floor of the enclosure and the cooling plate.
  • the top surface of the cooling plate may be provided with grooves to reduce the surface area of the top surface to effect proper heat exchange conditions between the puck and the cooling plate.
  • the cooling plate is provided with a channel on its underside. The coolant tube is disposed in the channel and affixed i.e., brazed, to the cooling plate so as to establish physical contact.
  • a workpiece i.e., a semiconductor wafer is positioned on a top surface of the puck, i.e., and electrostatic chuck for processing.
  • the RF power applied by the power source conducts through the intended electrical path and capacitively couples through the dielectric material of the electrostatic chuck.
  • the wafer is electrically biased to a level that is favorable to wafer processing without biasing the pedestal or any of the components in the enclosure. Insulating pedestal components reduces the likelihood of RF power losses. Insulating the pedestal reduces the likelihood of arcing between the pedestal and other components in a wafer processing chamber.
  • FIG. 1 depicts a partial cross-sectional view of a workpiece processing chamber housing the present invention
  • FIG. 2 depicts a partial perspective, cross-sectional view of an upper portion of the present invention
  • FIG. 3 depicts a cross-sectional view of a lower portion of the present invention.
  • FIG. 4 depicts a schematic representation of an electrical circuit established by the present invention.
  • FIG. 5 depicts a detailed view of the interconnection of various components within the present invention.
  • FIG. 1 depicts a cross-sectional view of a PVD processing chamber 100 for processing a workpiece 102, i.e., a semiconductor wafer in a workpiece processing system.
  • a workpiece 102 i.e., a semiconductor wafer in a workpiece processing system.
  • FIG. 1 depicts a cross-sectional view of a PVD processing chamber 100 for processing a workpiece 102, i.e., a semiconductor wafer in a workpiece processing system.
  • a workpiece 102 i.e., a semiconductor wafer in a workpiece processing system.
  • the wafer 102 is disposed on a novel apparatus 104 for retaining the wafer against a workpiece support and providing RF biasing to the wafer in a well-defined and insulated path that is internal to the apparatus.
  • the wafer 102 rests on support surface 103 of a pedestal assembly 104.
  • the pedestal assembly 104 has a puck 105, i.e., an electrostatic chuck, supported by a pedestal base 106 and a shaft 126 which contains the necessary wiring to conduct DC and RF power from remote power sources 122 and 124 to the pedestal assembly 104.
  • the puck 105 is discussed as being an electrostatic chuck, it need not be such a device.
  • the puck may be a heater used in a chemical vapor deposition (CVD) process system (i.e., having no electrostatic chucking capabilities) or any other type of general purpose workpiece support in a system requiring RF biasing of the workpiece.
  • CVD chemical vapor deposition
  • the shaft 126 is provided with a gas conduit 142 to feed a gas through the pedestal assembly 104 to a process cavity 148 located directly above the pedestal assembly 104.
  • Lift pins 110 mounted on a platform 112 connected to a vertical shaft 114 serve to lift the wafer 102 off the support surface 103 after processing.
  • a target 116 of sputtering or deposition material is positioned over the pedestal assembly 104.
  • the target 116 is usually Aluminum or Titanium and is electrically insulated from the chamber 100.
  • the remote power source 122 is preferably a high voltage DC power supply and is electrically connected between the target 116 and pedestal assembly 104 for magnetron sputtering a wafer.
  • an RF (radio frequency) voltage source 124 is coupled to the pedestal assembly 104 as explained in greater detail below. Waste ring 108, cover ring 138 and shield 150 circumscribe the pedestal assembly 104 to prevent unwanted deposition into the lower chamber region 140.
  • FIG. 2 depicts a partial perspective, cross-sectional view of pedestal assembly 104.
  • the pedestal assembly 104 has an electrostatic chuck 105 disposed on top of the pedestal base 106.
  • the pedestal base 106 is usually fabricated from a durable material, e.g., nickel, an alloy or a similar metallic material.
  • the pedestal base is KOVAR®.
  • KOVAR® is the tradename for an iron/nickel/cobalt alloy manufactured and sold by Westinghouse Electric Co.
  • the electrostatic chuck 105 can be fabricated from a dielectric material e.g., a ceramic such as silicon oxide, silicon nitride, sapphire or the like and is shaped as a thin circular puck.
  • the chuck 105 is attached to the pedestal base 106 via a retaining ring 206.
  • retaining ring 206 is a ceramic ring that is brazed to electrostatic chuck 105 and an upper inner wall 250 of the pedestal base 106. Additionally, the upper inner wall 250 and a bottom surface 202 of the electrostatic chuck 105 are brazed for additional strength.
  • An example of a ceramic electrostatic chuck that may be used in this apparatus is disclosed in commonly assigned U.S. Pat. No. 5,656,093 issued Aug. 12, 1997 to Burkhart and is incorporated by reference herein. Specifically, that reference discloses a ceramic electrostatic chuck having a wafer spacing mask of metallic material deposited on the chuck surface.
  • a heater electrode 222 may be buried within layers of the electrostatic chuck 105.
  • the heater electrode 222 is fabricated from a conductive material, (e.g., tungsten) and is connected to a remote power source (not shown). In this way, the heater electrode 222 generates heat which is transferred to the workpiece on top of the electrostatic chuck 105 to maintain the workpiece at proper processing temperatures.
  • the electrostatic chuck 105 may be provided with one or more chucking electrodes 224.
  • the chucking electrodes 224 are also fabricated from a conductive material, (e.g., tungsten), but not necessarily the same material from which the heater electrode 222 is fabricated.
  • the chucking electrodes 224 are disposed relatively closely to the top surface 103 of the electrostatic chuck 105.
  • the chucking electrodes 224 are also connected to a remote power source, i.e. the high voltage DC power supply 122 of FIG. 1. In this way, the chucking electrodes 224 provide the necessary electrostatic force to the backside of a workpiece to retain it on the electrostatic chuck 105.
  • the chucking electrodes 224 may be in any configuration necessary to retain the workpiece upon the puck.
  • the chucking electrodes 224 may be in a monopolar configuration, bipolar configuration, zoned chucking configuration or the like. Additionally, the power source which energizes the chucking electrodes 224 may also be the power source for the heater electrode 222.
  • the pedestal assembly 104 defines an enclosure 208 in which various components are housed to aid in the processing of a semiconductor wafer (not shown) placed upon the top surface 103 of the electrostatic chuck 105.
  • the enclosure 208 is defined by a lower inner wall 230 of the shaft 126, floor 254 of the pedestal base 106, upper inner wall 250 of the pedestal base 106 and a bottom surface 202 of the electrostatic chuck 105.
  • the gas conduit 142 extends vertically from the bottom of the shaft 126 to the bottom surface 202 of the electrostatic chuck 105 to allow a heat transfer gas to be pumped under the wafer during processing.
  • a top end 502 of the gas conduit 142 is connected to a bottom end 504 of a transition tube 506.
  • a top end 508 of the transition tube 506 is connected to a flanged transition 510 that communicates with an opening 210 provided in the electrostatic chuck 105.
  • transition tube 506 is 0.25 in long, metallic, welded to the gas conduit 142 and brazed to the flanged transition 510.
  • the flanged transition 510 is preferably KOVAR® and is brazed to the bottom surface 202 of the electrostatic chuck 105 and a gas conduit backup ring 258 (explained in greater detail below).
  • the flanged transition 510 prevents the heat transfer gas from leaking into the enclosure 208 which may reduce control of the wafer temperature. Additionally, the flanged transition 510 prevents atmospheric gases and pressure gradients from being introduced to the backside of the wafer which may cause process anomalies.
  • the heat transfer gas is pumped from one or more remote sources 130 and 134 of FIG. 1 which is connected to a second end of the gas conduit 142 via a flow regulator 144 and one or more valves 132 and 136 of FIG. 1. Additionally, the gas conduit 142 is provided with an isolator 212.
  • the isolator 212 is fabricated from an insulating material. Preferably, the isolator 212 is a ceramic sleeve which prevents the gas conduit 142 from contacting any other components inside the enclosure 208.
  • the enclosure 208 is further provided with thermocouples 214 and 216 to monitor internal temperature of the pedestal, i.e., the chuck surface.
  • the thermocouples 214 and 216 are contained within counterbores 512 and 514 respectively in the gas conduit backup ring 258 (see FIG. 5).
  • the thermocouples extend vertically therefrom to the bottom of the shaft 126 where they are connected to temperature measuring equipment (not shown).
  • Thermocouples 214 and 216 are provided with isolators 218 and 220 respectively.
  • isolators 218 and 220 are ceramic sleeves that prevent each of the thermocouples 214 and 216 from contacting any other components inside the enclosure.
  • thermocouples 214 and 216 are insulated from all electrically conductive components such as heater electrode 222, chucking electrodes 224 and RF conducting components such as a cooling plate 234 and coolant tube 238 described in greater detail below.
  • the thermocouples are mounted directly into the bottom surface 202 of the pedestal 105.
  • the pedestal base 106 and shaft 126 are grounded to prevent plasma ignition in the lower chamber region 140.
  • These components are also further provided with a bellows isolator 226 and bellows thermocouple mount 228.
  • the bellows isolator 226 is preferably fabricated from an insulating material, such as ceramic. Further, the bellows isolator 226 is in the form of a sleeve which insulates the lower inner wall 230 of the shaft 126 from all other components inside the enclosure 208.
  • the bellows isolator 226 vertically extends from the bottom of the shaft 126 to the enclosure 208 and forms a lip 232 over floor 254 to support the bellows thermocouple mount 228.
  • the bellows thermocouple mount 228 provides additional electrical isolation of the thermocouples 214 and 216 and gas conduit 142 within the enclosure 208. Although, the bellows thermocouple mount 228 is shown with two thermocouples mounted, the thermocouple mount 228 can be designed and configured to accept any number of thermocouples, i.e., at least one. Additionally, the thermocouple mount 228, bellows insulator 226 and/or similar integrated structures for mounting a thermocouple can be used in different pedestal and electrostatic chuck configurations.
  • the enclosure 208 contains further components which assist in biasing of the workpiece (wafer) 102 and optionally temperature control of the workpiece retained upon the electrostatic chuck 105.
  • An electrode 234 is disposed between the electrostatic chuck 105 and the pedestal 104. Specifically, the electrode 234 is disposed directly below the electrostatic chuck 105 inside the enclosure 208.
  • the electrode 234 is fabricated from a material that is a high conductor of RF power.
  • the electrode has the form of a cooling plate 234 fabricated of a block of copper or stainless steel that is machined to a high degree of precision so that it fits and communicates with other enclosure components as described below.
  • the cooling plate 234 has a top surface 235.
  • the top surface may have a plurality of grooves 236.
  • the grooves 236 reduce the surface area of the top surface 235 of the cooling plate 234 that is in contact with the electrostatic chuck 105 such that the amount of heat extracted from the chuck (and wafer) is moderated.
  • the size and number of the grooves 236 may be of any dimension, amount or configuration so as to achieve the desired cooling effect of the plate 234.
  • the cooling plate 234 may be plated to prevent oxidation of the cooling plate material.
  • the plating material is nickel.
  • a coolant tube 238 is disposed in a channel 240 on a bottom 256 of the plate 234.
  • the coolant tube 238 circumscribes nearly the entire bottom 256 of the cooling plate 234 and extends down the shaft 126 within the bellows isolator 226.
  • the coolant tube 238 is fashioned from an RF conductive material such as stainless steel.
  • the coolant tube 238 may have a plurality of coatings or platings. For example, a copper plating improves the RF conductivity of the coolant tube and a nickel plating prevents oxidation of the stainless steel and copper.
  • the coolant tube 238 is affixed to the channel 240 by any means known to establish and maintain physical contact between the coolant tube 238 and the plate 234. Specifically, the coolant tube 238 is brazed to the channel 240 but this does not preclude affixing the coolant tube to the cooling plate 234 by other means.
  • the cooling plate 234 is maintained in close physical contact with the bottom 202 of the electrostatic chuck 105 via an insulator 242.
  • the insulator 242 is preferably an isolator ring fabricated from a dielectric material, e.g., ceramic and circumscribes but not necessarily touches the upper inner wall 250.
  • the isolator ring 242 is further provided with a lip 252 upon which the cooling plate 234 rests.
  • the isolator 242 is tensioned.
  • the isolator ring 242 defines one or more recesses 244. Within each recess 244 a spring 246 is disposed.
  • the springs 246 bear upon the floor 254 of the enclosure 208 and the isolator ring 242. The resultant force from the springs 246 maintain the cooling plate 234 in close physical contact with the bottom 202 of the electrostatic chuck 105. Since the isolator ring 242 is fabricated from a dielectric material, it does not form a highly conductive pathway between the cooling plate 234, the pedestal base 106 and other components in the enclosure 208. If the electrode 234 functions solely as an electrode and is not used for other tasks (i.e., cooling), it may be secured to the bottom 202 of the electrostatic chuck 105 by any standard form of mechanical contact such as brazing.
  • FIG. 3 depicts a schematic cross-sectional view of the bottom portion of the shaft 126.
  • the coolant tube 238 extends down through the enclosure 208 and shaft 126 and is provided with barbed ends (not shown). The barbed ends easily catch and are connected to coolant lines 302 of electrically insulating material (e.g., rubber).
  • the coolant lines 302 are further coupled to a remote cooling source (not shown) to provide a forward and return path for a coolant (i.e., water) to the cooling plate 234.
  • the coolant tube 238 is electrically connected to an RF power source 304 via conductor 306.
  • the pedestal base 106 and shaft 126 are electrically connected to ground. If the electrode 234 functions solely as an electrode and is not used for other tasks (i.e., cooling), it may be electrically connected to the RF power source 304 by any standard connection such as a wire lead, shielded cable or the like.
  • a wafer 102 is placed on the support surface 103 of the electrostatic chuck 105.
  • Air is drawn out of the chamber 100 via a vacuum pump 128 to create a low pressure environment (i.e. 1 mTorr to 5 Torr).
  • a reactant gas preferably Argon, is introduced into the chamber 100 from one of the remote gas sources 130 or 134.
  • the power supply 122 is energized which electrostatically clamps the wafer 102 to the support surface 103. Specifically, the power supply 122 applies a DC bias to the chucking electrodes 224.
  • the high voltage level produced by the power supply 122 also ignites the gas into a plasma and biases the target 116 thereby causing the target material to sputter onto the wafer 102.
  • the apparatus described above provides for enhanced plasma processing by RF biasing the wafer 102 placed on top of the electrostatic chuck 105 via conductive and capacitive coupling of the RF power through various pedestal components.
  • RF power provided by RF power source 304 conducts through the coolant tube 238. Since the coolant tube 238 is copper plated, it readily conducts the RF power to the cooling plate 234 through the physical connection (e.g., brazed joint or the like).
  • the electrode (i.e., cooling plate) 234 being fabricated from a highly RF conducting material (e.g., copper or stainless steel), is capable of readily conducting the RF power from the coolant tube 238 to the bottom surface 302 of the electrostatic chuck 105.
  • the electrostatic chuck 105 is fabricated from a dielectric, or high resistance material, the RF power does not readily conduct through the body of the electrostatic chuck 105. However, the electrodes embedded within the puck body, i.e., heater electrode 222 and chucking electrode 224 allow the RF power to capacitively couple through the electrostatic chuck 105.
  • FIG. 4 depicts a schematic diagram of the electrical circuit that transfers the RF power to the backside of the workpiece.
  • RF power source 304 is connected to electrode (cooling plate) 234 via conductor 306 and coolant tube 238.
  • a first capacitance exists between the cooling plate 234 and the heater electrode 222.
  • the capacitance is a function of the thickness and dielectric constant of the puck body material between the plate 234 and heater electrode 222.
  • a second capacitance exists between the heater electrode 222 and the chucking electrodes 224.
  • a third capacitance exists between the chucking electrodes 224 and the backside of a workpiece 102 resting on the electrostatic chuck 105.
  • the third capacitance is a function of the thickness and dielectric constants of both the puck body material and the small gaps that may exist between the support surface 103 and the backside of the workpiece.
  • the workpiece is then electrically connected to ground to complete the circuit.
  • the connection to ground may be in a variety of forms including contact with a plasma, contact wire to ground, or the like.
  • Establishing a well defined path for the RF power to couple through is highly advantageous especially in systems using a plasma to process a workpiece.
  • the RF power couples directly through the cooling plate 234 and not through other pedestal components.
  • the likelihood of short circuiting the RF power source or encountering unnecessary power losses e.g., parasitic capacitances, is greatly reduced.
  • the pedestal 104 is insulated from the RF power and grounded, plasma ignition in the lower chamber region is not likely.
  • the subject apparatus allows for better RF coupling and cooling to the workpiece without adding undue complexity to the pedestal 104 or its inner components.
  • the apparatus is to be used in a low temperature processing environment, where cooling capabilities are not a great concern, one can easily see that the electrode taking the form of a metallic body brazed or otherwise attached to the electrostatic chuck can achieve the same biasing and RF power coupling as shown and described for the cooling plate embodiment.
  • the scope of the application should be limited to a cooling plate configuration, but to any type of external biasing member in contact with a ceramic substrate to bias a wafer retained thereon.

Abstract

Apparatus for biasing and retaining a wafer in a semiconductor wafer processing system. The apparatus has a pedestal, a puck and an electrode disposed between the pedestal and the puck. The pedestal defines an enclosure within which the electrode and other pedestal components are housed. The electrode may serve as a cooling plate for the puck. Each of the components is insulated so as to define an electrical path. The electrical path consists of a conductor connected to a coolant tube inside the enclosure and the cooling plate which is in contact with the coolant tube. The cooling plate is electrically isolated from the pedestal via an isolator ring that circumscribes an upper inner wall of the enclosure. The coolant tube is disposed in a channel on the bottomside of the cooling plate and affixed to the plate so as to establish physical contact. The coolant tube and plate conduct RF power from a power source connected to the tube. The power then capacitively couples through the electrostatic chuck to bias a wafer retained thereon. The cooling plate also provides for temperature control of the wafer via a plurality of grooves on the top surface of the plate.

Description

BACKGROUND OF THE INVENTION
1. Field of the Invention
The invention relates generally to plasma-enhanced processing of a workpiece, e.g., a semiconductor wafer, and, more specifically, to an apparatus for improving the biasing and retention of a workpiece upon a workpiece support in a semiconductor wafer processing system.
2. Description of the Background Art
Plasma-enhanced processes have become increasingly important to the semiconductor industry, providing for precisely controlled thin-film depositions. For example, a plasma reactor in a high-temperature physical vapor deposition (PVD) semiconductor wafer processing system generally comprises a reaction chamber for containing a reactant gas, a pair of spaced-apart electrodes (cathode and anode) that are driven by a high power DC voltage to generate an electric field within the chamber, and a workpiece support for supporting a workpiece within the chamber. The cathode is a conductive plate coated with a target material that is to be sputtered or deposited onto the workpiece, while the anode is typically a grounded chamber component. The electric field, creating a reaction zone, captures electrons thereby ionizing the reactant gas into a plasma. The plasma, characterized by a visible glow, is a mixture of positive and negative reactant gas ions and electrons. Ions from the plasma bombard the negatively biased target releasing electrically neutral deposition material. As such, a conductive deposition film forms on the workpiece which is supported and retained upon the surface of the workpiece support. In such plasma reactors, the workpiece support is fabricated from a dielectric material such as ceramic. However, at wafer processing temperatures (typically in the range of 200-600° C.) the resistivity of the ceramic is reduced and the workpiece support becomes more conductive. This characteristic is exploited to electrostatically clamp a workpiece, e.g., a semiconductor wafer, being processed to the surface of the workpiece support via the Johnson-Rahbek effect. A detailed explanation of the Johnson-Rahbek effect and an electrostatic chuck employing this feature is described in U.S. Pat. No. 5,463,526 issued Oct. 31, 1995 to Mundt herein incorporated by reference. This patent discloses a robust semiconducting layer covered by a thin insulating layer. When the semiconducting layer is biased, charges build up beneath the insulating layer. Since neither the semiconducting nor insulating layer is perfectly smooth, portions of the semiconducting layer are not totally covered by the insulating layer. As such, some of the charges pass from the semiconducting layer, through gaps in the insulating layer to workpiece retained by this electrostatic chuck. This charge migration phenomenon greatly increases the attractive force exerted on the workpiece.
To further enhance deposition in an ion metallization system, a specific type of PVD system, the substrate and pedestal are biased negatively with respect to the plasma. This is accomplished by providing RF power to the pedestal. A negative DC offset accumulates on the pedestal as a result of the higher velocity of electrons as compared to the positive ions in the plasma. In some processes, as neutral target material is sputtered from the target and enters the plasma, the target material becomes positively ionized. With the negative DC offset at the pedestal, the positively ionized target material is attracted to and deposits on the substrate more readily than on an unbiased pedestal. Ordinarily, a 400 KHz AC source is used to bias the pedestal, but other frequency sources such as a 13.56 MHz source may also be used.
Unfortunately, ceramic workpiece supports (also known as electrostatic chucks) cannot be used in RF enhanced PVD reaction chambers. The conductive nature of the ceramic at wafer processing conditions is beneficial to electrostatic chucking, but not to establishment of an effective RF induced electric field in the reaction zone. As such, other types of electrostatic chucks are used in RF enhanced chambers. For example, an electrostatic chuck consisting of a conductive layer, e.g., copper, encased in upper and lower insulating layers, e.g., polyimide, is adhered to a support surface of a pedestal. This type of electrostatic chuck is referred to as a Coulombic chuck since the mechanism of attraction of the workpiece to the support surface is a Coulombic force. Coulombic forces are usually weaker and do not retain a workpiece as well as electrostatic chucks employing the Johnson-Rahbek effect.
Consequently, there is a need for an apparatus that can electrostatically retain a workpiece with a ceramic chuck to take full advantage of the Johnson-Rahbek effect. Additionally, the apparatus must effectively bias the workpiece with RF power without compromising the integrity of the related RF electric field or the plasma in the processing chamber.
Therefore, there is a need in the art for an apparatus that optimally conducts RF power through a ceramic chuck.
SUMMARY OF THE INVENTION
The disadvantages heretofore associated with the prior art are overcome by an apparatus for biasing and retaining a wafer in a semiconductor wafer processing system. The inventive apparatus has a shaft, a pedestal base disposed above the shaft, a puck disposed above the pedestal base and an electrode disposed beneath the puck. The electrode is coupled to a biasing source. The shaft, pedestal base and puck define an enclosure within which the electrode and various other pedestal components are housed. Each of the components are insulated from each other and from the electrode so as to define a particular electrical path. Specifically, RF power conducts from a power source to a conductor to a coolant tube inside the enclosure. The electrode is a cooling plate in contact with the coolant tube and the puck.
The cooling plate is electrically isolated from the pedestal base via an isolator ring that circumscribes an upper inner wall of the enclosure. The ring is fabricated from an insulating material, e.g., ceramic, and is provided with a lip upon which the plate sits. The cooling plate is held in close contact with the bottom surface of the puck via springs in the isolator ring that impart a force against the floor of the enclosure and the cooling plate. The top surface of the cooling plate may be provided with grooves to reduce the surface area of the top surface to effect proper heat exchange conditions between the puck and the cooling plate. Additionally, the cooling plate is provided with a channel on its underside. The coolant tube is disposed in the channel and affixed i.e., brazed, to the cooling plate so as to establish physical contact.
In the above described configuration, a workpiece, i.e., a semiconductor wafer is positioned on a top surface of the puck, i.e., and electrostatic chuck for processing. The RF power applied by the power source conducts through the intended electrical path and capacitively couples through the dielectric material of the electrostatic chuck. As such, the wafer is electrically biased to a level that is favorable to wafer processing without biasing the pedestal or any of the components in the enclosure. Insulating pedestal components reduces the likelihood of RF power losses. Insulating the pedestal reduces the likelihood of arcing between the pedestal and other components in a wafer processing chamber.
BRIEF DESCRIPTION OF THE DRAWINGS
The teachings of the present invention can be readily understood by considering the following detailed description in conjunction with the accompanying drawings, in which:
FIG. 1 depicts a partial cross-sectional view of a workpiece processing chamber housing the present invention;
FIG. 2 depicts a partial perspective, cross-sectional view of an upper portion of the present invention;
FIG. 3 depicts a cross-sectional view of a lower portion of the present invention; and
FIG. 4 depicts a schematic representation of an electrical circuit established by the present invention.
FIG. 5 depicts a detailed view of the interconnection of various components within the present invention.
DETAILED DESCRIPTION
FIG. 1 depicts a cross-sectional view of a PVD processing chamber 100 for processing a workpiece 102, i.e., a semiconductor wafer in a workpiece processing system. For a detailed understanding of the PVD processing chamber 100 and its operation in processing a wafer, the reader should refer to the drawings and the detailed description contained in commonly assigned U.S. Pat. No. 5,228,501, issued Jul. 20, 1993 to tepman et al. incorporated herein by reference. That disclosure discloses a wafer support assembly used in a physical vapor deposition chamber manufactured by Applied Materials, Inc. of Santa Clara, Calif.
The wafer 102 is disposed on a novel apparatus 104 for retaining the wafer against a workpiece support and providing RF biasing to the wafer in a well-defined and insulated path that is internal to the apparatus. Specifically, the wafer 102 rests on support surface 103 of a pedestal assembly 104. The pedestal assembly 104 has a puck 105, i.e., an electrostatic chuck, supported by a pedestal base 106 and a shaft 126 which contains the necessary wiring to conduct DC and RF power from remote power sources 122 and 124 to the pedestal assembly 104. Although the puck 105 is discussed as being an electrostatic chuck, it need not be such a device. Alternately, the puck may be a heater used in a chemical vapor deposition (CVD) process system (i.e., having no electrostatic chucking capabilities) or any other type of general purpose workpiece support in a system requiring RF biasing of the workpiece. Additionally, the shaft 126 is provided with a gas conduit 142 to feed a gas through the pedestal assembly 104 to a process cavity 148 located directly above the pedestal assembly 104. Lift pins 110 mounted on a platform 112 connected to a vertical shaft 114 serve to lift the wafer 102 off the support surface 103 after processing.
A target 116 of sputtering or deposition material is positioned over the pedestal assembly 104. The target 116 is usually Aluminum or Titanium and is electrically insulated from the chamber 100. The remote power source 122 is preferably a high voltage DC power supply and is electrically connected between the target 116 and pedestal assembly 104 for magnetron sputtering a wafer. Additionally, an RF (radio frequency) voltage source 124 is coupled to the pedestal assembly 104 as explained in greater detail below. Waste ring 108, cover ring 138 and shield 150 circumscribe the pedestal assembly 104 to prevent unwanted deposition into the lower chamber region 140.
FIG. 2 depicts a partial perspective, cross-sectional view of pedestal assembly 104. Specifically, the pedestal assembly 104 has an electrostatic chuck 105 disposed on top of the pedestal base 106. The pedestal base 106 is usually fabricated from a durable material, e.g., nickel, an alloy or a similar metallic material. In a preferred embodiment of the invention, the pedestal base is KOVAR®. KOVAR® is the tradename for an iron/nickel/cobalt alloy manufactured and sold by Westinghouse Electric Co. The electrostatic chuck 105 can be fabricated from a dielectric material e.g., a ceramic such as silicon oxide, silicon nitride, sapphire or the like and is shaped as a thin circular puck. The chuck 105 is attached to the pedestal base 106 via a retaining ring 206. For example, retaining ring 206 is a ceramic ring that is brazed to electrostatic chuck 105 and an upper inner wall 250 of the pedestal base 106. Additionally, the upper inner wall 250 and a bottom surface 202 of the electrostatic chuck 105 are brazed for additional strength. An example of a ceramic electrostatic chuck that may be used in this apparatus is disclosed in commonly assigned U.S. Pat. No. 5,656,093 issued Aug. 12, 1997 to Burkhart and is incorporated by reference herein. Specifically, that reference discloses a ceramic electrostatic chuck having a wafer spacing mask of metallic material deposited on the chuck surface.
Within the body of the electrostatic chuck 105 there are various conducting elements that assist in workpiece processing. For example, a heater electrode 222 may be buried within layers of the electrostatic chuck 105. The heater electrode 222 is fabricated from a conductive material, (e.g., tungsten) and is connected to a remote power source (not shown). In this way, the heater electrode 222 generates heat which is transferred to the workpiece on top of the electrostatic chuck 105 to maintain the workpiece at proper processing temperatures. Additionally, the electrostatic chuck 105 may be provided with one or more chucking electrodes 224. The chucking electrodes 224 are also fabricated from a conductive material, (e.g., tungsten), but not necessarily the same material from which the heater electrode 222 is fabricated. The chucking electrodes 224 are disposed relatively closely to the top surface 103 of the electrostatic chuck 105. The chucking electrodes 224 are also connected to a remote power source, i.e. the high voltage DC power supply 122 of FIG. 1. In this way, the chucking electrodes 224 provide the necessary electrostatic force to the backside of a workpiece to retain it on the electrostatic chuck 105. The chucking electrodes 224 may be in any configuration necessary to retain the workpiece upon the puck. For example, the chucking electrodes 224 may be in a monopolar configuration, bipolar configuration, zoned chucking configuration or the like. Additionally, the power source which energizes the chucking electrodes 224 may also be the power source for the heater electrode 222.
The pedestal assembly 104 defines an enclosure 208 in which various components are housed to aid in the processing of a semiconductor wafer (not shown) placed upon the top surface 103 of the electrostatic chuck 105. Specifically, the enclosure 208 is defined by a lower inner wall 230 of the shaft 126, floor 254 of the pedestal base 106, upper inner wall 250 of the pedestal base 106 and a bottom surface 202 of the electrostatic chuck 105. The gas conduit 142 extends vertically from the bottom of the shaft 126 to the bottom surface 202 of the electrostatic chuck 105 to allow a heat transfer gas to be pumped under the wafer during processing. A detailed depiction of the gas conduit 142 interfacing with the bottom surface 202 of the electrostatic chuck 105 is seen in FIG. 5 and the reader should simulataneously refer to FIGS. 2 and 5 for a complete description. A top end 502 of the gas conduit 142 is connected to a bottom end 504 of a transition tube 506. A top end 508 of the transition tube 506 is connected to a flanged transition 510 that communicates with an opening 210 provided in the electrostatic chuck 105. Preferably transition tube 506 is 0.25 in long, metallic, welded to the gas conduit 142 and brazed to the flanged transition 510. The flanged transition 510 is preferably KOVAR® and is brazed to the bottom surface 202 of the electrostatic chuck 105 and a gas conduit backup ring 258 (explained in greater detail below). The flanged transition 510 prevents the heat transfer gas from leaking into the enclosure 208 which may reduce control of the wafer temperature. Additionally, the flanged transition 510 prevents atmospheric gases and pressure gradients from being introduced to the backside of the wafer which may cause process anomalies. The heat transfer gas is pumped from one or more remote sources 130 and 134 of FIG. 1 which is connected to a second end of the gas conduit 142 via a flow regulator 144 and one or more valves 132 and 136 of FIG. 1. Additionally, the gas conduit 142 is provided with an isolator 212. The isolator 212 is fabricated from an insulating material. Preferably, the isolator 212 is a ceramic sleeve which prevents the gas conduit 142 from contacting any other components inside the enclosure 208.
The enclosure 208 is further provided with thermocouples 214 and 216 to monitor internal temperature of the pedestal, i.e., the chuck surface. Specifically, the thermocouples 214 and 216 are contained within counterbores 512 and 514 respectively in the gas conduit backup ring 258 (see FIG. 5). The thermocouples extend vertically therefrom to the bottom of the shaft 126 where they are connected to temperature measuring equipment (not shown). Thermocouples 214 and 216 are provided with isolators 218 and 220 respectively. Preferably, isolators 218 and 220 are ceramic sleeves that prevent each of the thermocouples 214 and 216 from contacting any other components inside the enclosure. Therefore, the gas conduit 142 and thermocouples 214 and 216 are insulated from all electrically conductive components such as heater electrode 222, chucking electrodes 224 and RF conducting components such as a cooling plate 234 and coolant tube 238 described in greater detail below. In an alternate embodiment of the invention, the thermocouples are mounted directly into the bottom surface 202 of the pedestal 105.
To further enhance the electrical isolation of the pedestal assembly 104, the pedestal base 106 and shaft 126 are grounded to prevent plasma ignition in the lower chamber region 140. These components are also further provided with a bellows isolator 226 and bellows thermocouple mount 228. The bellows isolator 226 is preferably fabricated from an insulating material, such as ceramic. Further, the bellows isolator 226 is in the form of a sleeve which insulates the lower inner wall 230 of the shaft 126 from all other components inside the enclosure 208. The bellows isolator 226 vertically extends from the bottom of the shaft 126 to the enclosure 208 and forms a lip 232 over floor 254 to support the bellows thermocouple mount 228. The bellows thermocouple mount 228 provides additional electrical isolation of the thermocouples 214 and 216 and gas conduit 142 within the enclosure 208. Although, the bellows thermocouple mount 228 is shown with two thermocouples mounted, the thermocouple mount 228 can be designed and configured to accept any number of thermocouples, i.e., at least one. Additionally, the thermocouple mount 228, bellows insulator 226 and/or similar integrated structures for mounting a thermocouple can be used in different pedestal and electrostatic chuck configurations.
The enclosure 208 contains further components which assist in biasing of the workpiece (wafer) 102 and optionally temperature control of the workpiece retained upon the electrostatic chuck 105. An electrode 234 is disposed between the electrostatic chuck 105 and the pedestal 104. Specifically, the electrode 234 is disposed directly below the electrostatic chuck 105 inside the enclosure 208. Preferably, the electrode 234 is fabricated from a material that is a high conductor of RF power. In a preferred embodiment of the invention, the electrode has the form of a cooling plate 234 fabricated of a block of copper or stainless steel that is machined to a high degree of precision so that it fits and communicates with other enclosure components as described below. The cooling plate 234 has a top surface 235. The top surface may have a plurality of grooves 236. The grooves 236 reduce the surface area of the top surface 235 of the cooling plate 234 that is in contact with the electrostatic chuck 105 such that the amount of heat extracted from the chuck (and wafer) is moderated. The size and number of the grooves 236 may be of any dimension, amount or configuration so as to achieve the desired cooling effect of the plate 234. Additionally, the cooling plate 234 may be plated to prevent oxidation of the cooling plate material. Preferably, the plating material is nickel.
A coolant tube 238 is disposed in a channel 240 on a bottom 256 of the plate 234. The coolant tube 238 circumscribes nearly the entire bottom 256 of the cooling plate 234 and extends down the shaft 126 within the bellows isolator 226. In a preferred embodiment of the invention, the coolant tube 238 is fashioned from an RF conductive material such as stainless steel. Additionally, the coolant tube 238 may have a plurality of coatings or platings. For example, a copper plating improves the RF conductivity of the coolant tube and a nickel plating prevents oxidation of the stainless steel and copper. The coolant tube 238 is affixed to the channel 240 by any means known to establish and maintain physical contact between the coolant tube 238 and the plate 234. Specifically, the coolant tube 238 is brazed to the channel 240 but this does not preclude affixing the coolant tube to the cooling plate 234 by other means.
The cooling plate 234 is maintained in close physical contact with the bottom 202 of the electrostatic chuck 105 via an insulator 242. The insulator 242 is preferably an isolator ring fabricated from a dielectric material, e.g., ceramic and circumscribes but not necessarily touches the upper inner wall 250. The isolator ring 242 is further provided with a lip 252 upon which the cooling plate 234 rests. To maintain the cooling plate 234 in close physical contact with the bottom 202 of the electrostatic chuck 105, the isolator 242 is tensioned. Specifically, the isolator ring 242 defines one or more recesses 244. Within each recess 244 a spring 246 is disposed. The springs 246 bear upon the floor 254 of the enclosure 208 and the isolator ring 242. The resultant force from the springs 246 maintain the cooling plate 234 in close physical contact with the bottom 202 of the electrostatic chuck 105. Since the isolator ring 242 is fabricated from a dielectric material, it does not form a highly conductive pathway between the cooling plate 234, the pedestal base 106 and other components in the enclosure 208. If the electrode 234 functions solely as an electrode and is not used for other tasks (i.e., cooling), it may be secured to the bottom 202 of the electrostatic chuck 105 by any standard form of mechanical contact such as brazing.
FIG. 3 depicts a schematic cross-sectional view of the bottom portion of the shaft 126. The coolant tube 238 extends down through the enclosure 208 and shaft 126 and is provided with barbed ends (not shown). The barbed ends easily catch and are connected to coolant lines 302 of electrically insulating material (e.g., rubber). The coolant lines 302 are further coupled to a remote cooling source (not shown) to provide a forward and return path for a coolant (i.e., water) to the cooling plate 234. Additionally, the coolant tube 238 is electrically connected to an RF power source 304 via conductor 306. The pedestal base 106 and shaft 126 are electrically connected to ground. If the electrode 234 functions solely as an electrode and is not used for other tasks (i.e., cooling), it may be electrically connected to the RF power source 304 by any standard connection such as a wire lead, shielded cable or the like.
In operation, a wafer 102 is placed on the support surface 103 of the electrostatic chuck 105. Air is drawn out of the chamber 100 via a vacuum pump 128 to create a low pressure environment (i.e. 1 mTorr to 5 Torr). A reactant gas, preferably Argon, is introduced into the chamber 100 from one of the remote gas sources 130 or 134. The power supply 122 is energized which electrostatically clamps the wafer 102 to the support surface 103. Specifically, the power supply 122 applies a DC bias to the chucking electrodes 224. The high voltage level produced by the power supply 122 also ignites the gas into a plasma and biases the target 116 thereby causing the target material to sputter onto the wafer 102.
The apparatus described above provides for enhanced plasma processing by RF biasing the wafer 102 placed on top of the electrostatic chuck 105 via conductive and capacitive coupling of the RF power through various pedestal components. Specifically, RF power provided by RF power source 304 conducts through the coolant tube 238. Since the coolant tube 238 is copper plated, it readily conducts the RF power to the cooling plate 234 through the physical connection (e.g., brazed joint or the like). The electrode (i.e., cooling plate) 234, being fabricated from a highly RF conducting material (e.g., copper or stainless steel), is capable of readily conducting the RF power from the coolant tube 238 to the bottom surface 302 of the electrostatic chuck 105. Since the electrostatic chuck 105 is fabricated from a dielectric, or high resistance material, the RF power does not readily conduct through the body of the electrostatic chuck 105. However, the electrodes embedded within the puck body, i.e., heater electrode 222 and chucking electrode 224 allow the RF power to capacitively couple through the electrostatic chuck 105.
FIG. 4 depicts a schematic diagram of the electrical circuit that transfers the RF power to the backside of the workpiece. Specifically, RF power source 304 is connected to electrode (cooling plate) 234 via conductor 306 and coolant tube 238. A first capacitance exists between the cooling plate 234 and the heater electrode 222. The capacitance is a function of the thickness and dielectric constant of the puck body material between the plate 234 and heater electrode 222. Similarly, a second capacitance exists between the heater electrode 222 and the chucking electrodes 224. In similar fashion, a third capacitance exists between the chucking electrodes 224 and the backside of a workpiece 102 resting on the electrostatic chuck 105. The third capacitance is a function of the thickness and dielectric constants of both the puck body material and the small gaps that may exist between the support surface 103 and the backside of the workpiece. The workpiece is then electrically connected to ground to complete the circuit. The connection to ground may be in a variety of forms including contact with a plasma, contact wire to ground, or the like.
Establishing a well defined path for the RF power to couple through is highly advantageous especially in systems using a plasma to process a workpiece. Specifically, with the insulator ring 242 inside the enclosure 208, the RF power couples directly through the cooling plate 234 and not through other pedestal components. As such, the likelihood of short circuiting the RF power source or encountering unnecessary power losses e.g., parasitic capacitances, is greatly reduced. Additionally, since the pedestal 104 is insulated from the RF power and grounded, plasma ignition in the lower chamber region is not likely. The subject apparatus allows for better RF coupling and cooling to the workpiece without adding undue complexity to the pedestal 104 or its inner components. Alternately, if the apparatus is to be used in a low temperature processing environment, where cooling capabilities are not a great concern, one can easily see that the electrode taking the form of a metallic body brazed or otherwise attached to the electrostatic chuck can achieve the same biasing and RF power coupling as shown and described for the cooling plate embodiment. As such, the scope of the application should be limited to a cooling plate configuration, but to any type of external biasing member in contact with a ceramic substrate to bias a wafer retained thereon.
Although various embodiments which incorporate the teachings of the present invention have been shown and described in detail herein, those skilled in the art can readily devise many other varied embodiments that still incorporate these teachings.

Claims (24)

What is claimed is:
1. Apparatus for biasing a workpiece upon a workpiece support comprising:
a pedestal base;
a shaft disposed below the pedestal base;
a puck disposed above the pedestal base;
an enclosure defined by a lower inner wall of the shaft, a floor of the pedestal base, an upper inner wall of the pedestal base and a bottom surface of the puck; and
an electrode positioned within the enclosure to electrically bias the workpiece.
2. The apparatus of claim 1 wherein the enclosure is provided with an insulator to electrically isolate the electrode from the pedestal base.
3. The apparatus of claim 2 wherein the insulator is an isolator ring fabricated from a dielectric material and is proximate the upper inner wall of the pedestal base.
4. The apparatus of claim 3 wherein the electrode is disposed on a lip of the isolator ring.
5. The apparatus of claim 4 wherein the isolator ring further defines one or more recesses, each recess having a spring disposed therein for maintaining tensioned contact between the electrode and the puck.
6. The apparatus of claim 5 wherein the isolator ring is ceramic.
7. The apparatus of claim 2 further comprising one or more isolators wherein each isolator encases a thermocouple or pipe located within the enclosure.
8. The apparatus of claim 2 further comprising a bellows isolator and a bellows thermocouple mount fabricated from an insulating material.
9. The apparatus of claim 8 wherein the bellows isolator is a cylindrical sleeve disposed against the lower inner wall of the shaft and the bellows thermocouple mount is ring shaped and disposed above the bellows isolator.
10. The apparatus of claim 9 wherein the bellows isolator and bellows thermocouple mount are ceramic.
11. The apparatus of claim 2 wherein the electrode electrically biases the workpiece via connection to an RF power source.
12. The apparatus of claim 11 wherein the electrode is a cooling plate and the connection of the cooling plate to the RF power source is a coolant tube fabricated of an RF conducting material and is disposed in a channel in a bottom of the cooling plate.
13. The apparatus of claim 12 wherein the coolant tube is stainless steel.
14. The apparatus of claim 13 wherein the coolant tube further has a plurality of platings.
15. The apparatus of claim 14 wherein one of the plurality of platings is copper and another of the plurality of platings is nickel.
16. The apparatus of claim 12 wherein the coolant tube circumscribes nearly the entire bottom of the cooling plate, extends to the bottom of the pedestal base and is connected to a coolant source via electrically insulating coolant lines.
17. The apparatus of claim 1 wherein the electrode is brazed to the puck.
18. The apparatus of claim 16 wherein the plate has a plurality of grooves in a top surface to extract heat from the puck.
19. The apparatus of claim 18 wherein the plurality is three.
20. The apparatus of claim 2 wherein the puck is an electrostatic chuck.
21. Apparatus for biasing and retaining a workpiece upon a workpiece support in a workpiece processing system comprising:
a shaft;
a pedestal base disposed above the shaft;
an electrostatic chuck disposed above the pedestal base;
a cooling plate disposed beneath said chuck, coupled to a biasing source, having three grooves in a top surface and a channel in a bottom having a stainless steel coolant tube with copper and nickel platings disposed therein, the coolant tube circumscribing nearly the entire bottom of the cooling plate, extending to and having electrically insulating fittings at the bottom of the pedestal base, and
an enclosure defined by a lower inner wall of the shaft, a floor of the pedestal base, an upper inner wall of the pedestal base and a bottom surface of the electrostatic chuck wherein the enclosure is provided with;
a ceramic isolator ring circumscribing the upper inner wall of the pedestal base to electrically isolate the cooling plate from the pedestal base, the isolator ring having a lip upon which the cooling plate is disposed and defining one or more recesses, each of the recesses having a spring disposed therein for maintaining tensioned contact between the cooling plate and the electrostatic chuck,
one or more isolators, each isolator encasing a thermocouple or pipe located within the enclosure,
a ceramic cylindrical sleeve bellows isolator disposed against the lower inner wall of the shaft, and
a ceramic ring shaped bellows thermocouple mount disposed above the bellows isolator.
22. The apparatus of claim 1, wherein the top surface of the electrode is biased in contact with the puck.
23. Apparatus for biasing and retaining a workpiece upon a workpiece support comprising:
a pedestal base;
a shaft disposed below the pedestal base;
a puck disposed above the pedestal base to support and retain the workpiece;
an enclosure defined by a lower inner wall of the shaft, a floor of the pedestal base, an upper inner wall of the pedestal base and a bottom surface of the puck, wherein the enclosure is provided with an insulator to electrically isolate the electrode from the pedestal base, wherein the insulator is an isolator ring fabricated from a dielectric material and is proximate the upper inner wall of the pedestal base; and
an electrode disposed beneath said puck to electrically bias the workpiece, wherein the electrode is disposed on a lip of the isolator ring, wherein the isolator ring further defines one or more recesses, each recess having a spring disposed therein for maintaining tensioned contact between the electrode and the puck.
24. The apparatus of claim 1 wherein the electrode has at least one groove in a top surface, the at least one groove is configured to provide a desired heat transfer between the electrode and the puck.
US09/071,784 1998-05-01 1998-05-01 Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system Expired - Fee Related US6081414A (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
US09/071,784 US6081414A (en) 1998-05-01 1998-05-01 Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system
TW088105887A TW475912B (en) 1998-05-01 1999-04-13 Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system
KR1020007012101A KR20010043180A (en) 1998-05-01 1999-04-22 Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system
PCT/US1999/008871 WO1999057753A1 (en) 1998-05-01 1999-04-22 Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system
EP99921447A EP1075707A1 (en) 1998-05-01 1999-04-22 Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system
JP2000547647A JP2002514010A (en) 1998-05-01 1999-04-22 Device for biasing and holding workpieces in workpiece processing systems

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/071,784 US6081414A (en) 1998-05-01 1998-05-01 Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system

Publications (1)

Publication Number Publication Date
US6081414A true US6081414A (en) 2000-06-27

Family

ID=22103578

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/071,784 Expired - Fee Related US6081414A (en) 1998-05-01 1998-05-01 Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system

Country Status (6)

Country Link
US (1) US6081414A (en)
EP (1) EP1075707A1 (en)
JP (1) JP2002514010A (en)
KR (1) KR20010043180A (en)
TW (1) TW475912B (en)
WO (1) WO1999057753A1 (en)

Cited By (185)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001084619A3 (en) * 2000-05-04 2002-04-04 Applied Materials Inc Method of depositing low stress films
DE10056257A1 (en) * 2000-10-13 2002-05-29 Promos Technologies Inc Sputtering process used for cleaning a substrate e.g. a wafer comprises using a platform made from a conducting metal placed into an outer shell of a vacuum chamber
US6397775B1 (en) * 1998-10-16 2002-06-04 Canon Kabushiki Kaisha Deposited film forming system and process
WO2004015736A2 (en) * 2002-08-12 2004-02-19 Applied Materials, Inc. Low loss rf bias electrode for a plasma reactor with enhanced wafer edge rf coupling and highly efficient wafer cooling
US20040040664A1 (en) * 2002-06-03 2004-03-04 Yang Jang Gyoo Cathode pedestal for a plasma etch reactor
US20040173161A1 (en) * 2003-01-17 2004-09-09 General Electric Company Wafer handling apparatus and method of manufacturing thereof
US20040190215A1 (en) * 1996-04-26 2004-09-30 Applied Materials, Inc. Electrostatic chuck having dielectric member with stacked layers and manufacture
US20040226515A1 (en) * 2003-05-16 2004-11-18 Applied Materials, Inc. Heat transfer assembly
US20040244945A1 (en) * 2003-06-04 2004-12-09 Samsung Electronics Co., Ltd. Cooling apparatus for wafer baking plate
US20040246309A1 (en) * 2003-04-07 2004-12-09 Nobuko Watanabe Table device, film-forming apparatus, optical element, semiconductor element, and electric apparatus
US20050045104A1 (en) * 2003-09-03 2005-03-03 Masatsugu Arai Plasma processing apparatus
DE10339997A1 (en) * 2003-08-29 2005-03-31 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Substrate or carrier for a wafer for semiconductor technology and manufacturing microelectronic circuits having two insulated activation electrodes
US20050087939A1 (en) * 2003-10-24 2005-04-28 International Business Machines Corporation Adaptive electrostatic pin chuck
US20050146277A1 (en) * 2002-04-11 2005-07-07 Tokyo Electron Limited Method for suppressing charging of component in vacuum processing chamber of plasma processing system and plasma processing system
US6922324B1 (en) * 2000-07-10 2005-07-26 Christopher M. Horwitz Remote powering of electrostatic chucks
US6920915B1 (en) * 1999-10-02 2005-07-26 Taiwan Semiconductor Manufacturing Co., Ltd Apparatus and method for cooling a semiconductor substrate
US20050191827A1 (en) * 2000-08-11 2005-09-01 Collins Kenneth S. Plasma immersion ion implantation process
US6951587B1 (en) * 1999-12-01 2005-10-04 Tokyo Electron Limited Ceramic heater system and substrate processing apparatus having the same installed therein
US20050219786A1 (en) * 2004-03-31 2005-10-06 Applied Materials, Inc. Detachable electrostatic chuck
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US6967177B1 (en) * 2000-09-27 2005-11-22 Lsi Logic Corporation Temperature control system
US20060043065A1 (en) * 2004-08-26 2006-03-02 Applied Materials, Inc. Gasless high voltage high contact force wafer contact-cooling electrostatic chuck
US20060081558A1 (en) * 2000-08-11 2006-04-20 Applied Materials, Inc. Plasma immersion ion implantation process
US20060133004A1 (en) * 2004-12-22 2006-06-22 Waichi Yamamura Electrostatic chuck with built-in heater
US20080089001A1 (en) * 2006-10-13 2008-04-17 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
US20090091341A1 (en) * 2007-10-05 2009-04-09 Lam Research Corporation Electrode for Use in Measuring Dielectric Properties of Parts
US20100013626A1 (en) * 2008-07-15 2010-01-21 Applied Materials, Inc. Substrate lift pin sensor
US20100039747A1 (en) * 2008-08-12 2010-02-18 Applied Materials, Inc. Electrostatic chuck assembly
US20100265631A1 (en) * 2009-04-16 2010-10-21 Varian Semiconductor Equipment Associates, Inc. Removal of charge between a substrate and an electrostatic clamp
US7973539B1 (en) 2007-10-05 2011-07-05 Lam Research Corporation Methods for measuring dielectric properties of parts
US20120006492A1 (en) * 2001-07-10 2012-01-12 Tokyo Electron Limited Plasma processor and plasma processing method
WO2012054689A2 (en) * 2010-10-22 2012-04-26 Applied Materials, Inc. Substrate support with symmetrical feed structure
US20120160807A1 (en) * 2010-12-28 2012-06-28 Spansion Llc System, method and apparatus for reducing plasma noise on power path of electrostatic chuck
US20120285619A1 (en) * 2006-04-27 2012-11-15 Alexander Matyushkin Electrostatic chuck having a plurality of heater coils
WO2012158528A2 (en) * 2011-05-13 2012-11-22 Novellus Systems, Inc. High temperature electrostatic chuck with radial thermal chokes
US8320099B2 (en) * 2008-09-05 2012-11-27 Applied Materials, Inc. Electrostatic chuck electrical balancing circuit repair
US20130126098A1 (en) * 2011-11-18 2013-05-23 LuxVue Technology Corporation Micro device transfer head heater assembly and method of transferring a micro device
TWI401770B (en) * 2009-02-18 2013-07-11 Ulvac Inc A wafer transfer tray, and a method for fixing the wafer to the tray
US8794501B2 (en) 2011-11-18 2014-08-05 LuxVue Technology Corporation Method of transferring a light emitting diode
WO2014149678A1 (en) * 2013-03-15 2014-09-25 Applied Materials, Inc. Substrate support chuck cooling for deposition chamber
US8861170B2 (en) 2009-05-15 2014-10-14 Entegris, Inc. Electrostatic chuck with photo-patternable soft protrusion contact surface
JP2014196563A (en) * 2007-01-29 2014-10-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Treatment kit for substrate treatment chamber
US8879233B2 (en) 2009-05-15 2014-11-04 Entegris, Inc. Electrostatic chuck with polymer protrusions
US9025305B2 (en) 2010-05-28 2015-05-05 Entegris, Inc. High surface resistivity electrostatic chuck
WO2015175339A1 (en) * 2014-05-16 2015-11-19 Applied Materials, Inc. Pedestal fluid-based thermal control
US9318475B2 (en) 2014-05-15 2016-04-19 LuxVue Technology Corporation Flexible display and method of formation with sacrificial release layer
US9367094B2 (en) 2013-12-17 2016-06-14 Apple Inc. Display module and system applications
US20160225652A1 (en) * 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9425151B2 (en) 2014-06-17 2016-08-23 Apple Inc. Compliant electrostatic transfer head with spring support layer
US9450147B2 (en) 2013-12-27 2016-09-20 Apple Inc. LED with internally confined current injection area
US9472417B2 (en) 2013-11-12 2016-10-18 Applied Materials, Inc. Plasma-free metal etch
US9472412B2 (en) 2013-12-02 2016-10-18 Applied Materials, Inc. Procedure for etch rate consistency
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9478583B2 (en) 2014-12-08 2016-10-25 Apple Inc. Wearable display having an array of LEDs on a conformable silicon substrate
US9478432B2 (en) 2014-09-25 2016-10-25 Applied Materials, Inc. Silicon oxide selective removal
US20160329230A1 (en) * 2013-03-15 2016-11-10 Applied Materials, Inc. Methods and apparatus for electrostatic chuck repair and refurbishment
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9522468B2 (en) 2014-05-08 2016-12-20 Apple Inc. Mass transfer tool manipulator assembly with remote center of compliance
US9543187B2 (en) 2008-05-19 2017-01-10 Entegris, Inc. Electrostatic chuck
US9548232B2 (en) 2012-06-20 2017-01-17 Tokyo Ohka Kogyo Co., Ltd. Attaching apparatus
US9548332B2 (en) 2012-04-27 2017-01-17 Apple Inc. Method of forming a micro LED device with self-aligned metallization stack
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9564296B2 (en) 2014-03-20 2017-02-07 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9570002B2 (en) 2014-06-17 2017-02-14 Apple Inc. Interactive display panel with IR diodes
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9583533B2 (en) 2014-03-13 2017-02-28 Apple Inc. LED device with embedded nanowire LEDs
US9583466B2 (en) 2013-12-27 2017-02-28 Apple Inc. Etch removal of current distribution layer for LED current confinement
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
US9620478B2 (en) 2011-11-18 2017-04-11 Apple Inc. Method of fabricating a micro device transfer head
US9624100B2 (en) 2014-06-12 2017-04-18 Apple Inc. Micro pick up array pivot mount with integrated strain sensing elements
US9659792B2 (en) 2013-03-15 2017-05-23 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
CN106716608A (en) * 2014-08-01 2017-05-24 应用材料公司 Wafer carrier with independent isolated heater zones
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9705432B2 (en) 2014-09-30 2017-07-11 Apple Inc. Micro pick up array pivot mount design for strain amplification
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9741286B2 (en) 2014-06-03 2017-08-22 Apple Inc. Interactive display panel with emitting and sensing diodes
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9754800B2 (en) 2010-05-27 2017-09-05 Applied Materials, Inc. Selective etch for silicon films
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9805963B2 (en) 2015-10-05 2017-10-31 Lam Research Corporation Electrostatic chuck with thermal choke
US9828244B2 (en) 2014-09-30 2017-11-28 Apple Inc. Compliant electrostatic transfer head with defined cavity
US9831383B2 (en) 2011-11-18 2017-11-28 Apple Inc. LED array
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
WO2018209041A3 (en) * 2017-05-12 2018-12-13 Lam Research Corporation Temperature-tuned substrate support for substrate processing systems
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
WO2019118320A1 (en) * 2017-12-11 2019-06-20 Applied Materials, Inc. Cryogenically cooled rotatable electrostatic chuck
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10381176B2 (en) 2013-06-12 2019-08-13 Rohinni, LLC Keyboard backlighting with deposited light-generating sources
WO2019157023A1 (en) * 2018-02-09 2019-08-15 Applied Materials, Inc. Semiconductor processing apparatus having improved temperature control
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10546768B2 (en) 2015-02-25 2020-01-28 Corning Incorporated Apparatus and method to electrostatically chuck substrates to a moving carrier
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629393B2 (en) 2016-01-15 2020-04-21 Rohinni, LLC Apparatus and method of backlighting through a cover on the apparatus
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10811301B2 (en) * 2015-02-09 2020-10-20 Applied Materials, Inc. Dual-zone heater for plasma processing
WO2020222939A1 (en) * 2019-04-30 2020-11-05 Applied Materials, Inc. Low temperature biasable substrate support
CN111987031A (en) * 2019-05-23 2020-11-24 北京北方华创微电子装备有限公司 Chuck device and semiconductor device
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US20210087671A1 (en) * 2014-09-11 2021-03-25 Massachusetts Institute Of Technology Processing System For Small Substrates
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
CN113053713A (en) * 2019-12-26 2021-06-29 中微半导体设备(上海)股份有限公司 Plasma processing apparatus
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US20210333715A1 (en) * 2018-03-20 2021-10-28 Lam Research Corporation Protective coating for electrostatic chucks
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US20220223427A1 (en) * 2018-11-05 2022-07-14 Tokyo Electron Limited Plasma processing apparatus and system
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US20220319896A1 (en) * 2021-04-02 2022-10-06 Applied Materials, Inc. Rotating biasable pedestal and electrostatic chuck in semiconductor process chamber
US20220349050A1 (en) * 2021-04-30 2022-11-03 Applied Materials, Inc. Method and apparatus with high conductance components for chamber cleaning
US11651937B2 (en) * 2018-05-02 2023-05-16 Fyzikalini Ustav Av Cr, V.V.I. Method of low-temperature plasma generation, method of an electrically conductive or ferromagnetic tube coating using pulsed plasma and corresponding devices
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US11817341B2 (en) 2017-06-02 2023-11-14 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US11887811B2 (en) 2020-09-08 2024-01-30 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8709162B2 (en) * 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
JP5660753B2 (en) * 2007-07-13 2015-01-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated High temperature cathode for plasma etching
US8139340B2 (en) * 2009-01-20 2012-03-20 Plasma-Therm Llc Conductive seal ring electrostatic chuck
JP5262878B2 (en) * 2009-03-17 2013-08-14 東京エレクトロン株式会社 Mounting table structure and plasma deposition apparatus
US20120154974A1 (en) * 2010-12-16 2012-06-21 Applied Materials, Inc. High efficiency electrostatic chuck assembly for semiconductor wafer processing
US8971009B2 (en) * 2011-09-30 2015-03-03 Applied Materials, Inc. Electrostatic chuck with temperature control
JP5942380B2 (en) * 2011-10-20 2016-06-29 住友電気工業株式会社 Wafer holder for semiconductor manufacturing equipment
JP6100564B2 (en) * 2013-01-24 2017-03-22 東京エレクトロン株式会社 Substrate processing apparatus and mounting table
US9853579B2 (en) * 2013-12-18 2017-12-26 Applied Materials, Inc. Rotatable heated electrostatic chuck
US10410900B2 (en) * 2016-08-05 2019-09-10 Applied Materials, Inc. Precision screen printing with sub-micron uniformity of metallization materials on green sheet ceramic
US10784139B2 (en) * 2016-12-16 2020-09-22 Applied Materials, Inc. Rotatable electrostatic chuck having backside gas supply

Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5147497A (en) * 1990-07-20 1992-09-15 Tokyo Electron Limited Plasma apparatus, and method and system for extracting electrical signal of member to which high-frequency wave is applied
US5155652A (en) * 1991-05-02 1992-10-13 International Business Machines Corporation Temperature cycling ceramic electrostatic chuck
GB2256084A (en) * 1991-05-13 1992-11-25 Integrated Plasma Ltd Plasma deposition and etching of substrates.
US5191506A (en) * 1991-05-02 1993-03-02 International Business Machines Corporation Ceramic electrostatic chuck
US5228501A (en) * 1986-12-19 1993-07-20 Applied Materials, Inc. Physical vapor deposition clamping mechanism and heater/cooler
US5250137A (en) * 1990-07-20 1993-10-05 Tokyo Electron Limited Plasma treating apparatus
US5310453A (en) * 1992-02-13 1994-05-10 Tokyo Electron Yamanashi Limited Plasma process method using an electrostatic chuck
US5376213A (en) * 1992-07-28 1994-12-27 Tokyo Electron Limited Plasma processing apparatus
WO1995020838A1 (en) * 1994-01-31 1995-08-03 Applied Materials, Inc. Electrostatic chuck with conformal insulator film
US5463526A (en) * 1994-01-21 1995-10-31 Lam Research Corporation Hybrid electrostatic chuck
EP0693770A1 (en) * 1994-07-18 1996-01-24 Applied Materials, Inc. Electrostatic chuck for magnetic flux processing
US5567267A (en) * 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
US5571366A (en) * 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5581874A (en) * 1994-03-28 1996-12-10 Tokyo Electron Limited Method of forming a bonding portion
US5584971A (en) * 1993-07-02 1996-12-17 Tokyo Electron Limited Treatment apparatus control method
US5625526A (en) * 1993-06-01 1997-04-29 Tokyo Electron Limited Electrostatic chuck
US5656093A (en) * 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
EP0827187A2 (en) * 1996-08-26 1998-03-04 Applied Materials, Inc. Method and apparatus for cooling a workpiece using an electrostatic chuck

Patent Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5228501A (en) * 1986-12-19 1993-07-20 Applied Materials, Inc. Physical vapor deposition clamping mechanism and heater/cooler
US5250137A (en) * 1990-07-20 1993-10-05 Tokyo Electron Limited Plasma treating apparatus
US5147497A (en) * 1990-07-20 1992-09-15 Tokyo Electron Limited Plasma apparatus, and method and system for extracting electrical signal of member to which high-frequency wave is applied
US5155652A (en) * 1991-05-02 1992-10-13 International Business Machines Corporation Temperature cycling ceramic electrostatic chuck
US5191506A (en) * 1991-05-02 1993-03-02 International Business Machines Corporation Ceramic electrostatic chuck
GB2256084A (en) * 1991-05-13 1992-11-25 Integrated Plasma Ltd Plasma deposition and etching of substrates.
US5310453A (en) * 1992-02-13 1994-05-10 Tokyo Electron Yamanashi Limited Plasma process method using an electrostatic chuck
US5376213A (en) * 1992-07-28 1994-12-27 Tokyo Electron Limited Plasma processing apparatus
US5567267A (en) * 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
US5625526A (en) * 1993-06-01 1997-04-29 Tokyo Electron Limited Electrostatic chuck
US5584971A (en) * 1993-07-02 1996-12-17 Tokyo Electron Limited Treatment apparatus control method
US5571366A (en) * 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5463526A (en) * 1994-01-21 1995-10-31 Lam Research Corporation Hybrid electrostatic chuck
WO1995020838A1 (en) * 1994-01-31 1995-08-03 Applied Materials, Inc. Electrostatic chuck with conformal insulator film
US5581874A (en) * 1994-03-28 1996-12-10 Tokyo Electron Limited Method of forming a bonding portion
EP0693770A1 (en) * 1994-07-18 1996-01-24 Applied Materials, Inc. Electrostatic chuck for magnetic flux processing
US5656093A (en) * 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
EP0827187A2 (en) * 1996-08-26 1998-03-04 Applied Materials, Inc. Method and apparatus for cooling a workpiece using an electrostatic chuck

Cited By (302)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040190215A1 (en) * 1996-04-26 2004-09-30 Applied Materials, Inc. Electrostatic chuck having dielectric member with stacked layers and manufacture
US6397775B1 (en) * 1998-10-16 2002-06-04 Canon Kabushiki Kaisha Deposited film forming system and process
US6920915B1 (en) * 1999-10-02 2005-07-26 Taiwan Semiconductor Manufacturing Co., Ltd Apparatus and method for cooling a semiconductor substrate
US6951587B1 (en) * 1999-12-01 2005-10-04 Tokyo Electron Limited Ceramic heater system and substrate processing apparatus having the same installed therein
WO2001084619A3 (en) * 2000-05-04 2002-04-04 Applied Materials Inc Method of depositing low stress films
US6922324B1 (en) * 2000-07-10 2005-07-26 Christopher M. Horwitz Remote powering of electrostatic chucks
US7465478B2 (en) 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US20060081558A1 (en) * 2000-08-11 2006-04-20 Applied Materials, Inc. Plasma immersion ion implantation process
US7288491B2 (en) 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US20050191827A1 (en) * 2000-08-11 2005-09-01 Collins Kenneth S. Plasma immersion ion implantation process
US6967177B1 (en) * 2000-09-27 2005-11-22 Lsi Logic Corporation Temperature control system
DE10056257C2 (en) * 2000-10-13 2002-10-17 Promos Technologies Inc Substrate holder table and method for sputter cleaning a substrate
US6439244B1 (en) 2000-10-13 2002-08-27 Promos Technologies, Inc. Pedestal design for a sputter clean chamber to improve aluminum gap filling ability
DE10056257A1 (en) * 2000-10-13 2002-05-29 Promos Technologies Inc Sputtering process used for cleaning a substrate e.g. a wafer comprises using a platform made from a conducting metal placed into an outer shell of a vacuum chamber
US9437402B2 (en) 2001-07-10 2016-09-06 Tokyo Electron Limited Plasma processor and plasma processing method
US20120006492A1 (en) * 2001-07-10 2012-01-12 Tokyo Electron Limited Plasma processor and plasma processing method
US8904957B2 (en) * 2001-07-10 2014-12-09 Tokyo Electron Limited Plasma processor and plasma processing method
US20130174983A1 (en) * 2001-07-10 2013-07-11 Tokyo Electron Limited Plasma processor and plasma processing method
US8387562B2 (en) * 2001-07-10 2013-03-05 Tokyo Electron Limited Plasma processor and plasma processing method
US9728381B2 (en) * 2001-07-10 2017-08-08 Tokyo Electron Limited Plasma processor and plasma processing method
US20150083332A1 (en) * 2001-07-10 2015-03-26 Tokyo Electron Limited Plasma processor and plasma processing method
US7592261B2 (en) * 2002-04-11 2009-09-22 Tokyo Electron Limited Method for suppressing charging of component in vacuum processing chamber of plasma processing system and plasma processing system
US20050146277A1 (en) * 2002-04-11 2005-07-07 Tokyo Electron Limited Method for suppressing charging of component in vacuum processing chamber of plasma processing system and plasma processing system
US20040040664A1 (en) * 2002-06-03 2004-03-04 Yang Jang Gyoo Cathode pedestal for a plasma etch reactor
WO2004015736A3 (en) * 2002-08-12 2004-10-14 Applied Materials Inc Low loss rf bias electrode for a plasma reactor with enhanced wafer edge rf coupling and highly efficient wafer cooling
WO2004015736A2 (en) * 2002-08-12 2004-02-19 Applied Materials, Inc. Low loss rf bias electrode for a plasma reactor with enhanced wafer edge rf coupling and highly efficient wafer cooling
US7364624B2 (en) * 2003-01-17 2008-04-29 Momentive Performance Materials Inc. Wafer handling apparatus and method of manufacturing thereof
US20040173161A1 (en) * 2003-01-17 2004-09-09 General Electric Company Wafer handling apparatus and method of manufacturing thereof
US20040246309A1 (en) * 2003-04-07 2004-12-09 Nobuko Watanabe Table device, film-forming apparatus, optical element, semiconductor element, and electric apparatus
US7431770B2 (en) * 2003-04-07 2008-10-07 Seiko Epson Corporation Table device, film-forming apparatus, optical element, semiconductor element, and electric apparatus
US20070079761A1 (en) * 2003-05-16 2007-04-12 Applied Materials, Inc. Heat transfer assembly
US7846254B2 (en) * 2003-05-16 2010-12-07 Applied Materials, Inc. Heat transfer assembly
US20040226515A1 (en) * 2003-05-16 2004-11-18 Applied Materials, Inc. Heat transfer assembly
US20040244945A1 (en) * 2003-06-04 2004-12-09 Samsung Electronics Co., Ltd. Cooling apparatus for wafer baking plate
DE10339997A1 (en) * 2003-08-29 2005-03-31 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Substrate or carrier for a wafer for semiconductor technology and manufacturing microelectronic circuits having two insulated activation electrodes
DE10339997B4 (en) * 2003-08-29 2007-07-12 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. A wafer carrier, method of manufacturing a carrier, and method of handling a wafer
US20050045104A1 (en) * 2003-09-03 2005-03-03 Masatsugu Arai Plasma processing apparatus
US20050087939A1 (en) * 2003-10-24 2005-04-28 International Business Machines Corporation Adaptive electrostatic pin chuck
US7198276B2 (en) * 2003-10-24 2007-04-03 International Business Machines Corporation Adaptive electrostatic pin chuck
US7480129B2 (en) * 2004-03-31 2009-01-20 Applied Materials, Inc. Detachable electrostatic chuck for supporting a substrate in a process chamber
US7907384B2 (en) * 2004-03-31 2011-03-15 Applied Materials, Inc. Detachable electrostatic chuck for supporting a substrate in a process chamber
US20050219786A1 (en) * 2004-03-31 2005-10-06 Applied Materials, Inc. Detachable electrostatic chuck
US20090201622A1 (en) * 2004-03-31 2009-08-13 Applied Materials, Inc. Detachable electrostatic chuck for supporting a substrate in a process chamber
US7697260B2 (en) * 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
US20060002053A1 (en) * 2004-03-31 2006-01-05 Applied Materials, Inc. Detachable electrostatic chuck for supporting a substrate in a process chamber
US20060043065A1 (en) * 2004-08-26 2006-03-02 Applied Materials, Inc. Gasless high voltage high contact force wafer contact-cooling electrostatic chuck
US7479456B2 (en) 2004-08-26 2009-01-20 Applied Materials, Inc. Gasless high voltage high contact force wafer contact-cooling electrostatic chuck
US20060133004A1 (en) * 2004-12-22 2006-06-22 Waichi Yamamura Electrostatic chuck with built-in heater
US8663391B2 (en) * 2006-04-27 2014-03-04 Applied Materials, Inc. Electrostatic chuck having a plurality of heater coils
US20120285619A1 (en) * 2006-04-27 2012-11-15 Alexander Matyushkin Electrostatic chuck having a plurality of heater coils
US20080089001A1 (en) * 2006-10-13 2008-04-17 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
US7589950B2 (en) 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
JP2014196563A (en) * 2007-01-29 2014-10-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Treatment kit for substrate treatment chamber
US7973539B1 (en) 2007-10-05 2011-07-05 Lam Research Corporation Methods for measuring dielectric properties of parts
US20090091341A1 (en) * 2007-10-05 2009-04-09 Lam Research Corporation Electrode for Use in Measuring Dielectric Properties of Parts
US8519724B2 (en) * 2007-10-05 2013-08-27 Lam Research Corporation Electrode for use in measuring dielectric properties of parts
US10395963B2 (en) 2008-05-19 2019-08-27 Entegris, Inc. Electrostatic chuck
US9543187B2 (en) 2008-05-19 2017-01-10 Entegris, Inc. Electrostatic chuck
US20100013626A1 (en) * 2008-07-15 2010-01-21 Applied Materials, Inc. Substrate lift pin sensor
US8390980B2 (en) * 2008-08-12 2013-03-05 Applied Materials, Inc. Electrostatic chuck assembly
CN102160167A (en) * 2008-08-12 2011-08-17 应用材料股份有限公司 Electrostatic chuck assembly
TWI473199B (en) * 2008-08-12 2015-02-11 Applied Materials Inc Electrostatic chuck assembly
US20100039747A1 (en) * 2008-08-12 2010-02-18 Applied Materials, Inc. Electrostatic chuck assembly
CN102160167B (en) * 2008-08-12 2013-12-04 应用材料公司 Electrostatic chuck assembly
US8320099B2 (en) * 2008-09-05 2012-11-27 Applied Materials, Inc. Electrostatic chuck electrical balancing circuit repair
TWI401770B (en) * 2009-02-18 2013-07-11 Ulvac Inc A wafer transfer tray, and a method for fixing the wafer to the tray
US8531814B2 (en) * 2009-04-16 2013-09-10 Varian Semiconductor Equipment Associates, Inc. Removal of charge between a substrate and an electrostatic clamp
US20100265631A1 (en) * 2009-04-16 2010-10-21 Varian Semiconductor Equipment Associates, Inc. Removal of charge between a substrate and an electrostatic clamp
US8879233B2 (en) 2009-05-15 2014-11-04 Entegris, Inc. Electrostatic chuck with polymer protrusions
US9721821B2 (en) 2009-05-15 2017-08-01 Entegris, Inc. Electrostatic chuck with photo-patternable soft protrusion contact surface
US8861170B2 (en) 2009-05-15 2014-10-14 Entegris, Inc. Electrostatic chuck with photo-patternable soft protrusion contact surface
US9754800B2 (en) 2010-05-27 2017-09-05 Applied Materials, Inc. Selective etch for silicon films
US9025305B2 (en) 2010-05-28 2015-05-05 Entegris, Inc. High surface resistivity electrostatic chuck
WO2012054689A3 (en) * 2010-10-22 2012-06-28 Applied Materials, Inc. Substrate support with symmetrical feed structure
US10770328B2 (en) 2010-10-22 2020-09-08 Applied Materials, Inc. Substrate support with symmetrical feed structure
WO2012054689A2 (en) * 2010-10-22 2012-04-26 Applied Materials, Inc. Substrate support with symmetrical feed structure
US9123762B2 (en) 2010-10-22 2015-09-01 Applied Materials, Inc. Substrate support with symmetrical feed structure
US20120160807A1 (en) * 2010-12-28 2012-06-28 Spansion Llc System, method and apparatus for reducing plasma noise on power path of electrostatic chuck
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9337067B2 (en) 2011-05-13 2016-05-10 Novellus Systems, Inc. High temperature electrostatic chuck with radial thermal chokes
WO2012158528A2 (en) * 2011-05-13 2012-11-22 Novellus Systems, Inc. High temperature electrostatic chuck with radial thermal chokes
WO2012158528A3 (en) * 2011-05-13 2013-04-11 Novellus Systems, Inc. High temperature electrostatic chuck with radial thermal chokes
US9463613B2 (en) 2011-11-18 2016-10-11 Apple Inc. Micro device transfer head heater assembly and method of transferring a micro device
US8794501B2 (en) 2011-11-18 2014-08-05 LuxVue Technology Corporation Method of transferring a light emitting diode
US10297712B2 (en) 2011-11-18 2019-05-21 Apple Inc. Micro LED display
US10121864B2 (en) 2011-11-18 2018-11-06 Apple Inc. Micro device transfer head heater assembly and method of transferring a micro device
US8789573B2 (en) * 2011-11-18 2014-07-29 LuxVue Technology Corporation Micro device transfer head heater assembly and method of transferring a micro device
US9831383B2 (en) 2011-11-18 2017-11-28 Apple Inc. LED array
US8809875B2 (en) 2011-11-18 2014-08-19 LuxVue Technology Corporation Micro light emitting diode
US20130126098A1 (en) * 2011-11-18 2013-05-23 LuxVue Technology Corporation Micro device transfer head heater assembly and method of transferring a micro device
US9620478B2 (en) 2011-11-18 2017-04-11 Apple Inc. Method of fabricating a micro device transfer head
US11552046B2 (en) 2011-11-18 2023-01-10 Apple Inc. Micro device transfer head assembly
US10607961B2 (en) 2011-11-18 2020-03-31 Apple Inc. Micro device transfer head heater assembly and method of transferring a micro device
US9548332B2 (en) 2012-04-27 2017-01-17 Apple Inc. Method of forming a micro LED device with self-aligned metallization stack
US9548232B2 (en) 2012-06-20 2017-01-17 Tokyo Ohka Kogyo Co., Ltd. Attaching apparatus
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9607856B2 (en) 2013-03-05 2017-03-28 Applied Materials, Inc. Selective titanium nitride removal
US10049908B2 (en) * 2013-03-15 2018-08-14 Applied Materials, Inc. Methods and apparatus for electrostatic chuck repair and refurbishment
US20160329230A1 (en) * 2013-03-15 2016-11-10 Applied Materials, Inc. Methods and apparatus for electrostatic chuck repair and refurbishment
WO2014149678A1 (en) * 2013-03-15 2014-09-25 Applied Materials, Inc. Substrate support chuck cooling for deposition chamber
US9668373B2 (en) 2013-03-15 2017-05-30 Applied Materials, Inc. Substrate support chuck cooling for deposition chamber
US9704723B2 (en) 2013-03-15 2017-07-11 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9659792B2 (en) 2013-03-15 2017-05-23 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9865489B2 (en) 2013-03-15 2018-01-09 Applied Materials, Inc. Substrate support chuck cooling for deposition chamber
US10381176B2 (en) 2013-06-12 2019-08-13 Rohinni, LLC Keyboard backlighting with deposited light-generating sources
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9711366B2 (en) 2013-11-12 2017-07-18 Applied Materials, Inc. Selective etch for metal-containing materials
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9472417B2 (en) 2013-11-12 2016-10-18 Applied Materials, Inc. Plasma-free metal etch
US9472412B2 (en) 2013-12-02 2016-10-18 Applied Materials, Inc. Procedure for etch rate consistency
US10957678B2 (en) 2013-12-17 2021-03-23 Apple Inc. Display module and system applications
US9367094B2 (en) 2013-12-17 2016-06-14 Apple Inc. Display module and system applications
US11676953B2 (en) 2013-12-17 2023-06-13 Apple Inc. Display module and system applications
US10147711B2 (en) 2013-12-17 2018-12-04 Apple Inc. Display module and system applications
US10535642B2 (en) 2013-12-17 2020-01-14 Apple Inc. Display module and system applications
US9922966B2 (en) 2013-12-17 2018-03-20 Apple Inc. Display module and system applications
US9582036B2 (en) 2013-12-17 2017-02-28 Apple Inc. Display module and system applications
US11362076B2 (en) 2013-12-17 2022-06-14 Apple Inc Display module and system applications
US11101405B2 (en) 2013-12-27 2021-08-24 Apple Inc. LED with internally confined current injection area
US9583466B2 (en) 2013-12-27 2017-02-28 Apple Inc. Etch removal of current distribution layer for LED current confinement
US10593832B2 (en) 2013-12-27 2020-03-17 Apple Inc. LED with internally confined current injection area
US9450147B2 (en) 2013-12-27 2016-09-20 Apple Inc. LED with internally confined current injection area
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9583533B2 (en) 2014-03-13 2017-02-28 Apple Inc. LED device with embedded nanowire LEDs
US9564296B2 (en) 2014-03-20 2017-02-07 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9837249B2 (en) 2014-03-20 2017-12-05 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9522468B2 (en) 2014-05-08 2016-12-20 Apple Inc. Mass transfer tool manipulator assembly with remote center of compliance
US10183396B2 (en) 2014-05-08 2019-01-22 Apple Inc. Mass transfer tool manipulator assembly with remote center of compliance
US9318475B2 (en) 2014-05-15 2016-04-19 LuxVue Technology Corporation Flexible display and method of formation with sacrificial release layer
WO2015175339A1 (en) * 2014-05-16 2015-11-19 Applied Materials, Inc. Pedestal fluid-based thermal control
CN106463363A (en) * 2014-05-16 2017-02-22 应用材料公司 Pedestal fluid-based thermal control
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US9741286B2 (en) 2014-06-03 2017-08-22 Apple Inc. Interactive display panel with emitting and sensing diodes
US10150669B2 (en) 2014-06-12 2018-12-11 Apple Inc. Micro pick up array pivot mount
US9624100B2 (en) 2014-06-12 2017-04-18 Apple Inc. Micro pick up array pivot mount with integrated strain sensing elements
US9570002B2 (en) 2014-06-17 2017-02-14 Apple Inc. Interactive display panel with IR diodes
US9425151B2 (en) 2014-06-17 2016-08-23 Apple Inc. Compliant electrostatic transfer head with spring support layer
US9773695B2 (en) 2014-07-31 2017-09-26 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
CN106716608A (en) * 2014-08-01 2017-05-24 应用材料公司 Wafer carrier with independent isolated heater zones
US11322337B2 (en) 2014-08-01 2022-05-03 Applied Materials, Inc. Plasma processing system workpiece carrier with thermally isolated heater plate blocks
CN106716608B (en) * 2014-08-01 2020-10-02 应用材料公司 Wafer carrier with independently isolated heater zones
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US20210087671A1 (en) * 2014-09-11 2021-03-25 Massachusetts Institute Of Technology Processing System For Small Substrates
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9478432B2 (en) 2014-09-25 2016-10-25 Applied Materials, Inc. Silicon oxide selective removal
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US9705432B2 (en) 2014-09-30 2017-07-11 Apple Inc. Micro pick up array pivot mount design for strain amplification
US9828244B2 (en) 2014-09-30 2017-11-28 Apple Inc. Compliant electrostatic transfer head with defined cavity
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9478583B2 (en) 2014-12-08 2016-10-25 Apple Inc. Wearable display having an array of LEDs on a conformable silicon substrate
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
TWI726860B (en) * 2015-02-03 2021-05-11 美商應用材料股份有限公司 Low temperature wafer chuck assembly for plasma processing systems and method of plasma processing
US20230223281A1 (en) * 2015-02-03 2023-07-13 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) * 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US20170229326A1 (en) * 2015-02-03 2017-08-10 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
CN107533945A (en) * 2015-02-03 2018-01-02 应用材料公司 Low temperature fixture for plasma process system
CN107533945B (en) * 2015-02-03 2020-03-17 应用材料公司 Low temperature clamp for plasma processing system
US11594428B2 (en) * 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
WO2016126425A1 (en) * 2015-02-03 2016-08-11 Applied Materials Low temperature chuck for plasma processing systems
US10811301B2 (en) * 2015-02-09 2020-10-20 Applied Materials, Inc. Dual-zone heater for plasma processing
US10546768B2 (en) 2015-02-25 2020-01-28 Corning Incorporated Apparatus and method to electrostatically chuck substrates to a moving carrier
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9805963B2 (en) 2015-10-05 2017-10-31 Lam Research Corporation Electrostatic chuck with thermal choke
US10629393B2 (en) 2016-01-15 2020-04-21 Rohinni, LLC Apparatus and method of backlighting through a cover on the apparatus
US10818449B2 (en) 2016-01-15 2020-10-27 Rohinni, LLC Apparatus and method of backlighting through a cover on the apparatus
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
WO2018209041A3 (en) * 2017-05-12 2018-12-13 Lam Research Corporation Temperature-tuned substrate support for substrate processing systems
US11011355B2 (en) 2017-05-12 2021-05-18 Lam Research Corporation Temperature-tuned substrate support for substrate processing systems
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US11817341B2 (en) 2017-06-02 2023-11-14 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
WO2019118320A1 (en) * 2017-12-11 2019-06-20 Applied Materials, Inc. Cryogenically cooled rotatable electrostatic chuck
US11149345B2 (en) 2017-12-11 2021-10-19 Applied Materials, Inc. Cryogenically cooled rotatable electrostatic chuck
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
WO2019157023A1 (en) * 2018-02-09 2019-08-15 Applied Materials, Inc. Semiconductor processing apparatus having improved temperature control
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US20210333715A1 (en) * 2018-03-20 2021-10-28 Lam Research Corporation Protective coating for electrostatic chucks
US11835868B2 (en) * 2018-03-20 2023-12-05 Lam Research Corporation Protective coating for electrostatic chucks
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US11651937B2 (en) * 2018-05-02 2023-05-16 Fyzikalini Ustav Av Cr, V.V.I. Method of low-temperature plasma generation, method of an electrically conductive or ferromagnetic tube coating using pulsed plasma and corresponding devices
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US20220223427A1 (en) * 2018-11-05 2022-07-14 Tokyo Electron Limited Plasma processing apparatus and system
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11056372B2 (en) 2019-04-30 2021-07-06 Applied Materials, Inc. Low temperature biasable substrate support
WO2020222939A1 (en) * 2019-04-30 2020-11-05 Applied Materials, Inc. Low temperature biasable substrate support
CN111987031A (en) * 2019-05-23 2020-11-24 北京北方华创微电子装备有限公司 Chuck device and semiconductor device
CN113053713B (en) * 2019-12-26 2023-03-24 中微半导体设备(上海)股份有限公司 Plasma processing apparatus
CN113053713A (en) * 2019-12-26 2021-06-29 中微半导体设备(上海)股份有限公司 Plasma processing apparatus
US11887811B2 (en) 2020-09-08 2024-01-30 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
US20220319896A1 (en) * 2021-04-02 2022-10-06 Applied Materials, Inc. Rotating biasable pedestal and electrostatic chuck in semiconductor process chamber
US20220349050A1 (en) * 2021-04-30 2022-11-03 Applied Materials, Inc. Method and apparatus with high conductance components for chamber cleaning

Also Published As

Publication number Publication date
KR20010043180A (en) 2001-05-25
WO1999057753A1 (en) 1999-11-11
JP2002514010A (en) 2002-05-14
EP1075707A1 (en) 2001-02-14
TW475912B (en) 2002-02-11

Similar Documents

Publication Publication Date Title
US6081414A (en) Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system
US6219219B1 (en) Cathode assembly containing an electrostatic chuck for retaining a wafer in a semiconductor wafer processing system
US6221221B1 (en) Apparatus for providing RF return current path control in a semiconductor wafer processing system
US5942042A (en) Apparatus for improved power coupling through a workpiece in a semiconductor wafer processing system
US8559159B2 (en) Electrostatic chuck and methods of use thereof
US6719886B2 (en) Method and apparatus for ionized physical vapor deposition
US6074488A (en) Plasma chamber support having an electrically coupled collar ring
US6490145B1 (en) Substrate support pedestal
US5886864A (en) Substrate support member for uniform heating of a substrate
US6723214B2 (en) Apparatus for improved power coupling through a workpiece in a semiconductor wafer processing system
US6104596A (en) Apparatus for retaining a subtrate in a semiconductor wafer processing system and a method of fabricating same
JP3125696U (en) Internal antenna for substrate processing chamber
US20030010292A1 (en) Electrostatic chuck with dielectric coating
US6376807B1 (en) Enhanced cooling IMP coil support
JP2018501757A (en) Electrostatic chuck for high temperature RF applications
EP1068632A1 (en) Contamination controlling method and plasma processing chamber
JP3647064B2 (en) Vacuum processing apparatus and mounting table used therefor
US20010045262A1 (en) Chemical vapor deposition chamber
CN107004628B (en) Electrostatic chuck for high temperature RF applications

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:FLANIGAN, ALLEN;SUGARMAN, MICHAEL N.;REEL/FRAME:009358/0797

Effective date: 19980625

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
FP Lapsed due to failure to pay maintenance fee

Effective date: 20040627

STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362