US6214670B1 - Method for manufacturing short-channel, metal-gate CMOS devices with superior hot carrier performance - Google Patents

Method for manufacturing short-channel, metal-gate CMOS devices with superior hot carrier performance Download PDF

Info

Publication number
US6214670B1
US6214670B1 US09/358,986 US35898699A US6214670B1 US 6214670 B1 US6214670 B1 US 6214670B1 US 35898699 A US35898699 A US 35898699A US 6214670 B1 US6214670 B1 US 6214670B1
Authority
US
United States
Prior art keywords
substrate
gate
layer
forming
manufacturing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US09/358,986
Inventor
Jiaw-Ren Shih
Shui-Hung Chen
Jian-Hsing Lee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US09/358,986 priority Critical patent/US6214670B1/en
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY reassignment TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHEN, SHUI-HUNG, LEE, JIAN-HSING, SHIH, JIAW-REN
Application granted granted Critical
Publication of US6214670B1 publication Critical patent/US6214670B1/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar

Definitions

  • the present invention relates to the manufacture of semiconductor devices and, more particularly to the manufacture of VLSI CMOS structures having metal gates and exhibiting superior hot-carrier performance.
  • Hot carrier effects in FETs are generally caused by damage to the silicon substrate in the immediate vicinity of the gate. This damage is caused by the conventional processing steps in gate construction and by source and drain doping.
  • a conducting layer is formed over the surface of the gate thereby forming the MOS device.
  • the shielding layer is removed and the remaining gate and field oxide layers are used as masks for additional implantation forming a heavily-doped region where the lightly-doped region completely overlaps the gate and extends into the drain and source regions of the MOS device.
  • U.S. Pat. No. 5,670,401 for METHOD FOR FABRICATING A DEEP SUBMICRON MOSFET DEVICE USING AN IN-SITU POLYMER SPACER TO DECREASE DEVICE CHANNEL LENGTH; issued Sep. 23, 1997 to Horng-Huei Tseng teaches a fabrication technique wherein a polymeric spacer is formed in a photo resist layer.
  • the polymeric spacer reduces the opening in the photo resist to a width narrower than that obtainable through conventional lithographic/etch techniques thereby allowing the formation of a narrow gate structure overlaying a local threshold adjust region implanted in the silicon substrate.
  • the inventive method requires no polymer spacer to control gate width but relies on a unique sequence of processing steps to form a reliable metal gate while preserving a pad oxide layer under a normal silicon oxide spacer.
  • the present invention features a method for forming a high-reliability metal gate structure in a CMOS VLSI FET structure.
  • the unique process steps result in the reduction of damage to the silicon substrate while better controlling the device-to-device uniformity of the metal gates.
  • the preservation of an oxide layer under a silicon oxide spacer around the metal gate improves hot carrier effect performance of the MOSFETs.
  • the gate structures are self-aligned without the necessity of extra masking steps.
  • the method also results in essentially no depletion in the gate region or dopant penetration. Gate structures having acceptably low sheet resistance are also obtained.
  • the overall result is a device with lower junction leakage current that device formed using the techniques of the prior art.
  • FIG. 1 is a cross-sectional, schematic view of a portion of a silicon substrate with STIs formed therein;
  • FIG. 2 is cross-sectional, schematic view of the silicon substrate of FIG. 1 having oxide and silicon nitride masking layers deposited thereon;
  • FIG. 3 is a cross-sectional, schematic view of the substrate of FIG. 2 with a photo resist layer and etched gate region;
  • FIG. 4 is a cross-sectional, schematic view of the substrate of FIG. 3 showing a second oxide layer formed thereon;
  • FIG. 5 is a cross-sectional, schematic view of the substrate of FIG. 4 showing a Ti:W layer deposited;
  • FIG. 6 is a cross-sectional, schematic view of the substrate of FIG. 5 after etch-back of the Ti:W layer;
  • FIG. 7 is a cross-sectional, schematic view of the substrate of FIG. 6 showing the silicon nitride layer removed;
  • FIG. 8 is a cross-sectional, schematic view of the substrate of FIG. 7 with lightly doped drains (LDD) implanted;
  • LDD lightly doped drains
  • FIG. 9 is a cross-sectional, schematic view of the substrate of FIG. 8 with oxide spacers formed on the gate.
  • FIG. 10 is a cross-sectional, schematic view of the substrate of FIG. 9 with source and drain regions implanted by a second ion implantation step.
  • this invention relates to the manufacture of VLSI CMOS semiconductor structures employing metal gates.
  • the inventive manufacturing steps result in a high-reliability metal gate structures as well as minimization of damage to the silicon substrate in the area of the gate.
  • the minimized damage to the silicon substrate results in a device with superior hot carrier effect performance.
  • STI regions 102 comprise about 3000 ⁇ to 6000 ⁇ of thermally-grown silicon dioxide (SiO 2 ) in a shallow, etched trench in substrate 100 .
  • SiO 2 thermally-grown silicon dioxide
  • Substrate 100 is preferably p-type, single crystalline silicon with a ⁇ 100> crystallographic orientation.
  • the surface of substrate 100 and STI regions 102 could be etched to a smooth, planar surface.
  • Substrate 100 After formation of STI regions 102 , Substrate 100 must be prepared for further processing by wet cleaning, preferably using a dilute hydrofluoric acid treatment.
  • a thin dielectric layer of silicon dioxide (SiO 2 ) or “oxide” (pad or buffer oxide) 104 is shown disposed over substrate 100 and STI regions 102 .
  • Oxide layer 104 is formed using well-know thermal growth techniques to a thickness in the range of between 100 ⁇ and 200 ⁇ .
  • oxide layer 104 may be deposited using low-pressure chemical vapor deposition, (LPCVD) procedures, preferably at a temperature in the range of about 700° to 750° C. Thermally-grown oxides have been shown, however, to yield superior performance.
  • Pad oxide layer 104 serves, among other things, as a thermal insulator protecting substrate 100 during subsequent processing steps.
  • An insulating film of silicon nitride 106 is formed on oxide layer 104 using low-pressure chemical vapor deposition (LPCVD) techniques to a thickness in the range of about 2000 ⁇ .
  • Silicon nitride layer 106 serves as an oxidation mask. Silicon nitride is chosen because oxygen and water vapor diffuse very slowly through it thereby preventing oxidation of substrate regions protected by the layer. The nitride itself oxidizes very slowly so that the nitride layer also remains an effective oxidation barrier layer during the subsequent field oxide growth processes.
  • FIG. 3 and self-aligning gate region 110 and STI regions 112 are defined using micro-lithographic techniques also well know to those skilled in the art.
  • Conventional aligners or “printers” utilizing visible light, ultra-violet light, electrons or x-rays may be utilized to expose the desired pattern onto photoresist layer 108 .
  • a plasma etching step preferably utilizing a CF 4 O 2 plasma, removes the silicon nitride layer 106 and oxide layer 104 in the gate and STI areas 110 and 112 , respectively.
  • etching continues into substrate 100 at the gate region 110 to a depth of approximately 500 to 2000 ⁇ .
  • photo resist layer 108 (FIG. 3) is first stripped using an organic stripper, an oxidizing stripper or a dry etch process, all of which are well know to those skilled in the art.
  • Silicon nitride mask layer 106 remains with etched regions 110 , 112 (FIG. 3) available for oxide deposition.
  • a new oxide layer 104 ′ is applied to exposed substrate 100 surfaces in pad regions 112 and gate region 110 .
  • oxide layer 104 ′ has a thickness is in the range of 100 ⁇ to 200 ⁇ and is, preferably, thermally grown.
  • Titanium/Tungsten (Ti:W) layer 114 is next sputtered over the entire region. Any variant of the sputter process may be utilized as long as proper step coverage is maintained.
  • the Ti:W penetrates areas 110 , 112 (FIG. 3) and contacts second oxide layer 104 ′.
  • Ti:W layer 114 has been partially removed using either a wet-etch or a wet chemical-machine polish (WCMP) operation, leaving Ti:W metallic gate 124 in the gate region 110 (FIG. 3 ).
  • Metal structures 128 are also left in STI pad regions 112 (FIG. 3 ).
  • the etch of CMP operation has left the surface of gate 124 and structures 128 slightly below the surface of nitride layer 106 .
  • nitride layer 106 is now removed using a hot phosphoric acid etch leaving metal gate 124 and structure 128 completely exposed. Substrate 100 , however, is still completely isolated by the combination of oxide layers 104 and 104 ′.
  • LDD Lightly Doped Drain
  • spacers 118 have been formed over gate 124 and structure 128 .
  • spacers 118 are generally formed by high-temperature vapor deposition of SiO 2 or Si 3 O 4 using techniques well known to those skilled in the art.
  • spacers 118 are thermally-grown SiO 2 similar to pad oxide 104 and gate oxide 104 ′. The preservation of thermal insulating pad oxide 104 under spacers 118 adjacent gate 124 allows the safe formation of the spacers without damage to the underlying substrate.
  • the thermally-grown oxide of the present invention provides a superior material from both dielectric constant of the material and step coverage considerations.
  • spacer 118 at gate 124 determines the profile of the second source/drain region implantation. Also, in devices manufactured using the inventive method, the intact pad oxide layer 104 beneath spacers 118 protects the portion of substrate 100 beneath spacers 118 where hot carrier stress generally occurs. The inventive process which yields devices with minimized propensity for hot carrier generation may, therefore, be utilized in manufacturing small MOSFET devices having excellent performance characteristics.
  • the second implantation of source and drain regions 116 the second implantation of source and drain regions 116 .
  • a higher injection energy is used to implant a dose 122 of Arsenic of approximately 1 ⁇ 10 15 atoms/cm 2 .
  • the resulting doped regions 120 merge with lightly-doped regions 116 to form low-resistance source and drain structures.
  • sheet resistance in the double-dopes region is generally in the range of 10 to 100 ohms per cm 2 .
  • Connection layers may be finished by application of connection layers, passivation layers and the like according to methods and processes well know to those skilled in the art.
  • CMOS devices constructed in accordance with the method the present invention may be attributed to the novel recess in the substrate which creates elevated source/drain regions relative to the metallic gate and/or to the use of the particular metal gate construction.

Abstract

In short-channel MOSFET devices with gates constructed using conventional double-diffusing techniques, damage to the silicon substrate region near the gate structure causes hot carrier effects that degrade the device performance. The inventive process described minimizes damage to the silicon substrate in the region of the metal gate structure thereby providing a MOSFET device with superior hot carrier effect performance.

Description

FIELD OF THE INVENTION
The present invention relates to the manufacture of semiconductor devices and, more particularly to the manufacture of VLSI CMOS structures having metal gates and exhibiting superior hot-carrier performance.
BACKGROUND OF THE INVENTION
As demand for higher device densities on silicon wafers has increased, the size of individual devices has had to shrink. In particular, in the construction of Metal Oxide Semiconductor Field Effect Transistor (MOSFET) gates, the channel lengths have been reduced. As channel lengths have decreased, in particular to lengths less than about 1.5μm, the influence of hot carrier effects has become more and more pronounced. Hot carrier effects in FETs are generally caused by damage to the silicon substrate in the immediate vicinity of the gate. This damage is caused by the conventional processing steps in gate construction and by source and drain doping.
Also, the formation of reliable metal gates in CMOS VLSI structures has heretofore been difficult. The low selectivity between metal and silicon dioxide (“oxide”) in a typical etch process make is difficult to control the size and shape of metal pattern gates. In a typical etch step, the silicon substrate is often damaged. This damage results in poor hot carrier effect performance and also creates other problems that result in low wafer yield.
Discussion of the Prior Art
Various strategies for improving device performance and chip yield have been suggested. For example, U.S. Pat. No. 5,434,093 for INVERTED SPACER TRANSISTOR; issued Jul. 18, 1995 to Robert S. Chau, et al., teaches a method for forming narrow length transistors by forming a trench in a first layer over a semiconductor. Spacers and gate dielectric are formed and then the trench is filled with gate electrode material which is chemically-mechanically polished back to isolate the gate material within the trench. The first layer is then removed leaving the gate dielectric, gate electrode and spacers.
In U.S. Pat. No. 5,489,543 for METHOD OF FORMING A MOS DEVICE HAVING A LOCALIZED ANTI-PUNCHTHROUGH REGION; issued Feb. 6, 1996 to Gary Hong discloses a method for forming a MOS device having a localized anti-punchthrough region which is adjacent to but not in contact with source/drain regions of the MOS device. A trench is formed by depositing a conducting layer on an oxide layer located on a channel region of the MOS device. The trench is used as a self-alignment mask for subsequent implantation processes to form the localized anti-punchthrough region.
In U.S. Pat. No. 5,538,913 for PROCESS FOR FABRICATING MOS TRANSISTORS HAVING FULL-OVERLAP LIGHTLY-DOPED DRAIN STRUCTURES; issued Jul. 23, 1996 to Gary Hong, another method for constructing drain regions is taught. An oxide layer on a semiconductor substrate defines an active region foe the MOS device. The oxide layer serves as a shielding mask for implantation of a lightly-doped region. A shielding layer is next formed with an opening over the substrate, the opening having two side walls that define a channel region. Gate insulation is formed at the opening and then spacers are added at the side walls. The channel region is implanted using the spacers as a shielding mask. A conducting layer is formed over the surface of the gate thereby forming the MOS device. The shielding layer is removed and the remaining gate and field oxide layers are used as masks for additional implantation forming a heavily-doped region where the lightly-doped region completely overlaps the gate and extends into the drain and source regions of the MOS device.
Finally, U.S. Pat. No. 5,670,401 for METHOD FOR FABRICATING A DEEP SUBMICRON MOSFET DEVICE USING AN IN-SITU POLYMER SPACER TO DECREASE DEVICE CHANNEL LENGTH; issued Sep. 23, 1997 to Horng-Huei Tseng teaches a fabrication technique wherein a polymeric spacer is formed in a photo resist layer. The polymeric spacer reduces the opening in the photo resist to a width narrower than that obtainable through conventional lithographic/etch techniques thereby allowing the formation of a narrow gate structure overlaying a local threshold adjust region implanted in the silicon substrate. In contradistinction, the inventive method requires no polymer spacer to control gate width but relies on a unique sequence of processing steps to form a reliable metal gate while preserving a pad oxide layer under a normal silicon oxide spacer.
No combination of these prior art references are seen to teach or suggest the inventive process for forming the recessed, metal-gate CMOS devices of the instant invention.
SUMMARY OF THE INVENTION
The present invention features a method for forming a high-reliability metal gate structure in a CMOS VLSI FET structure. The unique process steps result in the reduction of damage to the silicon substrate while better controlling the device-to-device uniformity of the metal gates. The preservation of an oxide layer under a silicon oxide spacer around the metal gate improves hot carrier effect performance of the MOSFETs. The gate structures are self-aligned without the necessity of extra masking steps. The method also results in essentially no depletion in the gate region or dopant penetration. Gate structures having acceptably low sheet resistance are also obtained. The overall result is a device with lower junction leakage current that device formed using the techniques of the prior art.
BRIEF DESCRIPTION OF THE DRAWINGS
A complete understanding of the present invention may be obtained by reference to the accompanying drawings, when taken in conjunction with the detail description thereof and in which:
FIG. 1 is a cross-sectional, schematic view of a portion of a silicon substrate with STIs formed therein;
FIG. 2 is cross-sectional, schematic view of the silicon substrate of FIG. 1 having oxide and silicon nitride masking layers deposited thereon;
FIG. 3 is a cross-sectional, schematic view of the substrate of FIG. 2 with a photo resist layer and etched gate region; FIG. 4 is a cross-sectional, schematic view of the substrate of FIG. 3 showing a second oxide layer formed thereon;
FIG. 5 is a cross-sectional, schematic view of the substrate of FIG. 4 showing a Ti:W layer deposited;
FIG. 6 is a cross-sectional, schematic view of the substrate of FIG. 5 after etch-back of the Ti:W layer;
FIG. 7 is a cross-sectional, schematic view of the substrate of FIG. 6 showing the silicon nitride layer removed;
FIG. 8 is a cross-sectional, schematic view of the substrate of FIG. 7 with lightly doped drains (LDD) implanted;
FIG. 9 is a cross-sectional, schematic view of the substrate of FIG. 8 with oxide spacers formed on the gate; and
FIG. 10 is a cross-sectional, schematic view of the substrate of FIG. 9 with source and drain regions implanted by a second ion implantation step.
DESCRIPTION OF THE PREFERRED EMBODIMENT
Generally speaking this invention relates to the manufacture of VLSI CMOS semiconductor structures employing metal gates. The inventive manufacturing steps result in a high-reliability metal gate structures as well as minimization of damage to the silicon substrate in the area of the gate. The minimized damage to the silicon substrate results in a device with superior hot carrier effect performance.
Referring first to FIG. 1, there is shown a cross-sectional view of a portion of a silicon substrate, 100, with Shallow Trench Isolation (STI) regions 102 formed is therein. STI regions 102 comprise about 3000Å to 6000Å of thermally-grown silicon dioxide (SiO2) in a shallow, etched trench in substrate 100. In alternate embodiments, the SiO2 forming STIs 102 may be deposited using CVD techniques. Substrate 100 is preferably p-type, single crystalline silicon with a <100> crystallographic orientation. In other embodiments, the surface of substrate 100 and STI regions 102 could be etched to a smooth, planar surface.
After formation of STI regions 102, Substrate 100 must be prepared for further processing by wet cleaning, preferably using a dilute hydrofluoric acid treatment.
Referring next to FIG. 2, a thin dielectric layer of silicon dioxide (SiO2) or “oxide” (pad or buffer oxide) 104 is shown disposed over substrate 100 and STI regions 102. Oxide layer 104 is formed using well-know thermal growth techniques to a thickness in the range of between 100Å and 200Å. In alternate embodiments, oxide layer 104 may be deposited using low-pressure chemical vapor deposition, (LPCVD) procedures, preferably at a temperature in the range of about 700° to 750° C. Thermally-grown oxides have been shown, however, to yield superior performance. Pad oxide layer 104 serves, among other things, as a thermal insulator protecting substrate 100 during subsequent processing steps.
An insulating film of silicon nitride 106 is formed on oxide layer 104 using low-pressure chemical vapor deposition (LPCVD) techniques to a thickness in the range of about 2000Å. Silicon nitride layer 106 serves as an oxidation mask. Silicon nitride is chosen because oxygen and water vapor diffuse very slowly through it thereby preventing oxidation of substrate regions protected by the layer. The nitride itself oxidizes very slowly so that the nitride layer also remains an effective oxidation barrier layer during the subsequent field oxide growth processes.
Next, a photo resist layer 108 is applied, FIG. 3 and self-aligning gate region 110 and STI regions 112 are defined using micro-lithographic techniques also well know to those skilled in the art. Conventional aligners or “printers” utilizing visible light, ultra-violet light, electrons or x-rays may be utilized to expose the desired pattern onto photoresist layer 108. A plasma etching step, preferably utilizing a CF4O2 plasma, removes the silicon nitride layer 106 and oxide layer 104 in the gate and STI areas 110 and 112, respectively. In addition, etching continues into substrate 100 at the gate region 110 to a depth of approximately 500 to 2000Å.
Referring now to FIG. 4, photo resist layer 108 (FIG. 3) is first stripped using an organic stripper, an oxidizing stripper or a dry etch process, all of which are well know to those skilled in the art. Silicon nitride mask layer 106 remains with etched regions 110, 112 (FIG. 3) available for oxide deposition. A new oxide layer 104′ is applied to exposed substrate 100 surfaces in pad regions 112 and gate region 110. As with the original oxide layer 104, oxide layer 104′ has a thickness is in the range of 100Å to 200Å and is, preferably, thermally grown.
Referring now to FIG. 5, Titanium/Tungsten (Ti:W) layer 114 is next sputtered over the entire region. Any variant of the sputter process may be utilized as long as proper step coverage is maintained. The Ti:W penetrates areas 110, 112 (FIG. 3) and contacts second oxide layer 104′.
Referring now to FIG. 6, Ti:W layer 114 has been partially removed using either a wet-etch or a wet chemical-machine polish (WCMP) operation, leaving Ti:W metallic gate 124 in the gate region 110 (FIG. 3). Metal structures 128 are also left in STI pad regions 112 (FIG. 3). The etch of CMP operation has left the surface of gate 124 and structures 128 slightly below the surface of nitride layer 106.
Referring now to FIG. 7, nitride layer 106 is now removed using a hot phosphoric acid etch leaving metal gate 124 and structure 128 completely exposed. Substrate 100, however, is still completely isolated by the combination of oxide layers 104 and 104′.
Referring now to FIG. 8, a first, light implantation of Lightly Doped Drain (LDD) regions 116 has been performed through pad oxide layer 104. Energies in the range of 10-20 keV have been found acceptable for the first implantation with of 1-2×1013 atoms/cm2 of Phosphorus being a typical dose. The use of a double implantation method when constructing short-channel MOSFET devices (i.e., channel structures ≦1.25 μM) has been shown to form a lightly doped section of the drain at the edge near the channel. This lightly-doped region helps reduce hot carrier effects which become a problem in short-channel devices, by spreading the field potential across a wider region. In addition, the location of the injection position (i.e., the EM point) is better controlled to insure its location is under the gate edge.
Referring now to FIG. 9, spacers 118 have been formed over gate 124 and structure 128. In conventional MOSFET structures, spacers 118 are generally formed by high-temperature vapor deposition of SiO2 or Si3O4 using techniques well known to those skilled in the art. In the inventive structure, spacers 118 are thermally-grown SiO2 similar to pad oxide 104 and gate oxide 104′. The preservation of thermal insulating pad oxide 104 under spacers 118 adjacent gate 124 allows the safe formation of the spacers without damage to the underlying substrate. The thermally-grown oxide of the present invention provides a superior material from both dielectric constant of the material and step coverage considerations. The latter consideration is important as the width of spacer 118 at gate 124 determines the profile of the second source/drain region implantation. Also, in devices manufactured using the inventive method, the intact pad oxide layer 104 beneath spacers 118 protects the portion of substrate 100 beneath spacers 118 where hot carrier stress generally occurs. The inventive process which yields devices with minimized propensity for hot carrier generation may, therefore, be utilized in manufacturing small MOSFET devices having excellent performance characteristics.
Referring now to FIG. 10, the second implantation of source and drain regions 116. In this case a higher injection energy is used to implant a dose 122 of Arsenic of approximately 1×1015 atoms/cm2. The resulting doped regions 120 merge with lightly-doped regions 116 to form low-resistance source and drain structures. In the inventive device, sheet resistance in the double-dopes region is generally in the range of 10 to 100 ohms per cm2.
Devices may be finished by application of connection layers, passivation layers and the like according to methods and processes well know to those skilled in the art.
It appears that the superior performance of the CMOS devices constructed in accordance with the method the present invention may be attributed to the novel recess in the substrate which creates elevated source/drain regions relative to the metallic gate and/or to the use of the particular metal gate construction.
Since other modifications and changes varied to fit a particular operating requirements and environment will be apparent to those skilled in the art, the invention is not considered limited to the example chosen for purposes of disclosure, and covers all changes and modifications which do not constitute a departure from the true spirit and scope of the invention.
Having thus described the invention, what is desired to be protected by Letters Patent is presented in the subsequent appended claims.

Claims (14)

What is claimed is:
1. A method for manufacturing a short-channel MOSFET device in a semiconductor substrate having a surface and containing shallow trench isolation (STI) regions, comprising the steps of:
(a) forming a pad oxide layer on the substrate surface;
(b) forming an insulating layer on the first oxide layer;
(c) forming a photoresist layer on the insulating layer;
(d) forming an etching mask by patterning the photoresist layer to define at least a gate region of the device;
(e) forming an opening into the gate region of the substrate by etching the insulating layer and the first oxide layer and into a portion of the substrate, whereby the the gate region is recessed relative to the surface of the semiconductor substrate;
(f) removing the photoresist from the substrate;
(g) forming a gate oxide layer on the substrate in the recessed gate region opening;
(h) depositing a layer of metal over the substrate thereby forming a gate metal conductor in the opening over the gate oxide layer;
(i) removing the layer of metal apart from the gate metal conductor from the top surface of insulating layer,
(j) removing the insulating layer, thereby exposing the gate metal conductor and the pad oxide surfaces;
(k) forming a lightly doped drain (LDD) region in the substrate defined by self alignment with the gate metal conductor by a first ion implantation;
(l) then forming silicon dioxide spacers conforming to the gate metal conductor and the pad oxide, wherein the pad oxide layer under the spacers is preserved; and
(m) forming a raised source and drain region in the substrate defined by self alignment with the silicon dioxide spacers and the recessed gate metal conductor by a second ion implantation.
2. A method of manufacturing a short channel MOSFET device according to claim 1, wherein the semiconductor substrate comprises a single crystalline silicon substrate having a <100> crystallographic orientation.
3. A method of manufacturing a short channel MOSFET device according to claim 1, wherein the pad oxide layer forming step (a) comprises thermally growing a silicon dioxide layer having a thickness of between approximately 100 and 200 Angstroms.
4. A method of manufacturing a short channel MOSFET device according to claim 1, wherein the insultaing layer forming step (b) comprises low pressure chemical vapor deposition (LPCVD) of silicon nitride to a thickness of approximately 2000 Angstroms.
5. A method of manufacturing a short channel MOSFET device according to claim 1, wherein the opening forming step (e) comprises plasma etching with CF4O2.
6. A method of manufacturing a short channel MOSFET device according to claim 1, wherein the substrate portion of the opening in step (e) is etched to a depth between 500 and 2000 Angstroms.
7. A method of manufacturing a short channel MOSFET device according to claim 1, wherein the gate oxide formation in step (g) comprises depositing thermally grown silicon dioxide to a thickness of between approximately 100 and 200 Angstroms.
8. A method of manufacturing a short channel MOSFET device according to claim 1, wherein the deposition of metal in step (h) comprises the sputtering of Titanium/Tungsten (Ti:W).
9. A method of manufacturing a short channel MOSFET device according to claim 1, wherein the removal of the metal in step (i) comprises chemical-mechanical polishing (CMP).
10. A method of manufacturing a short channel MOSFET device according to claim 1, wherein the removal of the insulating layer in step (j) comprises hot phosphoric acid etching.
11. A method of manufacturing a short channel MOSFET device according to claim 1, wherein the first implantation in step (k) comprises implantation of Phosphorous at a dose of approximately 1-2×1013 atoms/cm2 and at an energy level in the range of 10-20 keV.
12. A method of manufacturing a short channel MOSFET device according to claim 1, wherein the spacer forming step (l) comprises thermally-growing SiO2 spacers at a temperature in the range of 500 to 900 degrees Centigrade.
13. A method of manufacturing a short channel MOSFET device according to claim 1, wherein the second implantation step (m) comprises implantation of Arsenic at a dosage of approximately 1×1015 atoms/cm2 and at an energy level greater than the range of 10-20 keV.
14. A method for manufacturing a short-channel MOSFET device in a single crystalline silicon semiconductor substrate of <100> crystallographic orientaion having a surface and containing shallow trench isolation (STI) regions, comprising the steps of:
(a) forming a pad oxide layer on the substrate surface by thermally growing a silicon dioxide layer having a thickness of between approximately 100 and 200 Angstroms;
(b) forming an insulating layer on the pad oxide layer by low pressure chemical vapor deposition (LPCVD) of silicon nitride to a thickness of approximately 2000 Angstroms;
(c) forming a photoresist layer on the insulating layer;
(d) patterning the photoresist layer to form an etching mask to define at least a gate region of the device;
(e) forming a recessed opening into the gate region of the substrate by CF4O2 plasma etching the insulating layer, the pad oxide layer and into the substrate to a depth between 500 and 2000 Angstroms relative to the surface of the semiconductor substrate;
(f) removing the photoresist from the substrate;
(g) depositing a thermally grown gate oxide layer on the substrate in the gate region opening to a thickness of between approximately 100 and 200 Angstroms;
(h) sputtering a layer of metal comprised of Titanium/Tungsten over the substrate thereby forming a metal gate conductor in the opening over the gate oxide layer;
(i) removing the layer of metal apart from the metal gate conductor from the top surface of insulating layer, by chemical-mechanical polishing (CMP);
(j) etching away the insulating layer with hot phosphoric acid, thereby exposing the metal gate conductor and the pad oxide surfaces;
(k) implantating Phosphorous at a dose of approximately 1-2×1013 atoms/cm2 and at an energy level in the range of 10-20 keV to form a lightly doped drain (LDD) region in the substrate defined by self alignment with the gate metal conductor;
(l) then forming silicon dioxide spacers conforming to the gate metal conductor and the pad oxide by thermally-growing silicon dioxide at a temperature in the range of 500 to 900 degrees Centigrade, whereby the pad oxide layer under the spacers is preserved; and
(m) implantating Arsenic at a dosage of approximately 1×1015 atoms/cm2 and at an energy level greater than the range of 10-100 keV to form a raised source and drain region in the substrate defined by self alignment with the silicon dioxide spacers and the recessed gate metal conductor.
US09/358,986 1999-07-22 1999-07-22 Method for manufacturing short-channel, metal-gate CMOS devices with superior hot carrier performance Expired - Lifetime US6214670B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/358,986 US6214670B1 (en) 1999-07-22 1999-07-22 Method for manufacturing short-channel, metal-gate CMOS devices with superior hot carrier performance

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/358,986 US6214670B1 (en) 1999-07-22 1999-07-22 Method for manufacturing short-channel, metal-gate CMOS devices with superior hot carrier performance

Publications (1)

Publication Number Publication Date
US6214670B1 true US6214670B1 (en) 2001-04-10

Family

ID=23411849

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/358,986 Expired - Lifetime US6214670B1 (en) 1999-07-22 1999-07-22 Method for manufacturing short-channel, metal-gate CMOS devices with superior hot carrier performance

Country Status (1)

Country Link
US (1) US6214670B1 (en)

Cited By (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6303449B1 (en) * 2000-11-16 2001-10-16 Chartered Semiconductor Manufacturing Inc. Method to form self-aligned elevated source/drain by selective removal of gate dielectric in the source/drain region followed by poly deposition and CMP
US6337262B1 (en) * 2000-03-06 2002-01-08 Chartered Semiconductor Manufacturing Ltd. Self aligned T-top gate process integration
US6355528B1 (en) * 1999-08-11 2002-03-12 Advanced Micro Devices, Inc. Method to form narrow structure using double-damascene process
US6380088B1 (en) * 2001-01-19 2002-04-30 Chartered Semiconductor Manufacturing, Inc. Method to form a recessed source drain on a trench side wall with a replacement gate technique
US6413802B1 (en) * 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US6515320B1 (en) 2001-07-24 2003-02-04 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same including thicker insulating layer on lower part of electrode
US6555880B2 (en) * 2001-06-07 2003-04-29 International Business Machines Corporation Self-aligned silicide process utilizing ion implants for reduced silicon consumption and control of the silicide formation temperature and structure formed thereby
US6566215B1 (en) 2002-06-06 2003-05-20 Chartered Semiconductor Manufacturing Ltd. Method of fabricating short channel MOS transistors with source/drain extensions
US6656764B1 (en) * 2002-05-15 2003-12-02 Taiwan Semiconductor Manufacturing Company Process for integration of a high dielectric constant gate insulator layer in a CMOS device
US6727142B1 (en) * 2002-10-29 2004-04-27 International Business Machines Corporation Orientation independent oxidation of nitrided silicon
US20040161902A1 (en) * 2002-12-31 2004-08-19 Dongbu Electronics Co., Ltd. Method for fabricating transistor of semiconductor device
US20040191998A1 (en) * 2003-03-28 2004-09-30 International Business Machines Corporation Preserving teos hard mask using cor for raised source-drain including removable/disposable spacer
US6809006B2 (en) 2001-09-13 2004-10-26 Hynix Semiconductor Inc. Method of semiconductor device isolation
US6825684B1 (en) 2002-06-10 2004-11-30 Advanced Micro Devices, Inc. Hot carrier oxide qualification method
US20040262688A1 (en) * 2003-06-25 2004-12-30 International Business Machines Corporation METHOD OF MAKING A finFET HAVING SUPPRESSED PARASITIC DEVICE CHARACTERISTICS
EP1498940A2 (en) * 2003-07-15 2005-01-19 Air Products And Chemicals, Inc. Use of hypofluorites, fluoroperoxides, and/or fluorotrioxides as oxidizing agent in fluorocarbon etch plasmas
US20050014338A1 (en) * 2003-07-14 2005-01-20 Samsung Electronics Co., Ltd. Integration method of a semiconductor device having a recessed gate electrode
US6856160B1 (en) 2002-06-10 2005-02-15 Advanced Micro Devices, Inc. Maximum VCC calculation method for hot carrier qualification
US20050079661A1 (en) * 2003-10-13 2005-04-14 Cho Min-Hee Recessed gate transistor structure and method of forming the same
US20050275042A1 (en) * 2004-06-10 2005-12-15 Samsung Electronics Co., Ltd. Semiconductor device including a field effect transistor and method of forming thereof
US20060141727A1 (en) * 2004-12-29 2006-06-29 Shin Eun J Method of fabricating low-power CMOS device
US20070152270A1 (en) * 2003-12-31 2007-07-05 Kwan-Ju Koh Transistors and manufacturing methods thereof
US20080012070A1 (en) * 2005-07-08 2008-01-17 Werner Juengling Apparatus for a self-aligned recessed access device (rad) transistor gate
US20090017575A1 (en) * 2007-07-12 2009-01-15 Daniel Knudsen Methods Of Forming Openings
US20090239343A1 (en) * 2006-07-17 2009-09-24 Fernando Gonzalez Methods Of Forming Lines Of Capacitorless One Transistor DRAM Cells, Methods Of Patterning Substrates, And Methods Of Forming Two Conductive Lines
US20100197090A1 (en) * 2009-02-03 2010-08-05 Samsung Electronics Co., Ltd. Method of fabricating semiconductor device having transistor
US20110086476A1 (en) * 2005-08-30 2011-04-14 Micron Technology, Inc. Methods of Forming Field Effect Transistors on Substrates
US20110124168A1 (en) * 2006-02-02 2011-05-26 Micron Technology, Inc. Methods of Forming Field Effect Transistors, Methods of Forming Field Effect Transistor Gates, Methods of Forming Integrated Circuitry Comprising a Transistor Gate Array and Circuitry Peripheral to the Gate Array, and Methods of Forming Integrated Circuitry Comprising a Transistor Gate Array Including First Gates and Second Grounded Isolation Gates
US20130049090A1 (en) * 2005-07-06 2013-02-28 Infineon Technologies Ag Buried Gate Transistor
US8446762B2 (en) 2006-09-07 2013-05-21 Micron Technology, Inc. Methods of making a semiconductor memory device
US20140264614A1 (en) * 2013-03-15 2014-09-18 Microchip Technology Incorporated Spacer Enabled Poly Gate
US8859367B2 (en) 2010-07-09 2014-10-14 Micron Technology, Inc. Gate constructions of recessed access devices and methods of forming gate constructions of recessed access devices
TWI492313B (en) * 2010-09-10 2015-07-11 Richtek Technology Corp Method of manufacturing mos device having lightly doped drain structures
US9269634B2 (en) 2011-05-16 2016-02-23 Globalfoundries Inc. Self-aligned metal gate CMOS with metal base layer and dummy gate structure
US10515801B2 (en) 2007-06-04 2019-12-24 Micron Technology, Inc. Pitch multiplication using self-assembling materials

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5434093A (en) * 1994-08-10 1995-07-18 Intel Corporation Inverted spacer transistor
US5489543A (en) 1994-12-01 1996-02-06 United Microelectronics Corp. Method of forming a MOS device having a localized anti-punchthrough region
US5538913A (en) 1995-11-13 1996-07-23 United Microelectronics Corporation Process for fabricating MOS transistors having full-overlap lightly-doped drain structure
US5670401A (en) 1996-08-22 1997-09-23 Vanguard International Semiconductor Corporation Method for fabricating a deep submicron mosfet device using an in-situ polymer spacer to decrease device channel length
US5817558A (en) * 1997-06-20 1998-10-06 Acer Semiconductor Manufacturing Inc. Method of forming a T-gate Lightly-Doped Drain semiconductor device
US5998288A (en) * 1998-04-17 1999-12-07 Advanced Micro Devices, Inc. Ultra thin spacers formed laterally adjacent a gate conductor recessed below the upper surface of a substrate

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5434093A (en) * 1994-08-10 1995-07-18 Intel Corporation Inverted spacer transistor
US5489543A (en) 1994-12-01 1996-02-06 United Microelectronics Corp. Method of forming a MOS device having a localized anti-punchthrough region
US5538913A (en) 1995-11-13 1996-07-23 United Microelectronics Corporation Process for fabricating MOS transistors having full-overlap lightly-doped drain structure
US5670401A (en) 1996-08-22 1997-09-23 Vanguard International Semiconductor Corporation Method for fabricating a deep submicron mosfet device using an in-situ polymer spacer to decrease device channel length
US5817558A (en) * 1997-06-20 1998-10-06 Acer Semiconductor Manufacturing Inc. Method of forming a T-gate Lightly-Doped Drain semiconductor device
US5998288A (en) * 1998-04-17 1999-12-07 Advanced Micro Devices, Inc. Ultra thin spacers formed laterally adjacent a gate conductor recessed below the upper surface of a substrate

Cited By (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6355528B1 (en) * 1999-08-11 2002-03-12 Advanced Micro Devices, Inc. Method to form narrow structure using double-damascene process
US6337262B1 (en) * 2000-03-06 2002-01-08 Chartered Semiconductor Manufacturing Ltd. Self aligned T-top gate process integration
US6413802B1 (en) * 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US6303449B1 (en) * 2000-11-16 2001-10-16 Chartered Semiconductor Manufacturing Inc. Method to form self-aligned elevated source/drain by selective removal of gate dielectric in the source/drain region followed by poly deposition and CMP
US6380088B1 (en) * 2001-01-19 2002-04-30 Chartered Semiconductor Manufacturing, Inc. Method to form a recessed source drain on a trench side wall with a replacement gate technique
US6716708B2 (en) 2001-06-07 2004-04-06 International Business Machines Corporation Self-aligned silicide process utilizing ion implants for reduced silicon consumption and control of the silicide formation temperature and structure formed thereby
US6555880B2 (en) * 2001-06-07 2003-04-29 International Business Machines Corporation Self-aligned silicide process utilizing ion implants for reduced silicon consumption and control of the silicide formation temperature and structure formed thereby
US20030132487A1 (en) * 2001-06-07 2003-07-17 International Business Machines Corporation Self-aligned silicide process utilizing ion implants for reduced silicon consumption and control of the silicide formation temperature and structure formed thereby
US6515320B1 (en) 2001-07-24 2003-02-04 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same including thicker insulating layer on lower part of electrode
US6649462B2 (en) 2001-07-24 2003-11-18 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same including T-shaped gate
US6809006B2 (en) 2001-09-13 2004-10-26 Hynix Semiconductor Inc. Method of semiconductor device isolation
US7393766B2 (en) 2002-05-15 2008-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Process for integration of a high dielectric constant gate insulator layer in a CMOS device
US6656764B1 (en) * 2002-05-15 2003-12-02 Taiwan Semiconductor Manufacturing Company Process for integration of a high dielectric constant gate insulator layer in a CMOS device
US20050196927A1 (en) * 2002-05-15 2005-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Process for integration of a high dielectric constant gate insulator layer in a CMOS device
US6566215B1 (en) 2002-06-06 2003-05-20 Chartered Semiconductor Manufacturing Ltd. Method of fabricating short channel MOS transistors with source/drain extensions
US6856160B1 (en) 2002-06-10 2005-02-15 Advanced Micro Devices, Inc. Maximum VCC calculation method for hot carrier qualification
US6825684B1 (en) 2002-06-10 2004-11-30 Advanced Micro Devices, Inc. Hot carrier oxide qualification method
US6727142B1 (en) * 2002-10-29 2004-04-27 International Business Machines Corporation Orientation independent oxidation of nitrided silicon
US20040082197A1 (en) * 2002-10-29 2004-04-29 International Business Machines Corporation Orientation independent oxidation of nitrided silicon
US20040161902A1 (en) * 2002-12-31 2004-08-19 Dongbu Electronics Co., Ltd. Method for fabricating transistor of semiconductor device
US20040191998A1 (en) * 2003-03-28 2004-09-30 International Business Machines Corporation Preserving teos hard mask using cor for raised source-drain including removable/disposable spacer
US6992354B2 (en) 2003-06-25 2006-01-31 International Business Machines Corporation FinFET having suppressed parasitic device characteristics
US20040262688A1 (en) * 2003-06-25 2004-12-30 International Business Machines Corporation METHOD OF MAKING A finFET HAVING SUPPRESSED PARASITIC DEVICE CHARACTERISTICS
US7470578B2 (en) 2003-06-25 2008-12-30 International Business Machines Corporation Method of making a finFET having suppressed parasitic device characteristics
US6939765B2 (en) * 2003-07-14 2005-09-06 Samsung Electronics Co., Ltd. Integration method of a semiconductor device having a recessed gate electrode
US20050275014A1 (en) * 2003-07-14 2005-12-15 Samsung Electronics Co., Ltd. Integration method of a semiconductor device having a recessed gate electrode
US20050014338A1 (en) * 2003-07-14 2005-01-20 Samsung Electronics Co., Ltd. Integration method of a semiconductor device having a recessed gate electrode
US20070224829A1 (en) * 2003-07-15 2007-09-27 Air Products And Chemicals, Inc. Use Of Hypofluorites, Fluoroperoxides, And/Or Fluorotrioxides As Oxidizing Agent In Fluorocarbon Etch Plasmas
EP1498940A3 (en) * 2003-07-15 2005-08-24 Air Products And Chemicals, Inc. Use of hypofluorites, fluoroperoxides, and/or fluorotrioxides as oxidizing agent in fluorocarbon etch plasmas
US20050014383A1 (en) * 2003-07-15 2005-01-20 Bing Ji Use of hypofluorites, fluoroperoxides, and/or fluorotrioxides as oxidizing agent in fluorocarbon etch plasmas
EP1498940A2 (en) * 2003-07-15 2005-01-19 Air Products And Chemicals, Inc. Use of hypofluorites, fluoroperoxides, and/or fluorotrioxides as oxidizing agent in fluorocarbon etch plasmas
US7777258B2 (en) 2003-10-13 2010-08-17 Samsung Electronics Co., Ltd. Recessed gate transistor structure and method of forming the same
US20070069268A1 (en) * 2003-10-13 2007-03-29 Samsung Electronics Co., Ltd. Recessed gate transistor structure and method of forming the same
US7153745B2 (en) * 2003-10-13 2006-12-26 Samsung Electronics Co., Ltd. Recessed gate transistor structure and method of forming the same
US20050079661A1 (en) * 2003-10-13 2005-04-14 Cho Min-Hee Recessed gate transistor structure and method of forming the same
US20070152270A1 (en) * 2003-12-31 2007-07-05 Kwan-Ju Koh Transistors and manufacturing methods thereof
US7446377B2 (en) * 2003-12-31 2008-11-04 Dongbu Electronics Co., Ltd. Transistors and manufacturing methods thereof
US20050275042A1 (en) * 2004-06-10 2005-12-15 Samsung Electronics Co., Ltd. Semiconductor device including a field effect transistor and method of forming thereof
US7319255B2 (en) * 2004-06-10 2008-01-15 Samsung Electronics Co., Ltd. Semiconductor device including a metal gate electrode formed in a trench and method of forming thereof
US7371655B2 (en) * 2004-12-29 2008-05-13 Dongbu Electronics Co., Ltd Method of fabricating low-power CMOS device
US20060141727A1 (en) * 2004-12-29 2006-06-29 Shin Eun J Method of fabricating low-power CMOS device
US9059141B2 (en) 2005-07-06 2015-06-16 Infineon Technologies Ag Buried gate transistor
US8796762B2 (en) * 2005-07-06 2014-08-05 Infineon Technologies Ag Buried gate transistor
US20130049090A1 (en) * 2005-07-06 2013-02-28 Infineon Technologies Ag Buried Gate Transistor
US9536971B2 (en) 2005-07-08 2017-01-03 Micron Technology, Inc. Semiconductor device comprising a transistor gate having multiple vertically oriented sidewalls
US20080012070A1 (en) * 2005-07-08 2008-01-17 Werner Juengling Apparatus for a self-aligned recessed access device (rad) transistor gate
US8916912B2 (en) 2005-07-08 2014-12-23 Micron Technology, Inc. Semiconductor device comprising a transistor gate having multiple vertically oriented sidewalls
US8399920B2 (en) 2005-07-08 2013-03-19 Werner Juengling Semiconductor device comprising a transistor gate having multiple vertically oriented sidewalls
US20110086476A1 (en) * 2005-08-30 2011-04-14 Micron Technology, Inc. Methods of Forming Field Effect Transistors on Substrates
US8877589B2 (en) 2005-08-30 2014-11-04 Micron Technology, Inc. Methods of forming field effect transistors on substrates
US8426273B2 (en) 2005-08-30 2013-04-23 Micron Technology, Inc. Methods of forming field effect transistors on substrates
US20110124168A1 (en) * 2006-02-02 2011-05-26 Micron Technology, Inc. Methods of Forming Field Effect Transistors, Methods of Forming Field Effect Transistor Gates, Methods of Forming Integrated Circuitry Comprising a Transistor Gate Array and Circuitry Peripheral to the Gate Array, and Methods of Forming Integrated Circuitry Comprising a Transistor Gate Array Including First Gates and Second Grounded Isolation Gates
US8389363B2 (en) * 2006-02-02 2013-03-05 Micron Technology, Inc. Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
US8551823B2 (en) 2006-07-17 2013-10-08 Micron Technology, Inc. Methods of forming lines of capacitorless one transistor DRAM cells, methods of patterning substrates, and methods of forming two conductive lines
US9129847B2 (en) 2006-07-17 2015-09-08 Micron Technology, Inc. Transistor structures and integrated circuitry comprising an array of transistor structures
US20090239343A1 (en) * 2006-07-17 2009-09-24 Fernando Gonzalez Methods Of Forming Lines Of Capacitorless One Transistor DRAM Cells, Methods Of Patterning Substrates, And Methods Of Forming Two Conductive Lines
US8446762B2 (en) 2006-09-07 2013-05-21 Micron Technology, Inc. Methods of making a semiconductor memory device
US10515801B2 (en) 2007-06-04 2019-12-24 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US7829369B2 (en) 2007-07-12 2010-11-09 Aptina Imaging Corporation Methods of forming openings
US20090017575A1 (en) * 2007-07-12 2009-01-15 Daniel Knudsen Methods Of Forming Openings
US8101482B2 (en) * 2009-02-03 2012-01-24 Samsung Electronics Co., Ltd. Method of fabricating semiconductor device having transistor
US20100197090A1 (en) * 2009-02-03 2010-08-05 Samsung Electronics Co., Ltd. Method of fabricating semiconductor device having transistor
US8859367B2 (en) 2010-07-09 2014-10-14 Micron Technology, Inc. Gate constructions of recessed access devices and methods of forming gate constructions of recessed access devices
TWI492313B (en) * 2010-09-10 2015-07-11 Richtek Technology Corp Method of manufacturing mos device having lightly doped drain structures
US9269634B2 (en) 2011-05-16 2016-02-23 Globalfoundries Inc. Self-aligned metal gate CMOS with metal base layer and dummy gate structure
US9385043B2 (en) * 2013-03-15 2016-07-05 Microchip Technology Incorporated Spacer enabled poly gate
US20160314978A1 (en) * 2013-03-15 2016-10-27 Microchip Technology Incorporated Spacer Enabled Poly Gate
US10290503B2 (en) * 2013-03-15 2019-05-14 Microchip Technology Incorporated Spacer enabled poly gate
US20140264614A1 (en) * 2013-03-15 2014-09-18 Microchip Technology Incorporated Spacer Enabled Poly Gate

Similar Documents

Publication Publication Date Title
US6214670B1 (en) Method for manufacturing short-channel, metal-gate CMOS devices with superior hot carrier performance
JP4446949B2 (en) Method for forming elevated salicide source / drain regions
US7288470B2 (en) Semiconductor device comprising buried channel region and method for manufacturing the same
KR100282452B1 (en) Semiconductor device and method for fabricating the same
US6110787A (en) Method for fabricating a MOS device
US6153455A (en) Method of fabricating ultra shallow junction CMOS transistors with nitride disposable spacer
US6165871A (en) Method of making low-leakage architecture for sub-0.18 μm salicided CMOS device
US6204137B1 (en) Method to form transistors and local interconnects using a silicon nitride dummy gate technique
US6271133B1 (en) Optimized Co/Ti-salicide scheme for shallow junction deep sub-micron device fabrication
US6495406B1 (en) Method of forming lightly doped drain MOS transistor including forming spacers on gate electrode pattern before exposing gate insulator
US20020090787A1 (en) Self-aligned elevated transistor
KR100521707B1 (en) Metal gate cmos and method of manufacturing the same
US7001817B2 (en) Method for fabricating a semiconductor device
JP3744694B2 (en) Semiconductor device manufacturing method for improving transistor characteristics
US6008100A (en) Metal-oxide semiconductor field effect transistor device fabrication process
US6284610B1 (en) Method to reduce compressive stress in the silicon substrate during silicidation
US6232160B1 (en) Method of delta-channel in deep sub-micron process
US6204185B1 (en) Method for forming self-align stop layer for borderless contact process
US7279741B2 (en) Semiconductor device with increased effective channel length and method of manufacturing the same
US6235566B1 (en) Two-step silicidation process for fabricating a semiconductor device
US6579765B1 (en) Metal oxide semiconductor field effect transistors
KR100734259B1 (en) Method for fabricating semiconductor devices
KR100236094B1 (en) Method of forming contact of semiconductor device
KR20030003341A (en) Method of fabricating transistor using trench gate
KR100186518B1 (en) Method of fabricating semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SHIH, JIAW-REN;CHEN, SHUI-HUNG;LEE, JIAN-HSING;REEL/FRAME:010125/0052

Effective date: 19990703

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12