US6380010B2 - Shielded channel transistor structure with embedded source/drain junctions - Google Patents

Shielded channel transistor structure with embedded source/drain junctions Download PDF

Info

Publication number
US6380010B2
US6380010B2 US09/887,903 US88790301A US6380010B2 US 6380010 B2 US6380010 B2 US 6380010B2 US 88790301 A US88790301 A US 88790301A US 6380010 B2 US6380010 B2 US 6380010B2
Authority
US
United States
Prior art keywords
pillar
layer
forming
silicon
polysilicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US09/887,903
Other versions
US20010036693A1 (en
Inventor
Lawrence N. Brigham
Richard Green
Ebrahim Andideh
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Priority to US09/887,903 priority Critical patent/US6380010B2/en
Publication of US20010036693A1 publication Critical patent/US20010036693A1/en
Application granted granted Critical
Publication of US6380010B2 publication Critical patent/US6380010B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66643Lateral single gate silicon transistors with source or drain regions formed by a Schottky barrier or a conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0895Tunnel injectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • H01L21/76283Lateral isolation by refilling of trenches with dielectric material

Definitions

  • the invention relates to metal-oxide-semiconductor field effect transistors (MOSFETs) and more particularly to transistor structures having very low junction capacitance, and methods of making same.
  • MOSFETs metal-oxide-semiconductor field effect transistors
  • Transistor loading capacitance generally has three components, intrinsic gate capacitance, overlap capacitance, and junction capacitance.
  • MOSFETs have been constructed on an insulating substrate. This is often referred to as silicon-on-insulator (SOI).
  • SOI silicon-on-insulator
  • Typical SOI processes reduce junction capacitance by isolating junctions from the substrate by interposing a thick buried oxide layer.
  • short-channel MOSFETs constructed with thick buried oxide isolation layers tend to have poor punch-through characteristics, poor short-channel characteristics and other effects related to the floating body.
  • a MOSFET structure in which the channel region is contiguous with the semiconductor substrate while the source and drain junctions are substantially isolated from the substrate, includes a dielectric volume formed adjacent and subjacent to portions of the source and drain regions.
  • a process for forming a MOSFET having an isolated source and drain includes forming a recess in a shallow trench isolation (STI) insulator material that surrounds a pillar of silicon which is contiguous with the substrate, forming a silicon region within the recess, and then completing the operations needed to form a MOSFET, resulting in a MOSFET with a source and drain isolated from the body by STI insulation.
  • STI shallow trench isolation
  • FIG. 1 is a schematic cross-section showing a silicon pillar extending from the substrate, with shallow trench isolation (STI) oxide surrounding the pillar sidewalls, and a masking layer of silicon nitride overlying the pillar.
  • STI shallow trench isolation
  • FIG. 2 is a schematic cross-section showing the structure of FIG. 1, after a portion of the STI oxide is removed.
  • FIG. 3 is a schematic cross-section showing the structure of FIG. 2, after the exposed portion of the pillar sidewall has been oxidized, the masking layer, comprised of silicon nitride, has been removed and a well implant operation has been performed.
  • FIG. 4 is a schematic cross-section showing the structure of FIG. 3, after polycrystalline silicon (poly) has been deposited and planarized over the pillar and the recessed portion of the STI oxide.
  • FIG. 5 is a schematic cross-section showing the structure of FIG. 4, after the poly has been etched back.
  • FIG. 6 is a schematic cross-section showing the structure of FIG. 5, after a selective silicon deposition.
  • FIG. 7 is a schematic cross-section showing the structure of FIG. 6, after a gate insulator layer is formed and a poly layer has been deposited and gate patterning operation has been performed.
  • FIG. 8 is a schematic cross-section showing the structure of FIG. 7, after gate sidewall spacers have been formed.
  • FIG. 9 is a schematic cross-section showing the structure of FIG. 8, after silicide formation.
  • FIG. 10 is a flow diagram illustrating the various operations in a manufacturing process in accordance with the present invention.
  • FIG. 11 is a schematic cross-section of an unlanded contact at a recessed S/D edge in accordance with an aspect of the present invention.
  • FIG. 12 is a top view of a transistor layout with the contactless N to P diffusion connection.
  • FIG. 13 is a cross-sectional side view of the transistor layout with the contactless N to P diffusion connection in accordance with the present invention.
  • MOSFET integrated circuits can be improved by reducing parasitic junction capacitance.
  • Various attempts to reduce this junction capacitance have included fabricating transistors on insulating substrates, for example sapphire, or more commonly, a silicon-on-insulator (SOI) substrate. While these approaches do reduce the junction capacitance associated with FET structures by isolating the junctions from the semiconductor body, unfortunately they also create device performance problems due to the floating body effect.
  • SOI silicon-on-insulator
  • a further performance problem encountered in short channel FETs is that the relatively deep S/D junctions in such a way that at very small channel lengths, the ability to control the effective channel length of the FET is degraded. More generally, it can be said that the relatively deep S/D junctions can exacerbate the observed short channel effects.
  • FETs embodying the present invention include a silicon pillar, contiguous with the bulk semiconductor, that is substantially surrounded by STI oxide, which has been recessed to receive polysilicon, and a superjacent layer of silicon formed thereon. Subsequent to formation of the recesses in the STI oxide, the uppermost portion of the pillar, which is not covered by the STI oxide, is oxidized to form a barrier layer. The source and drain are formed in the silicon of the recessed portions of the STI oxide. In this way the source and drain are isolated from the body, thereby substantially reducing both parasitic junction capacitance and junction leakage currents. Isolation of the source and drain in this way also reduces the degradation of effective channel length that can otherwise occur.
  • chip integrated circuit
  • monolithic device semiconductor device, and microelectronic device
  • present invention is applicable to all the above as they are generally understood in the field.
  • metal line trace, wire, conductor, signal path and signaling medium are all related. The related terms listed above, are generally interchangeable, and appear in order from specific to general. In this field, metal lines are sometimes referred to as traces, wires, lines, interconnect or simply metal.
  • Metal lines generally aluminum (Al), copper (Cu) or an alloy of Al and Cu, are conductors that provide signal paths for coupling or interconnecting, electrical circuitry. Conductors other than metal are available in microelectronic devices.
  • doped polysilicon doped single-crystal silicon (often referred to simply as diffusion, regardless of whether such doping is achieved by thermal diffusion or ion implantation), titanium (Ti), molybdenum (Mo), cobalt (Co), nickel (Ni) and tungsten (W) and refractory metal silicides are examples of other conductors.
  • contact and via both refer to structures for electrical connection of conductors from different interconnect levels. These terms are sometimes used in the art to describe both an opening in an insulator in which the structure will be completed, and the completed structure itself. For purposes of this disclosure contact and via refer to the completed structure.
  • DIBL drain induced barrier lowering
  • Epitaxial layer refers to a layer of single crystal semiconductor material.
  • gate is context sensitive and can be used in two ways when describing integrated circuits.
  • gate refers to the insulated gate terminal of a three terminal FET when used in the context of transistor circuit configuration, and refers to a circuit for realizing an arbitrary logical function when used in the context of a logic gate.
  • a FET can be viewed as a four terminal device when the semiconductor body is considered.
  • Polycrystalline silicon is a nonporous form of silicon made up of randomly oriented crystallites or domains. Polycrystalline silicon is often formed by chemical vapor deposition from a silicon source gas or other methods and has a structure that contains large-angle grain boundaries, twin boundaries, or both. Polycrystalline silicon is often referred to in this field as polysilicon, or sometimes more simply as poly.
  • Source/drain terminals refer to the terminals of a FET, between which conduction occurs under the influence of an electric field, subsequent to the inversion of the semiconductor surface under the influence of an electric field resulting from a voltage applied to the gate terminal.
  • the source and drain terminals are fabricated such that they are geometrically symmetrical. With geometrically symmetrical source and drain terminals it is common to simply refer to these terminals as source/drain terminals, and this nomenclature is used herein.
  • Designers often designate a particular source/drain terminal to be a “source” or a “drain” on the basis of the voltage to be applied to that terminal when the FET is operated in a circuit.
  • SIMOX refers to a process that involves implanting oxygen and high temperature annealing to form a thin silicon film over a buried oxide layer.
  • the acronym “SIMOX” stands for separation by implantation of oxygen. SIMOX is sometimes used in this field to refer to a product that is produced by the SIMOX process.
  • Substrate refers to the physical object which is the basic workpiece that is transformed by various process operations into the desired microelectronic configuration.
  • a substrate may also be referred to as a wafer.
  • Wafers may be made of semiconducting, non-semiconducting, or combinations of semiconducting and non-semiconducting materials.
  • vertical as used herein, means substantially perpendicular to the surface of a substrate.
  • a wafer is processed in known ways to form patterned and planarized shallow trench isolation regions in a surface of a substrate. Generally, this is accomplished by patterning a material such as silicon nitride on the surface of the wafer, etching and then filling shallow trench isolation regions in the surface of the wafer.
  • the results of this processing can be seen in FIG. 1, where a substrate 102 has one or more pillars 104 which have not been etched because of a hard mask 106 , which is typically a patterned silicon nitride layer, and the etched regions are typically filled with an electrically insulating material 108 such as silicon dioxide.
  • the silicon dioxide that fills the trench is sometimes referred to simply as the trench oxide.
  • the shallow trench isolation oxide may be referred to as field oxide.
  • the upper surface of pillar 104 is a portion of the original surface of the wafer.
  • the wafer is then subjected to a masking and etching step that removes a portion of trench oxide 108 that is adjacent to pillar 104 .
  • a recess 110 formed by this masking and etching operation is typically made on two sides of pillar 104 . Two sides are typically used because these will become the source/drain terminals.
  • Recesses 110 are formed to a depth such that a portion the sidewalls of pillar 104 are exposed. Material subsequently formed in recesses 110 will become part of the source/drain terminals.
  • barrier layer 112 has a thickness in the range of approximately 100 angstroms to 300 angstroms.
  • Barrier layer 112 is an electrically insulating material.
  • a polysilicon layer 114 is then formed in super-recess 111 .
  • a-Si amorphous silicon
  • barrier layer 112 may be formed by an oxidation operation prior to removal of hard mask 106 .
  • a polysilicon layer is deposited before the removal of hard mask 106 .
  • FIG. 5 shows a schematic cross-sectional view of the structure that results when the structure of FIG. 4 is subjected to a poly etch operation.
  • the top surface of poly 114 is lowered until it is substantially even with the top surface of pillar 104 .
  • the top surface of pillar 104 is exposed after this poly etch operation.
  • poly 114 is surrounded by electrically insulating material on its bottom and side surfaces.
  • FIG. 6 shows a schematic cross-sectional view of the structure that results when the structure of FIG. 5 is subjected to a selective silicon deposition operation.
  • This selective silicon deposition is typically performed in a subatmospheric epi reactor.
  • a silicon layer 116 is formed over pillar 104 , barrier layers 112 , and poly 114 .
  • Silicon layer 116 is a substantially single crystal silicon layer as distinguished from the polycrystalline nature of poly 114 .
  • silicon layer 116 has a thickness in the range of approximately 200 angstroms to 500 angstroms. Due to lateral growth of the silicon, silicon layer 116 will be a continuous film across barrier layers 112 .
  • the illustrative embodiment uses silicon for layer 116 , other materials such as, for example, silicon germanium (SiGe) may also be used.
  • FIG. 8 shows a schematic cross-section of the structure of FIG. 7 after the formation of sidewall spacers 122 adjacent to gate electrode 120 and gate insulator 118 .
  • Sidewall spacers 122 are typically formed of silicon nitride. In the illustrated embodiment of the present invention, sidewall spacers 122 overlap barrier layer 112 as shown in FIG. 8 . Additionally, sidewall spacers 122 serve to shadow pillar 104 from a subsequent deep S/D implant.
  • a silicidation operation forms silicided source/drain regions 124 , and a silicided region 125 of gate electrode 120 .
  • Various metals can be used to form silicided regions 124 , 125 , including but not limited to titanium.
  • a trench is formed in the surface of a substrate. This typically includes forming a layer of silicon nitride on a silicon wafer, and patterning the silicon nitride such that portions of the underlying wafer are exposed for etching. Trenches in the surface of the wafer are formed by etching the exposed silicon.
  • the trenches are substantially filled with an insulating material.
  • trench filling takes place with the patterned silicon nitride layer still present on the surface of the substrate, and therefore the trench depth is determined at this point in the process by etch depth into the substrate plus the thickness of the silicon nitride layer. This is seen clearly in the cross-sections of FIGS. 1-2.
  • the trenches are substantially filled with silicon dioxide, although alternative schemes such as using other insulators, or combinations of insulators are within the scope of the invention.
  • recesses in that insulating material are formed.
  • a recess mask is used to define the areas where the recesses are to be formed.
  • the pillars formed at 202 are typically, but not necessarily, rectangular, and the recesses are formed on opposing sides to provide regions in which the FET source/drain terminals will be formed.
  • the patterned silicon nitride layer that overlies the top surface of the pillar is removed at this point in the process.
  • the recesses on opposing sides of the pillar are effectively joined into one larger opening, or “super-recess”, that is bounded on its outer vertical sidewalls by the trench insulator material, and bounded on the bottom by the top surface of the pillar and the top surfaces of the trench insulator recesses.
  • Introduction of impurities into the pillars is performed to establish the desired electrical characteristics of the pillars. Impurities may be introduced by diffusion, implantation, or any other suitable method. For example, n-type impurities, including but not limited to arsenic, are implanted into regions where p-type FETs are to be formed.
  • p-type impurities including but not limited to boron
  • a shield layer alternatively referred to as a barrier layer
  • the super-recesses are substantially filled with polysilicon.
  • a-Si may be used.
  • the polysilicon in the super-recesses is etched back 210 until the top surface of the polysilicon and the top surface of the pillar are substantially coplanar.
  • a selective silicon deposition is then performed. Lateral growth of this layer provides the mechanism by which silicon also covers the barrier layers.
  • SiGe may be used in place of silicon to form the thin layer that spans across the S/D and channel regions.
  • Gate insulator and gate electrode layers are formed 214 over the surface of the substrate.
  • the gate insulator is typically a thin layer of oxidized silicon, however the thickness and chemical make-up of the gate insulator layer may be varied within the scope of the invention.
  • the gate electrode layer is typically formed of polysilicon. Gates, i.e., the gate electrode and the gate insulator taken together, are then patterned 216 by known methods. Typically sidewall spacers are formed adjacent to the gates. Such sidewall spacers may be formed of silicon nitride. Source/drain terminals are formed 218 typically by implanting impurities into the exposed silicon and the underlying polysilicon in the recessed portions of the trench insulator material.
  • FIG. 9 a schematic cross-section of a shielded channel transistor (SCT) in accordance with embodiments of the present invention is shown.
  • SCT shielded channel transistor
  • An SCT in accordance with embodiments of the present invention is an insulated gate FET built on a silicon pillar 104 large enough to accommodate the channel and shallow S/D extensions.
  • This pedestal is alternatively referred to as a pillar.
  • the deep S/D region 114 used to make external contact to the device is formed using polysilicon embedded in trench isolation material 108 , typically an oxide of silicon.
  • S/D regions 114 are isolated from the transistor channel and silicon pillar 104 by a barrier layer 112 .
  • barrier layer 112 is formed by oxidation of at least a portion of the sidewalls of pillar 104 .
  • Such barrier layers 112 may alternatively be referred to as a shield oxide.
  • connection between deep S/D regions 114 and the shallow S/D extensions is effectuated through a selective epi silicon layer 116 formed over pillar 104 , shield oxides 112 , and the polysilicon of deep S/D regions 114 .
  • Conventional spacer, S/D implant, and silicide operations are used to complete the transistor. Subsequent contact formation and wiring operations are used to interconnect transistors to form more complex circuits.
  • Embedding deep S/D regions 114 in the isolation material, rather than the bulk semiconductor, provides the low S/D capacitance feature of the present invention.
  • Barrier layers 112 on the sidewalls of pillar 104 allow for termination of fields in the channel direction, thereby reducing the effects of DIBL.
  • FIG. 11 a schematic cross-section showing an SCT with both a landed contact and an unlanded contact.
  • an inter-layer dielectric 130 overlies trench oxide 108 , silicided S/D and gate regions 124 , 125 , sidewall spacers 122 .
  • Contact openings are formed through inter-layer dielectric 130 and filled with a conductive material.
  • contact 132 is unlanded, that is, only partially overlapped by silicided region 124 .
  • contact 134 is landed, that is, completely overlapped by silicided region 124 .
  • contact 132 As will be appreciated by those skilled in the art having the benefit of the present disclosure, the successful formation of contact 132 , as compared to conventional S/D contacts, is less sensitive to manufacturing variations, such as misalignment, misregistration, overetching, and so on, because failure to maintain S/D overlap around the contact does not result in creating an unintended connection to the bulk semiconductor.
  • the local interconnect feature of the present invention results from the fact that the embedded S/D regions can cross well boundaries in the field oxide and connect diffusions without shorting the wells they are placed in. Further, because the S/D regions are silicided, the sheet resistivity of this form of interconnect is relatively low, typically being in the range of approximately 2-10 ohms per square.
  • FIG. 12 An illustrative embodiment of the present invention is shown in FIG. 12 as a schematic top view of a transistor layout incorporating the local interconnect feature of the present invention.
  • the local interconnect feature provides a contactless N to P connection.
  • a p-channel FET (PFET) having a gate 302 is shown along with its associated source and drain terminals 304 , 306 .
  • NFET n-channel FET
  • the two FETs are coupled to form an inverter. More particularly, drain 306 and drain 314 are coupled by a local interconnect 308 that is formed from silicided polysilicon that resides in a recess in field oxide.
  • FIG. 13 shows a schematic cross-section of FIG. 12 taken along line A—A.
  • FIG. 13 illustrates the circuit formed in substrate 324 , and in particular the connection made between the two FETs by local interconnect 308 .
  • Local interconnect 308 includes the doped polysilicon formed in a recess in a recess 321 , and a silicided upper portion which effectively shorts together the N doped and P doped portions of the polysilicon. In this way a connection between the two transistors can be made without having to form a contact to an interconnect line, such as metal, on another level.
  • Embodiments of the present invention provide the low S/D capacitance typically associated with SOI, without the need for SIMOX or bonded substrates. Embodiments of the present invention also eliminate the floating body and back gate effects found in SOI because the SCT channel is in a bulk well. The isolation of the channel from the deep S/D allows shorter effective channel lengths without requiring increases in well or halo implant doses. The local interconnect functionality and unlanded diffusion contact capability are features provided by the structure and implementation method.
  • gate insulator thickness may all be varied from that shown or described in connection with the illustrative embodiments.
  • gate electrode thickness may all be varied from that shown or described in connection with the illustrative embodiments.

Abstract

Microelectronic structures embodying the present invention include a silicon pillar contiguous with a bulk semiconductor, the pillar being surrounded by a shallow trench isolation insulator, which has been recessed to receive polysilicon and a superjacent layer of silicon deposited thereon. Source and drain (S/D) terminals are formed in the silicon of the recessed portions of the shallow trench isolation insulator. In this way the S/D terminals are substantially isolated from the body, thereby substantially reducing both parasitic junction capacitance and junction leakage currents. Isolation of S/D terminals in this way also reduces the degradation of effective channel length that can otherwise occur in MOSFETs.

Description

This application is a divisional of U.S. application Ser. No. 09/166,818 filed Oct. 5, 1998 now U.S. Pat. No. 6,274,913.
BACKGROUND OF THE INVENTION
1. Field of the Invention
The invention relates to metal-oxide-semiconductor field effect transistors (MOSFETs) and more particularly to transistor structures having very low junction capacitance, and methods of making same.
2. Background
Advances in semiconductor process technology and digital system architecture have led to integrated circuits having increased operating frequencies. Unfortunately, higher operating frequencies result in undesirable increases in power consumption. Power consumption is a significant problem in integrated circuit design generally, and particularly in large scale, high speed products such as processors and microprocessors.
Nonetheless, the trend of integrating more functions on a single substrate while operating at ever higher frequencies goes on unabated.
One way to improve integrated circuit performance, is by reducing the loading capacitance of MOSFETs. Transistor loading capacitance generally has three components, intrinsic gate capacitance, overlap capacitance, and junction capacitance. To reduce junction capacitance, MOSFETs have been constructed on an insulating substrate. This is often referred to as silicon-on-insulator (SOI). Typical SOI processes reduce junction capacitance by isolating junctions from the substrate by interposing a thick buried oxide layer. However, short-channel MOSFETs constructed with thick buried oxide isolation layers tend to have poor punch-through characteristics, poor short-channel characteristics and other effects related to the floating body.
What is needed is a structure having reduced junction capacitance while maintaining good device characteristics. What is further needed is a method of manufacturing such a structure.
SUMMARY OF THE INVENTION
Briefly, a MOSFET structure in which the channel region is contiguous with the semiconductor substrate while the source and drain junctions are substantially isolated from the substrate, includes a dielectric volume formed adjacent and subjacent to portions of the source and drain regions.
In a further aspect of the invention, a process for forming a MOSFET having an isolated source and drain, includes forming a recess in a shallow trench isolation (STI) insulator material that surrounds a pillar of silicon which is contiguous with the substrate, forming a silicon region within the recess, and then completing the operations needed to form a MOSFET, resulting in a MOSFET with a source and drain isolated from the body by STI insulation.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 is a schematic cross-section showing a silicon pillar extending from the substrate, with shallow trench isolation (STI) oxide surrounding the pillar sidewalls, and a masking layer of silicon nitride overlying the pillar.
FIG. 2 is a schematic cross-section showing the structure of FIG. 1, after a portion of the STI oxide is removed.
FIG. 3 is a schematic cross-section showing the structure of FIG. 2, after the exposed portion of the pillar sidewall has been oxidized, the masking layer, comprised of silicon nitride, has been removed and a well implant operation has been performed.
FIG. 4 is a schematic cross-section showing the structure of FIG. 3, after polycrystalline silicon (poly) has been deposited and planarized over the pillar and the recessed portion of the STI oxide.
FIG. 5 is a schematic cross-section showing the structure of FIG. 4, after the poly has been etched back.
FIG. 6 is a schematic cross-section showing the structure of FIG. 5, after a selective silicon deposition.
FIG. 7 is a schematic cross-section showing the structure of FIG. 6, after a gate insulator layer is formed and a poly layer has been deposited and gate patterning operation has been performed.
FIG. 8 is a schematic cross-section showing the structure of FIG. 7, after gate sidewall spacers have been formed.
FIG. 9 is a schematic cross-section showing the structure of FIG. 8, after silicide formation.
FIG. 10 is a flow diagram illustrating the various operations in a manufacturing process in accordance with the present invention.
FIG. 11 is a schematic cross-section of an unlanded contact at a recessed S/D edge in accordance with an aspect of the present invention.
FIG. 12 is a top view of a transistor layout with the contactless N to P diffusion connection.
FIG. 13 is a cross-sectional side view of the transistor layout with the contactless N to P diffusion connection in accordance with the present invention.
DETAILED DESCRIPTION
The speed and power performance characteristics of MOSFET integrated circuits can be improved by reducing parasitic junction capacitance. Various attempts to reduce this junction capacitance have included fabricating transistors on insulating substrates, for example sapphire, or more commonly, a silicon-on-insulator (SOI) substrate. While these approaches do reduce the junction capacitance associated with FET structures by isolating the junctions from the semiconductor body, unfortunately they also create device performance problems due to the floating body effect.
A further performance problem encountered in short channel FETs is that the relatively deep S/D junctions in such a way that at very small channel lengths, the ability to control the effective channel length of the FET is degraded. More generally, it can be said that the relatively deep S/D junctions can exacerbate the observed short channel effects.
FETs embodying the present invention include a silicon pillar, contiguous with the bulk semiconductor, that is substantially surrounded by STI oxide, which has been recessed to receive polysilicon, and a superjacent layer of silicon formed thereon. Subsequent to formation of the recesses in the STI oxide, the uppermost portion of the pillar, which is not covered by the STI oxide, is oxidized to form a barrier layer. The source and drain are formed in the silicon of the recessed portions of the STI oxide. In this way the source and drain are isolated from the body, thereby substantially reducing both parasitic junction capacitance and junction leakage currents. Isolation of the source and drain in this way also reduces the degradation of effective channel length that can otherwise occur.
Terminology
The terms, chip, integrated circuit, monolithic device, semiconductor device, and microelectronic device, are often used interchangeably in this field. The present invention is applicable to all the above as they are generally understood in the field.
The terms metal line, trace, wire, conductor, signal path and signaling medium are all related. The related terms listed above, are generally interchangeable, and appear in order from specific to general. In this field, metal lines are sometimes referred to as traces, wires, lines, interconnect or simply metal. Metal lines, generally aluminum (Al), copper (Cu) or an alloy of Al and Cu, are conductors that provide signal paths for coupling or interconnecting, electrical circuitry. Conductors other than metal are available in microelectronic devices. Materials such as doped polysilicon, doped single-crystal silicon (often referred to simply as diffusion, regardless of whether such doping is achieved by thermal diffusion or ion implantation), titanium (Ti), molybdenum (Mo), cobalt (Co), nickel (Ni) and tungsten (W) and refractory metal silicides are examples of other conductors.
The terms contact and via, both refer to structures for electrical connection of conductors from different interconnect levels. These terms are sometimes used in the art to describe both an opening in an insulator in which the structure will be completed, and the completed structure itself. For purposes of this disclosure contact and via refer to the completed structure.
DIBL refers to drain induced barrier lowering.
Epitaxial layer refers to a layer of single crystal semiconductor material.
The term “gate” is context sensitive and can be used in two ways when describing integrated circuits. As used herein, gate refers to the insulated gate terminal of a three terminal FET when used in the context of transistor circuit configuration, and refers to a circuit for realizing an arbitrary logical function when used in the context of a logic gate. A FET can be viewed as a four terminal device when the semiconductor body is considered.
Polycrystalline silicon is a nonporous form of silicon made up of randomly oriented crystallites or domains. Polycrystalline silicon is often formed by chemical vapor deposition from a silicon source gas or other methods and has a structure that contains large-angle grain boundaries, twin boundaries, or both. Polycrystalline silicon is often referred to in this field as polysilicon, or sometimes more simply as poly.
Source/drain terminals refer to the terminals of a FET, between which conduction occurs under the influence of an electric field, subsequent to the inversion of the semiconductor surface under the influence of an electric field resulting from a voltage applied to the gate terminal. Generally, the source and drain terminals are fabricated such that they are geometrically symmetrical. With geometrically symmetrical source and drain terminals it is common to simply refer to these terminals as source/drain terminals, and this nomenclature is used herein. Designers often designate a particular source/drain terminal to be a “source” or a “drain” on the basis of the voltage to be applied to that terminal when the FET is operated in a circuit.
SIMOX refers to a process that involves implanting oxygen and high temperature annealing to form a thin silicon film over a buried oxide layer. The acronym “SIMOX” stands for separation by implantation of oxygen. SIMOX is sometimes used in this field to refer to a product that is produced by the SIMOX process.
Substrate, as used herein, refers to the physical object which is the basic workpiece that is transformed by various process operations into the desired microelectronic configuration. A substrate may also be referred to as a wafer. Wafers, may be made of semiconducting, non-semiconducting, or combinations of semiconducting and non-semiconducting materials.
The term vertical, as used herein, means substantially perpendicular to the surface of a substrate.
Method
Referring to FIGS. 1-10, an illustrative embodiment of the method of the present invention is described. As shown in FIG. 1, a wafer is processed in known ways to form patterned and planarized shallow trench isolation regions in a surface of a substrate. Generally, this is accomplished by patterning a material such as silicon nitride on the surface of the wafer, etching and then filling shallow trench isolation regions in the surface of the wafer. The results of this processing can be seen in FIG. 1, where a substrate 102 has one or more pillars 104 which have not been etched because of a hard mask 106, which is typically a patterned silicon nitride layer, and the etched regions are typically filled with an electrically insulating material 108 such as silicon dioxide. The silicon dioxide that fills the trench is sometimes referred to simply as the trench oxide. Alternatively, the shallow trench isolation oxide may be referred to as field oxide. The upper surface of pillar 104 is a portion of the original surface of the wafer.
As shown in FIG. 2, the wafer is then subjected to a masking and etching step that removes a portion of trench oxide 108 that is adjacent to pillar 104. A recess 110 formed by this masking and etching operation is typically made on two sides of pillar 104. Two sides are typically used because these will become the source/drain terminals. Recesses 110 are formed to a depth such that a portion the sidewalls of pillar 104 are exposed. Material subsequently formed in recesses 110 will become part of the source/drain terminals.
Referring to FIG. 3, the structure that results from the removal of hard mask 106, can be seen. As a result of the removal of hard mask 106, recesses 110 are joined to effectively form a super-recess 111.
After removal of hard mask 106, the exposed sidewall portions of pillar 104 are oxidized to form a barrier layer 112 as shown in FIG. 4. In the illustrated embodiment of the present invention, barrier layer 112 has a thickness in the range of approximately 100 angstroms to 300 angstroms. Barrier layer 112 is an electrically insulating material. A polysilicon layer 114 is then formed in super-recess 111. Although the illustrative embodiment uses a polysilicon layer, other materials such as amorphous silicon (a-Si) may be used.
Alternatively, barrier layer 112 may be formed by an oxidation operation prior to removal of hard mask 106. In a further alternative process flow, a polysilicon layer is deposited before the removal of hard mask 106. Those skilled in the art and having the benefit of this disclosure will understand that these and various other trade-offs or design choices are possible with the scope of the present invention.
FIG. 5 shows a schematic cross-sectional view of the structure that results when the structure of FIG. 4 is subjected to a poly etch operation. As a result of etching poly 114, the top surface of poly 114 is lowered until it is substantially even with the top surface of pillar 104. As can be seen in FIG. 5, the top surface of pillar 104 is exposed after this poly etch operation. Additionally, it can be seen that poly 114 is surrounded by electrically insulating material on its bottom and side surfaces.
FIG. 6 shows a schematic cross-sectional view of the structure that results when the structure of FIG. 5 is subjected to a selective silicon deposition operation. This selective silicon deposition is typically performed in a subatmospheric epi reactor. A silicon layer 116 is formed over pillar 104, barrier layers 112, and poly 114. Silicon layer 116 is a substantially single crystal silicon layer as distinguished from the polycrystalline nature of poly 114. In the illustrated embodiment of the present invention, silicon layer 116 has a thickness in the range of approximately 200 angstroms to 500 angstroms. Due to lateral growth of the silicon, silicon layer 116 will be a continuous film across barrier layers 112. Although the illustrative embodiment uses silicon for layer 116, other materials such as, for example, silicon germanium (SiGe) may also be used.
After the formation of silicon layer 116, a gate insulating layer and a gate electrode layer are formed and then patterned to produce a gate insulator 118 overlying silicon layer 116 and pillar 104, and a gate electrode 120 overlying gate insulator 118, as schematically shown in cross-section in FIG. 7. FIG. 8 shows a schematic cross-section of the structure of FIG. 7 after the formation of sidewall spacers 122 adjacent to gate electrode 120 and gate insulator 118. Sidewall spacers 122 are typically formed of silicon nitride. In the illustrated embodiment of the present invention, sidewall spacers 122 overlap barrier layer 112 as shown in FIG. 8. Additionally, sidewall spacers 122 serve to shadow pillar 104 from a subsequent deep S/D implant.
As can be seen in FIG. 9, a silicidation operation forms silicided source/drain regions 124, and a silicided region 125 of gate electrode 120. Various metals can be used to form silicided regions 124, 125, including but not limited to titanium.
The process operations of an illustrative embodiment of the present invention are further described with reference to FIG. 10. As shown at block 202 in FIG. 10, a trench is formed in the surface of a substrate. This typically includes forming a layer of silicon nitride on a silicon wafer, and patterning the silicon nitride such that portions of the underlying wafer are exposed for etching. Trenches in the surface of the wafer are formed by etching the exposed silicon.
Subsequently, as shown at block 204, the trenches are substantially filled with an insulating material. In the illustrated embodiment, trench filling takes place with the patterned silicon nitride layer still present on the surface of the substrate, and therefore the trench depth is determined at this point in the process by etch depth into the substrate plus the thickness of the silicon nitride layer. This is seen clearly in the cross-sections of FIGS. 1-2. Typically the trenches are substantially filled with silicon dioxide, although alternative schemes such as using other insulators, or combinations of insulators are within the scope of the invention. As shown at block 206, subsequent to substantially filling the trenches with insulating material, recesses in that insulating material are formed. In accordance with an embodiment of the invention suitable for fabricating FETs, a recess mask is used to define the areas where the recesses are to be formed. For FETs, the pillars formed at 202 are typically, but not necessarily, rectangular, and the recesses are formed on opposing sides to provide regions in which the FET source/drain terminals will be formed. Typically, the patterned silicon nitride layer that overlies the top surface of the pillar is removed at this point in the process.
After the patterned silicon nitride layer is removed, the recesses on opposing sides of the pillar are effectively joined into one larger opening, or “super-recess”, that is bounded on its outer vertical sidewalls by the trench insulator material, and bounded on the bottom by the top surface of the pillar and the top surfaces of the trench insulator recesses. Introduction of impurities into the pillars is performed to establish the desired electrical characteristics of the pillars. Impurities may be introduced by diffusion, implantation, or any other suitable method. For example, n-type impurities, including but not limited to arsenic, are implanted into regions where p-type FETs are to be formed. Similarly, p-type impurities, including but not limited to boron, are implanted into regions where n-type FETs are to be formed. Typically a shield layer, alternatively referred to as a barrier layer, is formed on the exposed sidewall portions of the pillar. The structures produced are seen clearly in FIGS. 3-4. As indicated at block 208 in FIG. 10, the super-recesses are substantially filled with polysilicon. Alternatively, a-Si may used. Subsequently, the polysilicon in the super-recesses is etched back 210 until the top surface of the polysilicon and the top surface of the pillar are substantially coplanar. As indicated at 212 a selective silicon deposition is then performed. Lateral growth of this layer provides the mechanism by which silicon also covers the barrier layers.
In an alternative embodiment SiGe may be used in place of silicon to form the thin layer that spans across the S/D and channel regions.
Gate insulator and gate electrode layers are formed 214 over the surface of the substrate. The gate insulator is typically a thin layer of oxidized silicon, however the thickness and chemical make-up of the gate insulator layer may be varied within the scope of the invention. The gate electrode layer is typically formed of polysilicon. Gates, i.e., the gate electrode and the gate insulator taken together, are then patterned 216 by known methods. Typically sidewall spacers are formed adjacent to the gates. Such sidewall spacers may be formed of silicon nitride. Source/drain terminals are formed 218 typically by implanting impurities into the exposed silicon and the underlying polysilicon in the recessed portions of the trench insulator material.
Structure of a Shielded Channel Transistor
Referring to FIG. 9, a schematic cross-section of a shielded channel transistor (SCT) in accordance with embodiments of the present invention is shown.
An SCT in accordance with embodiments of the present invention is an insulated gate FET built on a silicon pillar 104 large enough to accommodate the channel and shallow S/D extensions. This pedestal is alternatively referred to as a pillar. The deep S/D region 114 used to make external contact to the device is formed using polysilicon embedded in trench isolation material 108, typically an oxide of silicon. S/D regions 114 are isolated from the transistor channel and silicon pillar 104 by a barrier layer 112. In typical embodiments of the present invention, barrier layer 112 is formed by oxidation of at least a portion of the sidewalls of pillar 104. Such barrier layers 112 may alternatively be referred to as a shield oxide. Connection between deep S/D regions 114 and the shallow S/D extensions is effectuated through a selective epi silicon layer 116 formed over pillar 104, shield oxides 112, and the polysilicon of deep S/D regions 114. Conventional spacer, S/D implant, and silicide operations are used to complete the transistor. Subsequent contact formation and wiring operations are used to interconnect transistors to form more complex circuits.
Embedding deep S/D regions 114 in the isolation material, rather than the bulk semiconductor, provides the low S/D capacitance feature of the present invention. Barrier layers 112 on the sidewalls of pillar 104 allow for termination of fields in the channel direction, thereby reducing the effects of DIBL.
Unlanded Contacts
Referring to FIG. 11, a schematic cross-section showing an SCT with both a landed contact and an unlanded contact. In the illustrative embodiment shown, an inter-layer dielectric 130 overlies trench oxide 108, silicided S/D and gate regions 124, 125, sidewall spacers 122. Contact openings are formed through inter-layer dielectric 130 and filled with a conductive material. As can be seen in FIG. 10, contact 132 is unlanded, that is, only partially overlapped by silicided region 124. In contrast, contact 134 is landed, that is, completely overlapped by silicided region 124.
As will be appreciated by those skilled in the art having the benefit of the present disclosure, the successful formation of contact 132, as compared to conventional S/D contacts, is less sensitive to manufacturing variations, such as misalignment, misregistration, overetching, and so on, because failure to maintain S/D overlap around the contact does not result in creating an unintended connection to the bulk semiconductor.
Local Interconnect
The local interconnect feature of the present invention results from the fact that the embedded S/D regions can cross well boundaries in the field oxide and connect diffusions without shorting the wells they are placed in. Further, because the S/D regions are silicided, the sheet resistivity of this form of interconnect is relatively low, typically being in the range of approximately 2-10 ohms per square.
An illustrative embodiment of the present invention is shown in FIG. 12 as a schematic top view of a transistor layout incorporating the local interconnect feature of the present invention. The local interconnect feature provides a contactless N to P connection. A p-channel FET (PFET) having a gate 302 is shown along with its associated source and drain terminals 304, 306. Similarly an n-channel FET (NFET) having a gate 310 is shown along with its associated source and drain terminals 312, 314. The two FETs are coupled to form an inverter. More particularly, drain 306 and drain 314 are coupled by a local interconnect 308 that is formed from silicided polysilicon that resides in a recess in field oxide.
FIG. 13 shows a schematic cross-section of FIG. 12 taken along line A—A. FIG. 13 illustrates the circuit formed in substrate 324, and in particular the connection made between the two FETs by local interconnect 308. Local interconnect 308 includes the doped polysilicon formed in a recess in a recess 321, and a silicided upper portion which effectively shorts together the N doped and P doped portions of the polysilicon. In this way a connection between the two transistors can be made without having to form a contact to an interconnect line, such as metal, on another level.
Conclusion
Embodiments of the present invention provide the low S/D capacitance typically associated with SOI, without the need for SIMOX or bonded substrates. Embodiments of the present invention also eliminate the floating body and back gate effects found in SOI because the SCT channel is in a bulk well. The isolation of the channel from the deep S/D allows shorter effective channel lengths without requiring increases in well or halo implant doses. The local interconnect functionality and unlanded diffusion contact capability are features provided by the structure and implementation method.
It will be understood by those skilled in the art having the benefit of this disclosure that many design choices are possible within the scope of the present invention. For example, structural parameters, including but not limited to, gate insulator thickness, gate insulator materials, gate electrode thickness, sidewall spacer material, inter-layer dielectric material, isolation trench depth, and S/D and well doping concentrations may all be varied from that shown or described in connection with the illustrative embodiments.
It will be understood that various other changes in the details, materials, and arrangements of the parts and steps which have been described and illustrated may be made by those skilled in the art having the benefit of this disclosure without departing from the principles and scope of the invention as expressed in the subjoined claims.

Claims (8)

What is claimed is:
1. A method of making a transistor, comprising:
a) forming a trench that defines at least one pillar in the surface of a substrate, the pillar having at least two substantially opposing sides;
b) substantially filling the trench with a first insulating material;
c) forming a recess in the first insulating material on at least two sides of the pillar;
d) forming a layer of polysilicon within the recesses;
e) etching, such that a top surface of the polysilicon and a top surface of the pillar are substantially coplanar;
f) performing a selective silicon deposition;
g) forming a gate terminal;
h) forming self-aligned source/drain terminals.
2. The method of claim 1, further comprising:
forming a second insulating layer over the gate and source/drain terminals; and
forming a contact opening in the second insulating layer such that the contact opening overlaps at least one source/drain terminal and the first insulating material.
3. The method of claim 1, further comprising forming a shield layer adjacent to the pillar, such that the shield layer electrically insulates the polysilicon layer from the pillar.
4. The method of claim 1, wherein forming a trench comprises forming a silicon nitride layer on a surface of a silicon wafer; patterning the silicon nitride layer such that portions of the surface of the silicon wafer are exposed; and etching trenches in the exposed portions.
5. The method of claim 4, further comprising removing the patterned silicon nitride subsequent to the formation of recesses in the first insulating material.
6. The method of claim 5, wherein forming the layer of polysilicon within the recesses comprises substantially filling a super-recess with polysilicon and etching back the polysilicon such that the top surface of the polysilicon in the recesses is substantially co-planar with the top surface of the pillar.
7. The method of claim 5, further comprising doping the pillar.
8. The method of claim 7, wherein doping the pillar comprises implanting impurities into a portion of the pillar.
US09/887,903 1998-10-05 2001-06-21 Shielded channel transistor structure with embedded source/drain junctions Expired - Lifetime US6380010B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/887,903 US6380010B2 (en) 1998-10-05 2001-06-21 Shielded channel transistor structure with embedded source/drain junctions

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/166,818 US6274913B1 (en) 1998-10-05 1998-10-05 Shielded channel transistor structure with embedded source/drain junctions
US09/887,903 US6380010B2 (en) 1998-10-05 2001-06-21 Shielded channel transistor structure with embedded source/drain junctions

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/166,818 Division US6274913B1 (en) 1998-10-05 1998-10-05 Shielded channel transistor structure with embedded source/drain junctions

Publications (2)

Publication Number Publication Date
US20010036693A1 US20010036693A1 (en) 2001-11-01
US6380010B2 true US6380010B2 (en) 2002-04-30

Family

ID=22604815

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/166,818 Expired - Lifetime US6274913B1 (en) 1998-10-05 1998-10-05 Shielded channel transistor structure with embedded source/drain junctions
US09/887,903 Expired - Lifetime US6380010B2 (en) 1998-10-05 2001-06-21 Shielded channel transistor structure with embedded source/drain junctions

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/166,818 Expired - Lifetime US6274913B1 (en) 1998-10-05 1998-10-05 Shielded channel transistor structure with embedded source/drain junctions

Country Status (1)

Country Link
US (2) US6274913B1 (en)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6541317B2 (en) * 2001-05-03 2003-04-01 International Business Machines Corporation Polysilicon doped transistor
US20030085424A1 (en) * 2001-11-02 2003-05-08 International Business Machines Corporation Transistor structure with thick recessed source/drain structures and fabrication process of same
US6566176B1 (en) * 2001-01-31 2003-05-20 Advanced Micro Devices, Inc. SOI device with wrap-around contact to underside of body, and method of making
US6649481B2 (en) * 2001-03-30 2003-11-18 Silicon-Based Technology Corp. Methods of fabricating a semiconductor device structure for manufacturing high-density and high-performance integrated-circuits
US20040021179A1 (en) * 2002-08-05 2004-02-05 Byeong-Chan Lee Metal oxide semiconductor transistors having a drain punch through blocking region and methods for fabricating metal oxide semiconductor transistors having a drain punch through blocking region
US20040124439A1 (en) * 2002-09-04 2004-07-01 Yoshihiro Minami Semiconductor device having a hollow region and method of manufacturing the same
US20040132285A1 (en) * 2003-01-06 2004-07-08 Ebrahim Andideh Polymer film metalization
KR100434715B1 (en) * 1997-12-29 2004-11-06 주식회사 하이닉스반도체 Semiconductor device with trench isolation layer for surrounding bottom of junction region and manufacturing method thereof
US20050045947A1 (en) * 2003-08-26 2005-03-03 International Business Machines Corporation Thin channel fet with recessed source/drains and extensions
US20070048881A1 (en) * 2005-08-30 2007-03-01 Micron Technology, Inc. Memory device transistors
CN1328795C (en) * 2003-10-31 2007-07-25 北京大学 Source/drain sink type ultrathin SOIMOS transistor and method for preparing IC
US20070187773A1 (en) * 2005-02-01 2007-08-16 International Business Machines Corporation Structure and method to induce strain in a semiconductor device channel with stressed film under the gate
US8513106B2 (en) 2010-12-09 2013-08-20 International Business Machines Corporation Pseudo butted junction structure for back plane connection
US20140099769A1 (en) * 2012-10-09 2014-04-10 International Business Machines Corp. Method to protect against contact related shorts on utbb
US9281305B1 (en) 2014-12-05 2016-03-08 National Applied Research Laboratories Transistor device structure

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100436291B1 (en) * 1999-11-09 2004-06-16 주식회사 하이닉스반도체 Method of manufacturing a transistor in a semiconductor device
US6599789B1 (en) * 2000-11-15 2003-07-29 Micron Technology, Inc. Method of forming a field effect transistor
US6429084B1 (en) * 2001-06-20 2002-08-06 International Business Machines Corporation MOS transistors with raised sources and drains
DE10137217A1 (en) * 2001-07-30 2003-02-27 Infineon Technologies Ag Bridge field effect transistor and method for producing a bridge field effect transistor
US6624478B2 (en) * 2002-01-30 2003-09-23 International Business Machines Corporation High mobility transistors in SOI and method for forming
US6716687B2 (en) 2002-02-11 2004-04-06 Micron Technology, Inc. FET having epitaxial silicon growth
JP2003243662A (en) * 2002-02-14 2003-08-29 Mitsubishi Electric Corp Semiconductor device and method of manufacturing the same, and semiconductor wafer
US7071043B2 (en) * 2002-08-15 2006-07-04 Micron Technology, Inc. Methods of forming a field effect transistor having source/drain material over insulative material
US6838695B2 (en) * 2002-11-25 2005-01-04 International Business Machines Corporation CMOS device structure with improved PFET gate electrode
US20050274951A1 (en) * 2004-06-14 2005-12-15 Howard Gregory E MOSFET having channel in bulk semiconductor and source/drain on insulator, and method of fabrication
KR100636674B1 (en) * 2004-12-30 2006-10-23 주식회사 하이닉스반도체 Gate of semiconductor device and forming method thereof
US7078285B1 (en) * 2005-01-21 2006-07-18 Sony Corporation SiGe nickel barrier structure employed in a CMOS device to prevent excess diffusion of nickel used in the silicide material
US7538389B2 (en) 2005-06-08 2009-05-26 Micron Technology, Inc. Capacitorless DRAM on bulk silicon
US7851859B2 (en) * 2006-11-01 2010-12-14 Samsung Electronics Co., Ltd. Single transistor memory device having source and drain insulating regions and method of fabricating the same
US7572712B2 (en) 2006-11-21 2009-08-11 Chartered Semiconductor Manufacturing, Ltd. Method to form selective strained Si using lateral epitaxy
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8293616B2 (en) * 2009-02-24 2012-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabrication of semiconductor devices with low capacitance
US8324058B2 (en) * 2010-11-06 2012-12-04 International Business Machines Corporation Contacts for FET devices
FR2970812B1 (en) * 2011-01-24 2013-11-15 Commissariat Energie Atomique FIELD EFFECT DEVICE WITH LOW JUNCTION CAPACITY
US9184179B2 (en) 2014-03-21 2015-11-10 International Business Machines Corporation Thin channel-on-insulator MOSFET device with n+ epitaxy substrate and embedded stressor

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5422299A (en) * 1989-09-11 1995-06-06 Purdue Research Foundation Method of forming single crystalline electrical isolated wells
US6156589A (en) * 1998-09-03 2000-12-05 Micron Technology, Inc. Compact SOI body contact link
US6225148B1 (en) * 1998-07-08 2001-05-01 Mitsubishi Denki Kabushiki Kaisha Method of fabricating semiconductor device
US6235560B1 (en) * 1999-08-16 2001-05-22 Agere Systems Guardian Corp. Silicon-germanium transistor and associated methods
US6245602B1 (en) * 1999-11-18 2001-06-12 Xerox Corporation Top gate self-aligned polysilicon TFT and a method for its production

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5914517A (en) * 1996-07-16 1999-06-22 Nippon Steel Corporation Trench-isolation type semiconductor device
JP2870635B2 (en) * 1997-04-17 1999-03-17 日本電気株式会社 Semiconductor device

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5422299A (en) * 1989-09-11 1995-06-06 Purdue Research Foundation Method of forming single crystalline electrical isolated wells
US6225148B1 (en) * 1998-07-08 2001-05-01 Mitsubishi Denki Kabushiki Kaisha Method of fabricating semiconductor device
US6156589A (en) * 1998-09-03 2000-12-05 Micron Technology, Inc. Compact SOI body contact link
US6235560B1 (en) * 1999-08-16 2001-05-22 Agere Systems Guardian Corp. Silicon-germanium transistor and associated methods
US6245602B1 (en) * 1999-11-18 2001-06-12 Xerox Corporation Top gate self-aligned polysilicon TFT and a method for its production

Cited By (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100434715B1 (en) * 1997-12-29 2004-11-06 주식회사 하이닉스반도체 Semiconductor device with trench isolation layer for surrounding bottom of junction region and manufacturing method thereof
US6566176B1 (en) * 2001-01-31 2003-05-20 Advanced Micro Devices, Inc. SOI device with wrap-around contact to underside of body, and method of making
US6649481B2 (en) * 2001-03-30 2003-11-18 Silicon-Based Technology Corp. Methods of fabricating a semiconductor device structure for manufacturing high-density and high-performance integrated-circuits
US6541317B2 (en) * 2001-05-03 2003-04-01 International Business Machines Corporation Polysilicon doped transistor
US6870225B2 (en) * 2001-11-02 2005-03-22 International Business Machines Corporation Transistor structure with thick recessed source/drain structures and fabrication process of same
US20030085424A1 (en) * 2001-11-02 2003-05-08 International Business Machines Corporation Transistor structure with thick recessed source/drain structures and fabrication process of same
US20040021179A1 (en) * 2002-08-05 2004-02-05 Byeong-Chan Lee Metal oxide semiconductor transistors having a drain punch through blocking region and methods for fabricating metal oxide semiconductor transistors having a drain punch through blocking region
US6963094B2 (en) * 2002-08-05 2005-11-08 Samsung Electronics Co., Ltd. Metal oxide semiconductor transistors having a drain punch through blocking region and methods for fabricating metal oxide semiconductor transistors having a drain punch through blocking region
US7075169B2 (en) * 2002-09-04 2006-07-11 Kabushiki Kaisha Toshiba Semiconductor device having a hollow region and method of manufacturing the same
US20040124439A1 (en) * 2002-09-04 2004-07-01 Yoshihiro Minami Semiconductor device having a hollow region and method of manufacturing the same
US6890813B2 (en) 2003-01-06 2005-05-10 Intel Corporation Polymer film metalization
US20050183960A1 (en) * 2003-01-06 2005-08-25 Ebrahim Andideh Polymer film metalization
US20040132285A1 (en) * 2003-01-06 2004-07-08 Ebrahim Andideh Polymer film metalization
US6924517B2 (en) * 2003-08-26 2005-08-02 International Business Machines Corporation Thin channel FET with recessed source/drains and extensions
US20050045947A1 (en) * 2003-08-26 2005-03-03 International Business Machines Corporation Thin channel fet with recessed source/drains and extensions
CN1328795C (en) * 2003-10-31 2007-07-25 北京大学 Source/drain sink type ultrathin SOIMOS transistor and method for preparing IC
US20070187773A1 (en) * 2005-02-01 2007-08-16 International Business Machines Corporation Structure and method to induce strain in a semiconductor device channel with stressed film under the gate
US20090273019A1 (en) * 2005-08-30 2009-11-05 Seiichi Aritome Memory device transistors
US7560335B2 (en) * 2005-08-30 2009-07-14 Micron Technology, Inc. Memory device transistors
US20070048881A1 (en) * 2005-08-30 2007-03-01 Micron Technology, Inc. Memory device transistors
US8004031B2 (en) 2005-08-30 2011-08-23 Micron Technology, Inc. Memory device transistors
US8536634B2 (en) 2005-08-30 2013-09-17 Micron Technology, Inc. Memory device transistors
US8513106B2 (en) 2010-12-09 2013-08-20 International Business Machines Corporation Pseudo butted junction structure for back plane connection
US9142564B2 (en) 2010-12-09 2015-09-22 Globalfoundries U.S. 2 Llc Company Pseudo butted junction structure for back plane connection
US20140099769A1 (en) * 2012-10-09 2014-04-10 International Business Machines Corp. Method to protect against contact related shorts on utbb
US9337079B2 (en) * 2012-10-09 2016-05-10 Stmicroelectronics, Inc. Prevention of contact to substrate shorts
US20160211171A1 (en) * 2012-10-09 2016-07-21 International Business Machines Corporation Method to protect against contact related shorts on utbb
US9633893B2 (en) * 2012-10-09 2017-04-25 International Business Machines Corporation Method to protect against contact related shorts on UTBB
US9281305B1 (en) 2014-12-05 2016-03-08 National Applied Research Laboratories Transistor device structure

Also Published As

Publication number Publication date
US6274913B1 (en) 2001-08-14
US20010036693A1 (en) 2001-11-01

Similar Documents

Publication Publication Date Title
US6380010B2 (en) Shielded channel transistor structure with embedded source/drain junctions
US9793373B2 (en) Field effect transistor structure with abrupt source/drain junctions
US6737308B2 (en) Semiconductor device having LDD-type source/drain regions and fabrication method thereof
US5915199A (en) Method for manufacturing a CMOS self-aligned strapped interconnection
JP3078720B2 (en) Semiconductor device and manufacturing method thereof
US6204532B1 (en) Pillar transistor incorporating a body contact
US20040065903A1 (en) Integrated circuit with MOSFETS having bi-layer metal gate electordes and method of making same
US20050212056A1 (en) Semiconductor device and method of manufacturing the same
US7670896B2 (en) Method and structure for reducing floating body effects in MOSFET devices
JPH09246568A (en) Vertical double gate field effect transistor
KR20030004144A (en) Semiconductor device and method for manufacturing the same
EP1147552A1 (en) Field effect transistor structure with abrupt source/drain junctions
US6198114B1 (en) Field effect transistor having dielectrically isolated sources and drains and method for making same
US8716752B2 (en) Structure and method for making a strained silicon transistor
KR20030012236A (en) Soi element and soi product method
KR100357303B1 (en) Manufacturing method of semiconductor device
JP3910301B2 (en) Semiconductor device and manufacturing method thereof
JPH11274483A (en) Field-effect transistor and its manufacturing method
JP6050034B2 (en) Semiconductor device and manufacturing method thereof
KR100242386B1 (en) Semiconductor device and method for manufacturing the same
KR100291517B1 (en) SIO structure semiconductor integrated circuit and its manufacturing method

Legal Events

Date Code Title Description
STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12