US6380087B1 - CMP process utilizing dummy plugs in damascene process - Google Patents

CMP process utilizing dummy plugs in damascene process Download PDF

Info

Publication number
US6380087B1
US6380087B1 US09/596,901 US59690100A US6380087B1 US 6380087 B1 US6380087 B1 US 6380087B1 US 59690100 A US59690100 A US 59690100A US 6380087 B1 US6380087 B1 US 6380087B1
Authority
US
United States
Prior art keywords
layer
etch stop
interconnect
comprised
dummy plugs
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US09/596,901
Inventor
Subhash Gupta
Mei Sheng Zhou
Ramasamy Chockalingam
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Singapore Pte Ltd
Original Assignee
Chartered Semiconductor Manufacturing Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Chartered Semiconductor Manufacturing Inc filed Critical Chartered Semiconductor Manufacturing Inc
Priority to US09/596,901 priority Critical patent/US6380087B1/en
Assigned to CHARTERED SEMICONDUCTOR MANUFACTURING INC. reassignment CHARTERED SEMICONDUCTOR MANUFACTURING INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHOCKALINGAM, RAMSAMY, GUPTA, SUBHASH, ZHOU, MEI SHENG
Priority to SG200103321A priority patent/SG95653A1/en
Application granted granted Critical
Publication of US6380087B1 publication Critical patent/US6380087B1/en
Assigned to CHARTERED SEMICONDUCTOR MANUFACTURING LTD. reassignment CHARTERED SEMICONDUCTOR MANUFACTURING LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHARTERED SEMICONDUCTOR MANUFACTURING INC.
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01006Carbon [C]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01013Aluminum [Al]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01014Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01018Argon [Ar]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01019Potassium [K]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01027Cobalt [Co]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01029Copper [Cu]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01033Arsenic [As]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01073Tantalum [Ta]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01082Lead [Pb]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04955th Group
    • H01L2924/04953TaN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/050414th Group
    • H01L2924/05042Si3N4
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/926Dummy metallization

Definitions

  • Copper damascene and dual damascene structures are beginning to be used for interconnects.
  • the damascene processing uses chemical mechanical polishing (CMP) to planarize the top surface of the copper interconnect.
  • CMP chemical mechanical polishing
  • dishing is a problem with CMP.
  • U.S. Pat. No. 5,885,856 to Gilbert et al. describes a method of forming an integrated circuit with dummy mesas added to the layout pattern of the integrated circuit to equilibrate the polishing rate across the surface of a semiconductor substrate.
  • the location of each dummy mesa is selected to that it does not intersect a well boundary or an active region, and does not fall under a conductive layer or polysilicon or interconnect structure.
  • U.S. Pat. No. 5,639,687 to Weling et al. describes a method of commonizing the pattern density of topography for different layers of semiconductor wafers to improve the chemical mechanical polishing processing of the wafer. Dummy raised lines are inserted as necessary into gaps between active conductive traces on a trace layer on the wafer.
  • U.S. Pat. No. 5,445,994 to Gilton describes a method for forming planar metal connections to the bonding pads of a semiconductor die that can be customized to match different bonding pad and lead finger configuration
  • U.S. Pat. No. 5,801,094 to Yew et al. describes a dual damascene process that forms a two level metal interconnect structure with a step free transition between the two levels.
  • U.S. Pat. No. 5,266,446 to Chang et al. describes a method of fabricating a planar multilayer thin film structure on the surface of a dielectric substrate by applying and first and second layer of dielectric polymeric material on a surface of a dielectric substrate.
  • the second, upper layer of polymeric material is photosensitive and is exposed and developed to form a feature therein that is in communication with a feature in the first, lower layer of polymeric material.
  • a seed layer is deposited over the second layer, and coating the first and second layer features.
  • a thicker layer of conductive material is deposited over the seed layer, filling the first and second features at least to the level of the second layer, and is then planarized to remove the excess of the thicker layer.
  • Another object of the present invention is to provide a method of forming metal dummy plugs, in a bonding pad area, and active interconnects, in an interconnect area, in a single etch step in a interconnect area in a semiconductor structure.
  • a further object of the present invention is to provide a copper chemical-mechanical polishing process utilizing dummy plugs in damascene processes that minimize the erosion of the metal layer from large areas.
  • Yet another object of the present invention is to provide a copper chemical-mechanical polishing process utilizing dummy plugs that minimizes copper dishing during in large areas, e.g. bonding pad areas.
  • semiconductor wafer structure having at least an upper and a lower dielectric layer is provided.
  • the semiconductor wafer structure having a bonding pad area and an interconnect area.
  • At least one active interconnect having a first width is formed in the interconnect area, through the dielectric layers.
  • a plurality of adjacent dummy plugs each having a second width is formed in the bonding pad area, through a portion of the dielectric layers.
  • the semiconductor wafer structure is patterned and etched to form trenches through the upper dielectric layer. The trenches surround each of the at least one active interconnect and the dummy plugs whereby the upper dielectric level between the adjacent dummy plugs is removed.
  • a metallization layer is deposited over the lower dielectric layer, filling the trenches at least to the upper surface of the remaining upper dielectric layer.
  • the metallization layer is planarized to remove the excess of the metallization layer forming a continuous bonding pad within the bonding pad area and including the plurality of adjacent dummy plugs, thus forming at least one damascene structure including the at least one respective active interconnect.
  • FIGS. 1, 2 A- 6 A, and 1 , 2 B- 6 B schematically illustrate in cross-sectional representation alternate embodiments, respectively, of the present invention.
  • FIG. 7 is a graph plotting etch rate (E/R) versus feature size in forming trenches in silicon oxide layers for various etch chemistries.
  • FIG. 8 is an option to the second embodiment of the present invention.
  • FIGS. 9A-9C schematically illustrate in plan view, example dummy plug patterns inside the bonding pad, or large area, of the present invention.
  • starting semiconductor structure 10 includes an upper intermetal dielectric layer (IMD) having at least one exposed active device 12 and is also understood to possibly include a semiconductor wafer or substrate, active and passive devices formed within the wafer, conductive layers and dielectric layers (e.g., inter-poly oxide (IPO), intermetal dielectric (IMD), etc.) formed over the wafer surface.
  • semiconductor structure 10 can be a semiconductor substrate, e.g. a wafer, with an active area 12 .
  • Dielectric layer 16 can represent can IMD and /or ILD layers.
  • semiconductor structure is meant to include devices formed within a semiconductor wafer and the layers overlying the wafer.
  • Active device 12 can represent a conductive line/interconnect in a dielectric layer. Also, active device 12 can represent a doped region in a silicon substrate.
  • Semiconductor structure etch stop layer (bottom etch stop layer) 14 is formed over semiconductor structure 10 and active device 12 .
  • Lower interlayer dielectric (ILD) layer 16 is formed over lower etch stop layer 14 .
  • Lower etch stop layer (middle etch stop layer) 18 is formed over lower ILD layer 16 .
  • Upper interlayer dielectric (ILD) layer 20 is formed over lower etch stop layer 18 , and upper etch stop layer (top etch stop layer) 22 is formed over upper ILD 20 . This forms semiconductor wafer structure 24 .
  • Etch stop layers 14 , 18 , 22 may be formed from SiC (carbonated SiO 2 ), Si 3 N 4 , or SiO 2 in the case of organic low-k materials such as dielectrics, and are preferably formed of silicon nitride (Si 3 N 4 ).
  • Bottom etch stop layer 14 may be is from about 150 to 1500 ⁇ thick; middle etch stop layer 18 may be from about 0 to 1500 ⁇ thick; and top etch stop layer 22 may be from about 150 to 1500 ⁇ thick.
  • ILD layers 16 , 20 may be formed from SiO 2 , low-k materials such as SILKTM (resembles BCB in its composition except that it does not contain silicon and has a dielectric constant of about 2,6—that is, it is a carbonaceous polymer—available from Dow Chemical Corp.), FLARETM (fluorinated poly (arylene ether)—available from Allied Signal Corp.), BLACK DIAMONDTM (fluorosilicate glass—available from Applied Materials), or CORALTM (carbon doped silicon dioxide—available from Novellus Corporation), etc., and are preferably formed from silicon oxide (SiO 2 ). ILD layers 16 , 20 may each be from about 1500 to 15,000 ⁇ thick.
  • SILKTM resembles BCB in its composition except that it does not contain silicon and has a dielectric constant of about 2,6—that is, it is a carbonaceous polymer—available from Dow Chemical Corp.
  • FLARETM fluorinated poly (arylene ether)—available from Allied Signal Corp.
  • BLACK DIAMONDTM
  • Bonding pad area 40 may be any large area, or in simplest terms, a large area is any area that can have at least one dummy plug. Bonding pads comprise the typical ‘large area.’
  • Active device 12 e.g. a conductive line, is within interconnect area 30 .
  • FIGS. 2A-6A and FIGS. 2B-6B may then be formed from the semiconductor wafer structure 24 of FIG. 1 .
  • at least one via opening 34 A, 34 B is formed within interconnect area 30 in a single etch step with dummy plug openings 42 A, 42 B within bonding pad area 40 .
  • the width 33 A of via opening 34 A for active interconnect 32 A is less than the width 43 A of dummy plug openings 42 A for dummy plugs 46 A. While in the second embodiment (FIGS. 2B-6B) the width 33 B of via opening 34 B for active interconnect 32 B is greater than the width 43 B of dummy plug openings 42 B for dummy plugs 46 B.
  • semiconductor wafer structure 24 is patterned and etched, in a single etch step, to form at least one via opening 34 A within interconnect area 30 exposing active device 12 , and equally spaced-apart dummy plug openings 42 A within bonding pad area 40 .
  • etching process is selected that etches more narrow openings more rapidly than wider openings.
  • the reactive ion etch (RIE) for silicon dioxide may have the characteristics as shown in FIG. 7, depending upon etch process chemistry. That is, plotting etch rate (E/R) on the vertical axis versus feature size on the horizontal axis produces a bell shaped curve with a maximum etch rate for a particular feature size, i.e. a particular width of trenches being etched.
  • etch process chemistry may shift bell curve A for the first embodiment to the left to bell curve B for the second embodiment, i.e. having the maximum etch rate for narrower trench size.
  • curve B curve B
  • invert the bell curve curve C
  • the single step etching process of the first embodiment etches the more narrow via opening 34 A of active interconnect 32 A more rapidly than the wider dummy plug openings 42 A because of the reverse RIE (reactive ion etch) lag effect. That is, wider, open areas are etched slower due to by products reducing the concentration of the etch species.
  • RIE reactive ion etch
  • Via opening 34 A extends through etch stop layers 14 , 18 , 22 and ILD layers 16 , 20 , exposing active device 12 .
  • Width 33 A (or “f”) of via opening 34 A is preferably less than about 4000 ⁇ . The lower end of width 34 A is limited by the process capabilities. Via opening 34 A allows electrical coupling associated elements of an associated integrated circuit on semiconductor wafer 10 , i.e., e.g., active device 12 .
  • Dummy plug openings 42 A may be etched through upper etch stop layer 22 , upper ILD layer 20 , lower etch stop layer 18 , and partially within lower ILD layer 16 (to a depth of preferably from about 1000 to 8000 ⁇ ). Dummy plug openings 42 A are etched so that the subsequently formed dummy plugs and bonding pad will not electrically couple with any associated elements of any associated integrated circuit on the semiconductor wafer.
  • Dummy plug openings 42 A are preferably from about 2000 to 16,000 ⁇ deep.
  • Width 43 A (>2 f) of dummy plug openings 42 A is preferably less than about 8000 ⁇ . The lower end of width 43 A is limited by the process capabilities.
  • the width of wider dummy plug openings 42 A are greater than about twice the width “f” of via opening 34 A, i.e. the width of dummy plug openings 42 A are about >2 f.
  • width 33 A of via opening 34 A is less than width 43 A of dummy plug openings 42 A by about 50% or more.
  • An optional first barrier layer may be formed within, and lining, via opening 34 A and also within, and lining, dummy plug openings 42 A.
  • the barrier layer may be comprised of TaN or Ta, and may comprise a lower barrier layer portion and an upper metal seed layer portion.
  • a metal layer (not shown) is deposited over the structure, filling via opening 34 A and dummy plug openings 42 A at least as high as upper etch stop layer 22 .
  • the metal layer is then planarized, preferably by chemical mechanical polishing, to form metal plug 36 A within interconnect area 30 and dummy plugs 46 A within bonding pad area 40 .
  • the metal layer and metal plug 36 A and dummy plugs 46 A may be comprised of copper (Cu), or aluminum (Al) and preferably tungsten (W).
  • the structure is patterned and upper etch stop layer 22 and upper ILD layer 20 are etched to lower etch stop layer 18 to form trenches 37 A, e.g. line trenches, adjacent tungsten metal plug 36 A and trenches 47 A adjacent tungsten dummy plugs 46 A.
  • Lower etch stop layer 18 forms the bottoms of trenches 37 A, 47 A. It is noted that despite any difference in widths between trenches 37 A and trenches 47 A, both sets of trenches 37 A, 47 A are only etched to lower etch stop layer 18 .
  • An optional second barrier layer 79 A may be formed within, and lining, trenches 37 A and also within, and lining, trenches 47 A.
  • Barrier layer 79 A may comprise a lower barrier layer portion and an upper metal seed layer portion.
  • metallization layer 60 A is deposited over the structure, filling trenches 37 A adjacent tungsten metal plug 36 A and trenches 47 A adjacent tungsten dummy plugs 46 A at least as high as upper etch stop layer 22 .
  • Metallization layer 60 A may be composed of tungsten (W), aluminum (Al), an aluminum alloy, or copper, and preferably copper (Cu).
  • copper layer 60 A is planarized, preferably by CMP, to remove the excess copper metal and to form: planarized dual damascene structure 39 A, within interconnect area 30 , comprised of tungsten metal plug 36 A and copper metal filled trenches 37 A′; and continuous planarized bonding pad 49 A, within bonding pad area 40 , comprised of tungsten dummy plugs 47 A′ and copper metal filled trenches 47 A′.
  • a planarized passivation layer 62 A is deposited over the structure and is patterned to expose continuous bonding pad 49 A within bonding pad area 40 .
  • Passivation layer 62 A may be comprised of silicon nitride, SiC, SiO 2 , or SOG for example.
  • semiconductor wafer structure 24 is patterned and etched, in a single etch step, to form at least one via opening 34 B within interconnect area 30 exposing active device 12 , and equally spaced-apart dummy plug openings 42 B within bonding pad area 40 .
  • etching process is selected that etches more narrow openings more rapidly than wider openings. During the etch process, more by-products are created in the wider openings (via opening 34 B). This affects the concentration of etch species and thus the etch rate is reduced in the wide openings (via opening 34 B) versus the narrow openings (dummy plug openings 42 B).
  • a chemistry for etching may include C 4 F 8 /Co/O 2 /Ar.
  • the single step etching process of the second embodiment etches the wider via opening 34 B of active interconnect 32 B more rapidly than the more narrow dummy plug openings 42 B because of the RIE lag or micro loading effect as noted above.
  • Via opening 34 B extends through etch stop layers 14 , 18 , 22 and ILD layers 16 , 20 , exposing active device 12 .
  • Width 33 B of via opening 34 B is preferably less than about 8000 ⁇ . The lower end of width 34 B is limited by the process capabilities.
  • Via opening 34 B allows electrical coupling associated elements of an associated integrated circuit on semiconductor wafer 10 , i.e., e.g., active device 12 .
  • Dummy plug openings 42 B may be etched through upper etch stop layer 22 , upper ILD layer 20 , lower etch stop layer 18 , and partially within lower ILD layer 16 (to a depth of preferably from about 1000 to 8000 ⁇ ). Dummy plug openings 42 B are etched so that the subsequently formed dummy plugs and bonding pad will not electrically couple with any associated elements of any associated integrated circuit on the semiconductor wafer.
  • Dummy plug openings 42 B are preferably from about 3000 to 16,000 ⁇ deep.
  • Width 43 B of dummy plug openings 42 B is preferably less than about 4000 ⁇ . The lower end of width 43 B is limited by the process capabilities.
  • Width 43 B of dummy plug openings 42 B is less than width 33 B of via opening 34 B by about 80% or less.
  • An optional first barrier layer may be formed within, and lining, via opening 34 B and also within, and lining, dummy plug openings 42 B.
  • the barrier layer may be comprised of TaN or Ta, and may comprise a lower barrier layer portion and an upper metal seed layer portion.
  • a metal layer (not shown) is deposited over the structure, filling via opening 34 B and dummy plug openings 42 B at least as high as upper etch stop layer 22 .
  • the metal layer is then planarized, preferably by chemical mechanical polishing, to form metal plug 36 B within interconnect area 30 and dummy plugs 46 B within bonding pad area 40 .
  • the metal layer and metal plug 36 B and dummy plugs 46 B may be comprised of copper (Cu), or aluminum (Al) and preferably tungsten (W).
  • the structure is patterned and upper etch stop layer 22 and upper ILD layer 20 are etched to lower etch stop layer 18 to form trenches 37 B, e.g. line trenches, adjacent tungsten metal plug 36 B and trenches 47 B adjacent tungsten dummy plugs 46 B.
  • Lower etch stop layer 18 forms the bottoms of trenches 37 B, 47 B. It is noted that despite any difference in widths between trenches 37 B and trenches 47 B, both sets of trenches 37 B, 47 B are only etched to lower etch stop layer 18 .
  • An optional second barrier layer 79 B may be formed within, and lining, trenches 37 B and also within, and lining, trenches 47 B.
  • Barrier layer 79 B may comprise a lower barrier layer portion and an upper metal seed layer portion.
  • metallization layer 60 B is deposited over the structure, filling trenches 37 B adjacent tungsten metal plug 36 B and trenches 47 B adjacent tungsten dummy plugs 46 B at least as high as upper etch stop layer 22 .
  • Metallization layer 60 B may be composed of tungsten (W), aluminum (Al), an aluminum alloy, or copper (Cu), and preferably copper (Cu).
  • copper layer 60 B is planarized, preferably by CMP, to remove the excess copper metal and to form: planarized dual damascene structure 39 B, within interconnect area 30 , comprised of tungsten metal plug 36 B and copper metal filled trenches 37 B′; and continuous planarized bonding pad 49 B, within bonding pad area 40 , comprised of tungsten dummy plugs 47 B′ and copper metal filled trenches 47 B′.
  • a planarized passivation layer 62 B is deposited over the structure and is patterned to expose continuous bonding pad 49 B within bonding pad area 40 .
  • Passivation layer 62 B may be comprised of silicon nitride, SiC, SiO 2 , or SOG for example.
  • FIG. 8 illustrates an optional structure for the second embodiment.
  • the lithographic process is optimized for via openings 32 B (nominal feature size)
  • the smaller dummy plug openings 42 B will be patterned even smaller than the designed size due to the nature of optics.
  • middle etch stop layer 18 delineates the bottom of dummy plug openings 42 B at 100 , and dummy plug openings 42 B are less wide.
  • the dummy plug openings 42 B are etched only down to middle etch stop layer 18 as at 100 .
  • the structure of FIG. 8 would substitute for the structure of FIG. 2B with processing otherwise continuing as described above with the difference being in the depth of dummy plug openings 42 A as shown in FIG. 8 .
  • the method of the present invention gives better control on dishing and erosion performance on the CMP process.
  • Another major advantage is that the method of forming the bonding pad in accordance with the present invention allows the bonding pad to better adhere to the underlying dielectric layers due to dummy metal plugs 46 A, 46 B.
  • the patterns 200 of dummy plugs 46 A, 46 B inside the bonding pad, or large, area 40 may be of any shape such as circular, trapezoidal, or trench as illustrated in FIGS. 9A-9C, respectively.

Abstract

A method of fabricating a semiconductor wafer having at least one integrated circuit, the method comprising the following steps. A semiconductor wafer structure having at least an upper and a lower dielectric layer is provided. The semiconductor wafer structure having a bonding pad area and an interconnect area. At least one active interconnect having a first width is formed in the interconnect area, through the dielectric layers. A plurality of adjacent dummy plugs each having a second width is formed in the bonding pad area, through a portion of the dielectric layers. The semiconductor wafer structure is patterned and etched to form trenches through the upper dielectric layer. The trenches surround each of the at least one active interconnect and the dummy plugs whereby the upper dielectric level between the adjacent dummy plugs is removed. A metallization layer is deposited over the lower dielectric layer, filling the trenches at least to the upper surface of the remaining upper dielectric layer. The metallization layer is planarized to remove the excess of the metallization layer forming a continuous bonding pad within the bonding pad area and including the plurality of adjacent dummy plugs, thus forming at least one damascene structure including the at least one respective active interconnect.

Description

BACKGROUND OF THE INVENTION
Copper damascene and dual damascene structures are beginning to be used for interconnects. The damascene processing uses chemical mechanical polishing (CMP) to planarize the top surface of the copper interconnect. However dishing is a problem with CMP.
U.S. Pat. No. 5,885,856 to Gilbert et al. describes a method of forming an integrated circuit with dummy mesas added to the layout pattern of the integrated circuit to equilibrate the polishing rate across the surface of a semiconductor substrate. The location of each dummy mesa is selected to that it does not intersect a well boundary or an active region, and does not fall under a conductive layer or polysilicon or interconnect structure.
U.S. Pat. No. 5,639,687 to Weling et al. describes a method of commonizing the pattern density of topography for different layers of semiconductor wafers to improve the chemical mechanical polishing processing of the wafer. Dummy raised lines are inserted as necessary into gaps between active conductive traces on a trace layer on the wafer.
U.S. Pat. No. 5,445,994 to Gilton describes a method for forming planar metal connections to the bonding pads of a semiconductor die that can be customized to match different bonding pad and lead finger configuration
U.S. Pat. No. 5,888,889 to Frisina et al. describes a process for manufacturing an integrated structure pad assembly for wire bonding to a power semiconductor device chip.
U.S. Pat. No. 5,801,094 to Yew et al. describes a dual damascene process that forms a two level metal interconnect structure with a step free transition between the two levels.
U.S. Pat. No. 5,266,446 to Chang et al. describes a method of fabricating a planar multilayer thin film structure on the surface of a dielectric substrate by applying and first and second layer of dielectric polymeric material on a surface of a dielectric substrate. The second, upper layer of polymeric material is photosensitive and is exposed and developed to form a feature therein that is in communication with a feature in the first, lower layer of polymeric material. A seed layer is deposited over the second layer, and coating the first and second layer features. A thicker layer of conductive material is deposited over the seed layer, filling the first and second features at least to the level of the second layer, and is then planarized to remove the excess of the thicker layer.
SUMMARY OF THE INVENTION
Accordingly, it is an object of the present invention to provide a method of forming metal dummy plugs and active interconnects in a single etch step in a semiconductor structure.
Another object of the present invention is to provide a method of forming metal dummy plugs, in a bonding pad area, and active interconnects, in an interconnect area, in a single etch step in a interconnect area in a semiconductor structure.
A further object of the present invention is to provide a copper chemical-mechanical polishing process utilizing dummy plugs in damascene processes that minimize the erosion of the metal layer from large areas.
Yet another object of the present invention is to provide a copper chemical-mechanical polishing process utilizing dummy plugs that minimizes copper dishing during in large areas, e.g. bonding pad areas.
Other objects will appear hereinafter.
It has now been discovered that the above and other objects of the present invention may be accomplished in the following manner. Specifically, semiconductor wafer structure having at least an upper and a lower dielectric layer is provided. The semiconductor wafer structure having a bonding pad area and an interconnect area. At least one active interconnect having a first width is formed in the interconnect area, through the dielectric layers. A plurality of adjacent dummy plugs each having a second width is formed in the bonding pad area, through a portion of the dielectric layers. The semiconductor wafer structure is patterned and etched to form trenches through the upper dielectric layer. The trenches surround each of the at least one active interconnect and the dummy plugs whereby the upper dielectric level between the adjacent dummy plugs is removed. A metallization layer is deposited over the lower dielectric layer, filling the trenches at least to the upper surface of the remaining upper dielectric layer. The metallization layer is planarized to remove the excess of the metallization layer forming a continuous bonding pad within the bonding pad area and including the plurality of adjacent dummy plugs, thus forming at least one damascene structure including the at least one respective active interconnect.
BRIEF DESCRIPTION OF THE DRAWINGS
The features and advantages of the method of fabricating a semiconductor structure having at least one integrated circuit according to the present invention will be more clearly understood from the following description taken in conjunction with the accompanying drawings in which like reference numerals designate similar or corresponding elements, regions and portions and in which:
FIGS. 1, 2A-6A, and 1, 2B-6B schematically illustrate in cross-sectional representation alternate embodiments, respectively, of the present invention.
FIG. 7 is a graph plotting etch rate (E/R) versus feature size in forming trenches in silicon oxide layers for various etch chemistries.
FIG. 8 is an option to the second embodiment of the present invention.
FIGS. 9A-9C schematically illustrate in plan view, example dummy plug patterns inside the bonding pad, or large area, of the present invention.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT
Accordingly as shown in FIG. 1, common to both embodiments of the present invention, starting semiconductor structure 10 includes an upper intermetal dielectric layer (IMD) having at least one exposed active device 12 and is also understood to possibly include a semiconductor wafer or substrate, active and passive devices formed within the wafer, conductive layers and dielectric layers (e.g., inter-poly oxide (IPO), intermetal dielectric (IMD), etc.) formed over the wafer surface. Alternatively, semiconductor structure 10 can be a semiconductor substrate, e.g. a wafer, with an active area 12. Dielectric layer 16 can represent can IMD and /or ILD layers. The term “semiconductor structure” is meant to include devices formed within a semiconductor wafer and the layers overlying the wafer.
Active device 12 can represent a conductive line/interconnect in a dielectric layer. Also, active device 12 can represent a doped region in a silicon substrate.
Unless otherwise specified, all structures, layers, etc. may be formed or accomplished by conventional methods known in the prior art.
Semiconductor structure etch stop layer (bottom etch stop layer) 14 is formed over semiconductor structure 10 and active device 12. Lower interlayer dielectric (ILD) layer 16 is formed over lower etch stop layer 14. Lower etch stop layer (middle etch stop layer) 18 is formed over lower ILD layer 16. Upper interlayer dielectric (ILD) layer 20 is formed over lower etch stop layer 18, and upper etch stop layer (top etch stop layer) 22 is formed over upper ILD 20. This forms semiconductor wafer structure 24.
Etch stop layers 14, 18, 22 may be formed from SiC (carbonated SiO2), Si3N4, or SiO2 in the case of organic low-k materials such as dielectrics, and are preferably formed of silicon nitride (Si3N4). Bottom etch stop layer 14 may be is from about 150 to 1500 Å thick; middle etch stop layer 18 may be from about 0 to 1500 Å thick; and top etch stop layer 22 may be from about 150 to 1500 Å thick.
ILD layers 16, 20 may be formed from SiO2, low-k materials such as SILK™ (resembles BCB in its composition except that it does not contain silicon and has a dielectric constant of about 2,6—that is, it is a carbonaceous polymer—available from Dow Chemical Corp.), FLARE™ (fluorinated poly (arylene ether)—available from Allied Signal Corp.), BLACK DIAMOND™ (fluorosilicate glass—available from Applied Materials), or CORAL™ (carbon doped silicon dioxide—available from Novellus Corporation), etc., and are preferably formed from silicon oxide (SiO2). ILD layers 16, 20 may each be from about 1500 to 15,000 Å thick.
Semiconductor wafer structure 24 includes interconnect area 30 and bonding pad area 40. Bonding pad area 40 may be any large area, or in simplest terms, a large area is any area that can have at least one dummy plug. Bonding pads comprise the typical ‘large area.’
Active device 12, e.g. a conductive line, is within interconnect area 30.
The two embodiments shown in FIGS. 2A-6A and FIGS. 2B-6B, respectively, may then be formed from the semiconductor wafer structure 24 of FIG. 1. In both embodiments, at least one via opening 34A, 34B is formed within interconnect area 30 in a single etch step with dummy plug openings 42A, 42B within bonding pad area 40.
The structural difference between the two embodiments is that in the first embodiment (FIGS. 2A-6A) the width 33A of via opening 34A for active interconnect 32A is less than the width 43A of dummy plug openings 42A for dummy plugs 46A. While in the second embodiment (FIGS. 2B-6B) the width 33B of via opening 34B for active interconnect 32B is greater than the width 43B of dummy plug openings 42B for dummy plugs 46B.
First Embodiment
As shown in FIG. 2A, semiconductor wafer structure 24 is patterned and etched, in a single etch step, to form at least one via opening 34A within interconnect area 30 exposing active device 12, and equally spaced-apart dummy plug openings 42A within bonding pad area 40.
An etching process is selected that etches more narrow openings more rapidly than wider openings. The reactive ion etch (RIE) for silicon dioxide may have the characteristics as shown in FIG. 7, depending upon etch process chemistry. That is, plotting etch rate (E/R) on the vertical axis versus feature size on the horizontal axis produces a bell shaped curve with a maximum etch rate for a particular feature size, i.e. a particular width of trenches being etched. By altering the etch process chemistry may shift bell curve A for the first embodiment to the left to bell curve B for the second embodiment, i.e. having the maximum etch rate for narrower trench size. Thus it may be possible to shift the bell curve on the horizontal axis (curve B) or invert the bell curve (curve C) by altering the etch process chemistry.
The single step etching process of the first embodiment etches the more narrow via opening 34A of active interconnect 32A more rapidly than the wider dummy plug openings 42A because of the reverse RIE (reactive ion etch) lag effect. That is, wider, open areas are etched slower due to by products reducing the concentration of the etch species.
Via opening 34A extends through etch stop layers 14, 18, 22 and ILD layers 16, 20, exposing active device 12. Width 33A (or “f”) of via opening 34A is preferably less than about 4000 Å. The lower end of width 34A is limited by the process capabilities. Via opening 34A allows electrical coupling associated elements of an associated integrated circuit on semiconductor wafer 10, i.e., e.g., active device 12.
Dummy plug openings 42A may be etched through upper etch stop layer 22, upper ILD layer 20, lower etch stop layer 18, and partially within lower ILD layer 16 (to a depth of preferably from about 1000 to 8000 Å). Dummy plug openings 42A are etched so that the subsequently formed dummy plugs and bonding pad will not electrically couple with any associated elements of any associated integrated circuit on the semiconductor wafer.
Dummy plug openings 42A are preferably from about 2000 to 16,000 Å deep. Width 43A (>2 f) of dummy plug openings 42A is preferably less than about 8000 Å. The lower end of width 43A is limited by the process capabilities.
With the width of via opening 34A equal to “f,” then the width of wider dummy plug openings 42A are greater than about twice the width “f” of via opening 34A, i.e. the width of dummy plug openings 42A are about >2 f.
Or, width 33A of via opening 34A is less than width 43A of dummy plug openings 42A by about 50% or more.
An optional first barrier layer (not shown) may be formed within, and lining, via opening 34A and also within, and lining, dummy plug openings 42A. The barrier layer may be comprised of TaN or Ta, and may comprise a lower barrier layer portion and an upper metal seed layer portion.
As shown in FIG. 3A, a metal layer (not shown) is deposited over the structure, filling via opening 34A and dummy plug openings 42A at least as high as upper etch stop layer 22. The metal layer is then planarized, preferably by chemical mechanical polishing, to form metal plug 36A within interconnect area 30 and dummy plugs 46A within bonding pad area 40. The metal layer and metal plug 36A and dummy plugs 46A may be comprised of copper (Cu), or aluminum (Al) and preferably tungsten (W).
As shown in FIG. 4A, the structure is patterned and upper etch stop layer 22 and upper ILD layer 20 are etched to lower etch stop layer 18 to form trenches 37A, e.g. line trenches, adjacent tungsten metal plug 36A and trenches 47A adjacent tungsten dummy plugs 46A. Lower etch stop layer 18 forms the bottoms of trenches 37A, 47A. It is noted that despite any difference in widths between trenches 37A and trenches 47A, both sets of trenches 37A, 47A are only etched to lower etch stop layer 18.
All of upper etch stop layer 22 and upper ILD layer 20 are removed between adjacent tungsten dummy plugs 46A in forming trenches 47A.
An optional second barrier layer 79A may be formed within, and lining, trenches 37A and also within, and lining, trenches 47A. Barrier layer 79A may comprise a lower barrier layer portion and an upper metal seed layer portion.
As shown in FIG. 5A, metallization layer 60A is deposited over the structure, filling trenches 37A adjacent tungsten metal plug 36A and trenches 47A adjacent tungsten dummy plugs 46A at least as high as upper etch stop layer 22. Metallization layer 60A may be composed of tungsten (W), aluminum (Al), an aluminum alloy, or copper, and preferably copper (Cu).
As shown in FIG. 6A, copper layer 60A is planarized, preferably by CMP, to remove the excess copper metal and to form: planarized dual damascene structure 39A, within interconnect area 30, comprised of tungsten metal plug 36A and copper metal filled trenches 37A′; and continuous planarized bonding pad 49A, within bonding pad area 40, comprised of tungsten dummy plugs 47A′ and copper metal filled trenches 47A′.
A planarized passivation layer 62A is deposited over the structure and is patterned to expose continuous bonding pad 49A within bonding pad area 40. Passivation layer 62A may be comprised of silicon nitride, SiC, SiO2, or SOG for example.
Second Embodiment
As shown in FIG. 2B, semiconductor wafer structure 24 is patterned and etched, in a single etch step, to form at least one via opening 34B within interconnect area 30 exposing active device 12, and equally spaced-apart dummy plug openings 42B within bonding pad area 40.
An etching process is selected that etches more narrow openings more rapidly than wider openings. During the etch process, more by-products are created in the wider openings (via opening 34B). This affects the concentration of etch species and thus the etch rate is reduced in the wide openings (via opening 34B) versus the narrow openings (dummy plug openings 42B). For example, a chemistry for etching may include C4F8/Co/O2/Ar.
The single step etching process of the second embodiment etches the wider via opening 34B of active interconnect 32B more rapidly than the more narrow dummy plug openings 42B because of the RIE lag or micro loading effect as noted above.
Via opening 34B extends through etch stop layers 14, 18, 22 and ILD layers 16, 20, exposing active device 12. Width 33B of via opening 34B is preferably less than about 8000 Å. The lower end of width 34B is limited by the process capabilities. Via opening 34B allows electrical coupling associated elements of an associated integrated circuit on semiconductor wafer 10, i.e., e.g., active device 12.
Dummy plug openings 42B may be etched through upper etch stop layer 22, upper ILD layer 20, lower etch stop layer 18, and partially within lower ILD layer 16 (to a depth of preferably from about 1000 to 8000 Å). Dummy plug openings 42B are etched so that the subsequently formed dummy plugs and bonding pad will not electrically couple with any associated elements of any associated integrated circuit on the semiconductor wafer.
Dummy plug openings 42B are preferably from about 3000 to 16,000 Å deep. Width 43B of dummy plug openings 42B is preferably less than about 4000 Å. The lower end of width 43B is limited by the process capabilities.
Width 43B of dummy plug openings 42B is less than width 33B of via opening 34B by about 80% or less.
An optional first barrier layer (not shown) may be formed within, and lining, via opening 34B and also within, and lining, dummy plug openings 42B. The barrier layer may be comprised of TaN or Ta, and may comprise a lower barrier layer portion and an upper metal seed layer portion.
As shown in FIG. 3B, a metal layer (not shown) is deposited over the structure, filling via opening 34B and dummy plug openings 42B at least as high as upper etch stop layer 22. The metal layer is then planarized, preferably by chemical mechanical polishing, to form metal plug 36B within interconnect area 30 and dummy plugs 46B within bonding pad area 40. The metal layer and metal plug 36B and dummy plugs 46B may be comprised of copper (Cu), or aluminum (Al) and preferably tungsten (W).
As shown in FIG. 4B, the structure is patterned and upper etch stop layer 22 and upper ILD layer 20 are etched to lower etch stop layer 18 to form trenches 37B, e.g. line trenches, adjacent tungsten metal plug 36B and trenches 47B adjacent tungsten dummy plugs 46B. Lower etch stop layer 18 forms the bottoms of trenches 37B, 47B. It is noted that despite any difference in widths between trenches 37B and trenches 47B, both sets of trenches 37B, 47B are only etched to lower etch stop layer 18.
All of upper etch stop layer 22 and upper ILD layer 20 are removed between adjacent tungsten dummy plugs 46B in forming trenches 47B.
An optional second barrier layer 79B may be formed within, and lining, trenches 37B and also within, and lining, trenches 47B. Barrier layer 79B may comprise a lower barrier layer portion and an upper metal seed layer portion.
As shown in FIG. 5B, metallization layer 60B is deposited over the structure, filling trenches 37B adjacent tungsten metal plug 36B and trenches 47B adjacent tungsten dummy plugs 46B at least as high as upper etch stop layer 22. Metallization layer 60B may be composed of tungsten (W), aluminum (Al), an aluminum alloy, or copper (Cu), and preferably copper (Cu).
As shown in FIG. 6B, copper layer 60B is planarized, preferably by CMP, to remove the excess copper metal and to form: planarized dual damascene structure 39B, within interconnect area 30, comprised of tungsten metal plug 36B and copper metal filled trenches 37B′; and continuous planarized bonding pad 49B, within bonding pad area 40, comprised of tungsten dummy plugs 47B′ and copper metal filled trenches 47B′.
A planarized passivation layer 62B is deposited over the structure and is patterned to expose continuous bonding pad 49B within bonding pad area 40. Passivation layer 62B may be comprised of silicon nitride, SiC, SiO2, or SOG for example.
Optional Structure for the Second Embodiment
FIG. 8 illustrates an optional structure for the second embodiment. When the lithographic process is optimized for via openings 32B (nominal feature size), the smaller dummy plug openings 42B will be patterned even smaller than the designed size due to the nature of optics. Subsequently, when etching is completed for via openings 32B, middle etch stop layer 18 delineates the bottom of dummy plug openings 42B at 100, and dummy plug openings 42B are less wide.
That is, the dummy plug openings 42B are etched only down to middle etch stop layer 18 as at 100. The structure of FIG. 8 would substitute for the structure of FIG. 2B with processing otherwise continuing as described above with the difference being in the depth of dummy plug openings 42A as shown in FIG. 8.
The following are some of the advantages of the present invention:
1. The method of the present invention gives better control on dishing and erosion performance on the CMP process.
2. Another major advantage is that the method of forming the bonding pad in accordance with the present invention allows the bonding pad to better adhere to the underlying dielectric layers due to dummy metal plugs 46A, 46B.
It is noted that the patterns 200 of dummy plugs 46A, 46B inside the bonding pad, or large, area 40 may be of any shape such as circular, trapezoidal, or trench as illustrated in FIGS. 9A-9C, respectively.
While particular embodiments of the present invention have been illustrated and described, it is not intended to limit the invention, except as defined by the following claims.

Claims (29)

We claim:
1. A method of fabricating a semiconductor wafer having at least one integrated circuit, the method comprising the steps of:
providing a semiconductor wafer structure having at least an upper and a lower dielectric layer; said semiconductor wafer structure having a bonding pad area and a interconnect area;
forming, at least one active interconnect having a first width, in said interconnect area, through said dielectric layers, and a plurality of adjacent dummy plugs each having a second width, in said bonding pad area, through a portion of said dielectric layers;
patterning and etching said semiconductor wafer structure to form trenches through said upper dielectric layer; said trenches surrounding each of said at least one active interconnect and said dummy plugs whereby said upper dielectric level between said adjacent dummy plugs is removed;
depositing a metallization layer over said lower dielectric layer, filling said trenches at least to the upper surface of the remaining upper dielectric layer; and
planarizing said metallization layer to remove the excess of said metallization layer forming a continuous bonding pad within said bonding pad area and including said plurality of adjacent dummy plugs; and forming at least one damascene structure including said at least one respective active interconnect.
2. The method of claim 1, wherein said at least one active interconnect is arranged to electrically couple associated elements of an associated integrated circuit on the wafer, and said dummy plugs are not arranged to electrically couple any associated elements of any associated integrated circuit on the wafer.
3. The method of claim 1, wherein said first width of each said active interconnect is less than said second width of each said dummy plug.
4. The method of claim 1, wherein said first width of each said active interconnect is greater than said second width of each said dummy plug.
5. The method of claim 1, wherein said dielectric layers are comprised of a material selected from the group silicon oxide, FSG, and low-k materials such as fluorinated poly (arylene ether); and including the step of forming a passivation layer at least over said continuous bonding pad; said passivation layer being comprised of a material selected from the group silicon nitride, SiC, and SiO2.
6. The method of claim 1, wherein said active interconnect and said dummy plugs are comprised of a metal selected from the group tungsten, copper, and aluminum; and said metallization layer is comprised of a material selected from the group copper, tungsten, aluminum, and an aluminum alloy.
7. The method of claim 1, wherein said active interconnect and said dummy plugs are comprised of tungsten and said metallization layer is comprised of copper.
8. The method of claim 1, wherein said dielectric layers are comprised of a material selected from the group silicon oxide, FSG, and low-k materials such as fluorinated poly (arylene ether); said active interconnect and said dummy plugs are comprised of a metal selected from the group tungsten, copper, and aluminum; and said metallization layer is comprised of a material selected from the group copper, tungsten, aluminum, and an aluminum alloy; and including the step of forming a passivation layer at least over said continuous bonding pad; said passivation layer being comprised of a material selected from the group silicon nitride, SiC, and SiO2.
9. The method of claim 1, wherein said dielectric layers are comprised of silicon oxide; said passivation layer is comprised of silicon nitride; said active interconnect and said dummy plugs are comprised of tungsten; and said metallization layer is comprised of copper.
10. The method of claim 1, further including the steps of forming a barrier layer between said at least one active interconnect and said upper and lower dielectric layers; and between said dummy plugs and said upper dielectric layer and said portion of said lower dielectric layer before said single etch step active interconnect and dummy plugs formation step.
11. The method of claim 1, further including the steps of forming a barrier layer between said at least one active interconnect and said upper and lower dielectric layers; and between said dummy plugs and said upper dielectric layer and said portion of said lower dielectric layer before said single etch step active interconnect and dummy plugs formation step; wherein said barrier layer includes a barrier layer portion and a seed layer portion over said barrier layer portion.
12. The method of claim 1, wherein said metal layer planarization step is conducted by chemical mechanical polishing.
13. A method of fabricating a semiconductor wafer having at least one integrated circuit, the method comprising the steps of:
providing a semiconductor structure having an upper surface with a semiconductor structure etch stop layer over said upper surface, a lower dielectric layer over said semiconductor structure etch stop layer, a lower etch stop layer over said lower dielectric layer, an upper dielectric layer over said upper etch stop layer, and an upper etch stop layer over said upper dielectric layer; said semiconductor structure having a bonding pad area and a interconnect area;
forming, in a single etch step, at least one active interconnect, each having a first width, in said interconnect area through said upper etch stop layer, upper dielectric, lower etch stop layer, lower dielectric, and semiconductor wafer etch stop layer, and a plurality of adjacent dummy plugs, each having a second width, in said bonding pad area and through said upper etch stop layer, upper dielectric and lower etch stop layers and at least partially into said lower dielectric; said at least one active interconnect being arranged to electrically couple associated elements of an associated integrated circuit on the wafer, and said dummy plugs not being arranged to electrically couple any associated elements of any associated integrated circuit on the wafer;
patterning and etching said semiconductor structure to form trenches through said upper etch stop layer and said upper dielectric layer to said lower etch stop layer; said trenches surrounding each of said at least one active interconnect and said dummy plugs whereby said upper etch stop layer and said upper dielectric level between said adjacent dummy plugs is removed;
depositing a metallization layer over said etched upper etch stop layer and said upper dielectric layer, filling said trenches at least to the upper surface of said upper etch stop layer;
planarizing said metallization layer to remove the excess of said metallization layer forming a continuous bonding pad within said bonding pad area and including said plurality of adjacent dummy plugs; and forming at least one dual damascene structure including said at least one respective active interconnect;
forming a planarized passivation layer over said semiconductor structure; and
patterning said passivation layer to expose said bonding pad area.
14. The method of claim 13, wherein said first width of each said active interconnect is less than said second width of each said dummy plug.
15. The method of claim 13, wherein said first width of each said active interconnect is greater than said second width of each said dummy plug.
16. The method of claim 13, wherein said etch stop layers are comprised of a material selected from the group silicon nitride, SiC, and SiO2; said dielectric layers are comprised of a material selected from the group silicon oxide, FSG, and low-k materials such as fluorinated poly (arylene ether); and said passivation layer is comprised of a material selected from the group silicon nitride, SiC, SiO2, a low-k material and SOG.
17. The method of claim 13, wherein said active interconnect and said dummy plugs are comprised of a metal selected from the group tungsten, copper, and aluminum; and said metallization layer is comprised of a material selected from the group copper, tungsten, aluminum, and an aluminum alloy.
18. The method of claim 13, wherein said active interconnect and said dummy plugs are comprised of tungsten and said metallization layer is comprised of copper.
19. The method of claim 13, wherein said etch stop layers are comprised of a material selected from the group silicon nitride, SiC, and SiO2; said dielectric layers are comprised of a material selected from the group silicon oxide, FSG, and a low-k material; said passivation layer is comprised of a material selected from the group silicon nitride, SiO2, SOG, and a low-k material; said active interconnect and said dummy plugs are comprised of a metal selected from the group tungsten, copper, and aluminum; and said metallization layer is comprised of a material selected from the group copper, tungsten, aluminum, and an aluminum alloy.
20. The method of claim 13, wherein said etch stop layers are comprised of silicon nitride; said dielectric layers are comprised of silicon oxide; said passivation layer is comprised of silicon nitride; said active interconnect and said dummy plugs are comprised of tungsten; and said metallization layer is comprised of copper.
21. The method of claim 13, further including the steps of forming a barrier layer between said at least one active interconnect and said upper and lower dielectric layers; and between said dummy plugs and said upper dielectric layer and said portion of said lower dielectric layer before said single etch step active interconnect and dummy plugs formation step.
22. The method of claim 13, further including the steps of forming a barrier layer between said at least one active interconnect and said upper and lower dielectric layers; and between said dummy plugs and said upper dielectric layer and said portion of said lower dielectric layer before said single etch step active interconnect and dummy plugs formation step; wherein said barrier layer includes a barrier layer portion and a seed layer portion over said barrier layer portion.
23. The method of claim 13, wherein said metal layer planarization step is conducted by chemical mechanical polishing.
24. A method of fabricating a semiconductor wafer having at least one integrated circuit, the method comprising the steps of:
providing a semiconductor structure having an upper surface with a semiconductor wafer etch stop layer over said upper surface, a lower dielectric layer over said semiconductor wafer etch stop layer, a lower etch stop layer over said lower dielectric layer, an upper dielectric layer over said lower etch stop layer, and an upper etch stop layer over said upper dielectric layer; said semiconductor structure having a bonding pad area and a interconnect area; said etch stop layers comprising silicon nitride and said dielectric layers comprising silicon dioxide;
forming, in a single etch step, at least one active tungsten interconnect in said interconnect area through said upper etch stop layer, upper dielectric, lower etch stop layer, lower dielectric, and semiconductor wafer etch stop layer, and a plurality of adjacent dummy tungsten plugs in said bonding pad area and through said upper etch stop, upper dielectric and lower etch stop layers and at least partially into said lower dielectric; said at least one active interconnect being arranged to electrically couple associated elements of an associated integrated circuit on the wafer, and said dummy plugs not being arranged to electrically couple any associated elements of any associated integrated circuit on the wafer;
patterning and etching said semiconductor structure to form trenches through said upper etch stop layer and said upper dielectric layer to said lower etch stop layer; said trenches surrounding each of said at least one active interconnect and said dummy plugs whereby said upper etch stop layer and said upper dielectric level between said adjacent dummy plugs is removed;
depositing a copper metallization layer over said etched upper etch stop layer and said upper dielectric layer, filling said trenches at least to the upper surface of said upper etch stop layer;
planarizing said copper metallization layer to remove the excess of said copper metallization layer forming a continuous bonding pad within said bonding pad area and including said plurality of adjacent dummy plugs; and forming at least one dual damascene structure including said at least one respective active interconnect;
forming a planarized silicon nitride passivation layer over said semiconductor structure; and
patterning said silicon nitride passivation layer to expose said bonding pad area.
25. The method of claim 24, wherein said first width of each said active interconnect is less than said second width of each said dummy plug.
26. The method of claim 24, wherein said first width of each said active interconnect is greater than said second width of each said dummy plug.
27. The method of claim 24, further including the steps of forming a barrier layer between said at least one active interconnect and said upper and lower dielectric layers; and between said dummy plugs and said upper dielectric layer and said portion of said lower dielectric layer before said single etch step active interconnect and dummy plugs formation step.
28. The method of claim 24, further including the steps of forming a barrier layer between said at least one active interconnect and said upper and lower dielectric layers; and between said dummy plugs and said upper dielectric layer and said portion of said lower dielectric layer before said single etch step active interconnect and dummy plugs formation step; wherein said barrier layer includes a barrier layer portion and a seed layer portion over said barrier layer portion.
29. A method of fabricating a semiconductor wafer having at least one integrated circuit, the method comprising the steps of:
providing a semiconductor wafer structure having at least an upper and a lower dielectric layer, each covered by respective etch stop layers, over a semiconductor structure etch stop layer over the semiconductor structure; said semiconductor wafer structure having a bonding pad area and a interconnect area;
forming, at least one active interconnect having a first width, in said interconnect area, through said dielectric layers and said etch stop layers, and a plurality of adjacent dummy plugs each having a second width, in said bonding pad area, through a portion of said dielectric layers and the uppermost etch stop layer, stopping on the etch stop layer immediately beneath said uppermost etch stop layer; said first width of said active interconnect being greater than said second width of said adjacent dummy plugs;
patterning and etching said semiconductor wafer structure to form trenches through said top etch stop layer and said upper dielectric layer to said upper etch stop layer; said trenches surrounding each of said at least one active interconnect and said dummy plugs whereby said top etch stop layer and said upper dielectric level between said adjacent dummy plugs is removed;
depositing a metallization layer over said etched top etch stop layer and said upper dielectric layer, filling said trenches at least to the upper surface of said top etch stop layer; and
planarizing said metallization layer to remove the excess of said metallization layer forming a continuous bonding pad within said bonding pad area and including said plurality of adjacent dummy plugs; and forming at least one dual damascene structure including said at least one respective active interconnect.
US09/596,901 2000-06-19 2000-06-19 CMP process utilizing dummy plugs in damascene process Expired - Lifetime US6380087B1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US09/596,901 US6380087B1 (en) 2000-06-19 2000-06-19 CMP process utilizing dummy plugs in damascene process
SG200103321A SG95653A1 (en) 2000-06-19 2001-06-01 Better copper cmp process utilizing dummy plugs in damascene process

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/596,901 US6380087B1 (en) 2000-06-19 2000-06-19 CMP process utilizing dummy plugs in damascene process

Publications (1)

Publication Number Publication Date
US6380087B1 true US6380087B1 (en) 2002-04-30

Family

ID=24389196

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/596,901 Expired - Lifetime US6380087B1 (en) 2000-06-19 2000-06-19 CMP process utilizing dummy plugs in damascene process

Country Status (2)

Country Link
US (1) US6380087B1 (en)
SG (1) SG95653A1 (en)

Cited By (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020168833A1 (en) * 2000-12-26 2002-11-14 Noriyuki Ota Manufacturing method of semiconductor device and designing method of semiconductor device
US6528885B2 (en) * 2000-10-02 2003-03-04 Stmicroelectronics S.R.L. Anti-deciphering contacts
US6573174B2 (en) * 2000-09-22 2003-06-03 Hyundai Electronics Industries Co., Ltd. Method for reducing surface defects of semiconductor substrates
US20030209756A1 (en) * 2001-07-04 2003-11-13 Masahiko Kanda Semiconductor memory reducing current consumption and narrow channel effect and method of manufacturing the same
US20030228714A1 (en) * 2002-06-07 2003-12-11 Smith Taber H. Dummy fill for integrated circuits
US20030229410A1 (en) * 2002-06-07 2003-12-11 Smith Taber H. Integrated circuit metrology
US20030229875A1 (en) * 2002-06-07 2003-12-11 Smith Taber H. Use of models in integrated circuit fabrication
US20030229479A1 (en) * 2002-06-07 2003-12-11 Smith Taber H. Dummy fill for integrated circuits
US20030226757A1 (en) * 2002-06-07 2003-12-11 Smith Taber H. Dummy fill for integrated circuits
US20040004901A1 (en) * 2002-07-02 2004-01-08 Gieseke Bruce Alan Wordline latching in semiconductor memories
US20040023499A1 (en) * 2002-08-02 2004-02-05 Kay Hellig Method of manufacturing multi-level contacts by sizing of contact sizes in integrated circuits
US6709974B2 (en) * 2002-04-17 2004-03-23 Texas Instruments Incorporated Method of preventing seam defects in isolated lines
US6821883B1 (en) * 1998-11-25 2004-11-23 Advanced Micro Devices, Inc. Shallow trench isolation using antireflection layer
US6861343B2 (en) * 2002-10-09 2005-03-01 Chok J. Chia Buffer metal layer
US6887790B1 (en) * 2002-07-19 2005-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming dummy copper plug to improve low k structure mechanical strength and plug fill uniformity
US20050127510A1 (en) * 2003-12-11 2005-06-16 Jae-Suk Lee Metal interconnection lines of semiconductor devices and methods of forming the same
US20050132306A1 (en) * 2002-06-07 2005-06-16 Praesagus, Inc., A Massachusetts Corporation Characterization and reduction of variation for integrated circuits
US20050205961A1 (en) * 2004-03-22 2005-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Model-based insertion of irregular dummy features
US20060035461A1 (en) * 2004-08-13 2006-02-16 Choi Chee H Copper line of semiconductor device and method for forming the same
US7061075B1 (en) 1998-11-25 2006-06-13 Advanced Micro Devices, Inc. Shallow trench isolation using antireflection layer
US7115995B2 (en) * 2000-12-20 2006-10-03 Intel Corporation Structural reinforcement of highly porous low k dielectric films by Cu diffusion barrier structures
US20070157139A1 (en) * 2002-06-07 2007-07-05 David White Characterization and verification for integrated circuit designs
US20070161222A1 (en) * 2005-12-28 2007-07-12 Tae Ho Kim Method of forming pad of semiconductor device
US20070224795A1 (en) * 2006-03-22 2007-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy vias for damascene process
US20070256039A1 (en) * 2002-06-07 2007-11-01 Cadence Design Systems, Inc. Dummy fill for integrated circuits
US7307025B1 (en) * 2005-04-12 2007-12-11 Lam Research Corporation Lag control
US20080027698A1 (en) * 2002-06-07 2008-01-31 Cadence Design Systems, Inc. Method and System for Handling Process Related Variations for Integrated Circuits Based Upon Reflections
US20080102622A1 (en) * 2006-10-31 2008-05-01 Hynix Semiconductor Inc. Method of forming metal line in semiconductor device
US20090152727A1 (en) * 2007-12-18 2009-06-18 Hynix Semiconductor Inc. Bonding pad for anti-peeling property and method for fabricating the same
CN101859727A (en) * 2009-04-01 2010-10-13 台湾积体电路制造股份有限公司 Interconnect structure
US20110073917A1 (en) * 2009-09-29 2011-03-31 Magic Technologies, Inc. Method of high density memory fabrication
US20110212619A1 (en) * 2006-12-29 2011-09-01 Hynix Semiconductor Inc. Semiconductor Device Having Dummy Pattern and the Method for Fabricating the Same
US20170301779A1 (en) * 2015-07-07 2017-10-19 Fuji Electric Co., Ltd. Semiconductor apparatus
US10043817B2 (en) 2015-10-21 2018-08-07 Samsung Electronics Co., Ltd. Semiconductor memory device
US11211333B2 (en) * 2018-07-16 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Through silicon via optimization for three-dimensional integrated circuits
US11705395B2 (en) * 2018-06-25 2023-07-18 Intel Corporation Core fill to reduce dishing and metal pillar fill to increase metal density of interconnects

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5266446A (en) 1990-11-15 1993-11-30 International Business Machines Corporation Method of making a multilayer thin film structure
US5445994A (en) 1994-04-11 1995-08-29 Micron Technology, Inc. Method for forming custom planar metal bonding pad connectors for semiconductor dice
US5459093A (en) * 1993-03-18 1995-10-17 Sony Corporation Method for forming dummy pattern in a semiconductor device
US5639697A (en) 1996-01-30 1997-06-17 Vlsi Technology, Inc. Dummy underlayers for improvement in removal rate consistency during chemical mechanical polishing
US5801094A (en) 1997-02-28 1998-09-01 United Microelectronics Corporation Dual damascene process
US5885856A (en) 1996-08-21 1999-03-23 Motorola, Inc. Integrated circuit having a dummy structure and method of making
US5888889A (en) 1993-12-24 1999-03-30 Consorzio Per La Ricerca Sulla Microelettronica Nel Mezzogiorno Integrated structure pad assembly for lead bonding
US6016000A (en) * 1998-04-22 2000-01-18 Cvc, Inc. Ultra high-speed chip semiconductor integrated circuit interconnect structure and fabrication method using free-space dielectrics
US6057224A (en) * 1996-03-29 2000-05-02 Vlsi Technology, Inc. Methods for making semiconductor devices having air dielectric interconnect structures
US6124198A (en) * 1998-04-22 2000-09-26 Cvc, Inc. Ultra high-speed chip interconnect using free-space dielectrics
US6232662B1 (en) * 1998-07-14 2001-05-15 Texas Instruments Incorporated System and method for bonding over active integrated circuits
US6251773B1 (en) * 1999-12-28 2001-06-26 International Business Machines Corporation Method of designing and structure for visual and electrical test of semiconductor devices

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5266446A (en) 1990-11-15 1993-11-30 International Business Machines Corporation Method of making a multilayer thin film structure
US5459093A (en) * 1993-03-18 1995-10-17 Sony Corporation Method for forming dummy pattern in a semiconductor device
US5888889A (en) 1993-12-24 1999-03-30 Consorzio Per La Ricerca Sulla Microelettronica Nel Mezzogiorno Integrated structure pad assembly for lead bonding
US5445994A (en) 1994-04-11 1995-08-29 Micron Technology, Inc. Method for forming custom planar metal bonding pad connectors for semiconductor dice
US5639697A (en) 1996-01-30 1997-06-17 Vlsi Technology, Inc. Dummy underlayers for improvement in removal rate consistency during chemical mechanical polishing
US6057224A (en) * 1996-03-29 2000-05-02 Vlsi Technology, Inc. Methods for making semiconductor devices having air dielectric interconnect structures
US5885856A (en) 1996-08-21 1999-03-23 Motorola, Inc. Integrated circuit having a dummy structure and method of making
US5801094A (en) 1997-02-28 1998-09-01 United Microelectronics Corporation Dual damascene process
US6016000A (en) * 1998-04-22 2000-01-18 Cvc, Inc. Ultra high-speed chip semiconductor integrated circuit interconnect structure and fabrication method using free-space dielectrics
US6124198A (en) * 1998-04-22 2000-09-26 Cvc, Inc. Ultra high-speed chip interconnect using free-space dielectrics
US6232662B1 (en) * 1998-07-14 2001-05-15 Texas Instruments Incorporated System and method for bonding over active integrated circuits
US6251773B1 (en) * 1999-12-28 2001-06-26 International Business Machines Corporation Method of designing and structure for visual and electrical test of semiconductor devices

Cited By (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7061075B1 (en) 1998-11-25 2006-06-13 Advanced Micro Devices, Inc. Shallow trench isolation using antireflection layer
US6821883B1 (en) * 1998-11-25 2004-11-23 Advanced Micro Devices, Inc. Shallow trench isolation using antireflection layer
US6573174B2 (en) * 2000-09-22 2003-06-03 Hyundai Electronics Industries Co., Ltd. Method for reducing surface defects of semiconductor substrates
US6528885B2 (en) * 2000-10-02 2003-03-04 Stmicroelectronics S.R.L. Anti-deciphering contacts
US7115995B2 (en) * 2000-12-20 2006-10-03 Intel Corporation Structural reinforcement of highly porous low k dielectric films by Cu diffusion barrier structures
US6583027B2 (en) * 2000-12-26 2003-06-24 Nec Electronics Corporation Manufacturing method of semiconductor device and designing method of semiconductor device
US20020168833A1 (en) * 2000-12-26 2002-11-14 Noriyuki Ota Manufacturing method of semiconductor device and designing method of semiconductor device
US20030209756A1 (en) * 2001-07-04 2003-11-13 Masahiko Kanda Semiconductor memory reducing current consumption and narrow channel effect and method of manufacturing the same
US6919611B2 (en) * 2001-07-04 2005-07-19 Kabushiki Kaisha Toshiba Semiconductor memory reducing current consumption and narrow channel effect and method of manufacturing the same
US6709974B2 (en) * 2002-04-17 2004-03-23 Texas Instruments Incorporated Method of preventing seam defects in isolated lines
US20080027698A1 (en) * 2002-06-07 2008-01-31 Cadence Design Systems, Inc. Method and System for Handling Process Related Variations for Integrated Circuits Based Upon Reflections
US7380220B2 (en) 2002-06-07 2008-05-27 Cadence Design Systems, Inc. Dummy fill for integrated circuits
US20030226757A1 (en) * 2002-06-07 2003-12-11 Smith Taber H. Dummy fill for integrated circuits
US20030237064A1 (en) * 2002-06-07 2003-12-25 David White Characterization and verification for integrated circuit designs
US7360179B2 (en) 2002-06-07 2008-04-15 Cadence Design Systems, Inc. Use of models in integrated circuit fabrication
US7712056B2 (en) 2002-06-07 2010-05-04 Cadence Design Systems, Inc. Characterization and verification for integrated circuit designs
US7774726B2 (en) 2002-06-07 2010-08-10 Cadence Design Systems, Inc. Dummy fill for integrated circuits
US20030229412A1 (en) * 2002-06-07 2003-12-11 David White Electronic design for integrated circuits based on process related variations
US7356783B2 (en) 2002-06-07 2008-04-08 Cadence Design Systems, Inc. Dummy fill for integrated circuits
US20030229880A1 (en) * 2002-06-07 2003-12-11 David White Test masks for lithographic and etch processes
US20090031261A1 (en) * 2002-06-07 2009-01-29 Cadence Design Systems, Inc. Characterization and reduction of variation for integrated circuits
US20050037522A1 (en) * 2002-06-07 2005-02-17 Praesagus Inc., A Massachusetts Corporation Dummy fill for integrated circuits
US20080216027A1 (en) * 2002-06-07 2008-09-04 Cadence Design Systems, Inc. Electronic Design for Integrated Circuits Based on Process Related Variations
US20050051809A1 (en) * 2002-06-07 2005-03-10 Praesagus, Inc., A Massachusetts Corporation Dummy fill for integrated circuits
US20030229868A1 (en) * 2002-06-07 2003-12-11 David White Electronic design for integrated circuits based process related variations
US7393755B2 (en) 2002-06-07 2008-07-01 Cadence Design Systems, Inc. Dummy fill for integrated circuits
US20050132306A1 (en) * 2002-06-07 2005-06-16 Praesagus, Inc., A Massachusetts Corporation Characterization and reduction of variation for integrated circuits
US20030229479A1 (en) * 2002-06-07 2003-12-11 Smith Taber H. Dummy fill for integrated circuits
US20050196964A1 (en) * 2002-06-07 2005-09-08 Praesagus, Inc. Dummy fill for integrated circuits
US7383521B2 (en) 2002-06-07 2008-06-03 Cadence Design Systems, Inc. Characterization and reduction of variation for integrated circuits
US20050235246A1 (en) * 2002-06-07 2005-10-20 Praesagus, Inc., A Massachusetts Corporation Use of models in integrated circuit fabrication
US7363099B2 (en) 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
US20030229875A1 (en) * 2002-06-07 2003-12-11 Smith Taber H. Use of models in integrated circuit fabrication
US20030229410A1 (en) * 2002-06-07 2003-12-11 Smith Taber H. Integrated circuit metrology
US7124386B2 (en) 2002-06-07 2006-10-17 Praesagus, Inc. Dummy fill for integrated circuits
US7152215B2 (en) 2002-06-07 2006-12-19 Praesagus, Inc. Dummy fill for integrated circuits
US7174520B2 (en) 2002-06-07 2007-02-06 Praesagus, Inc. Characterization and verification for integrated circuit designs
US7853904B2 (en) 2002-06-07 2010-12-14 Cadence Design Systems, Inc. Method and system for handling process related variations for integrated circuits based upon reflections
US7367008B2 (en) 2002-06-07 2008-04-29 Cadence Design Systems, Inc. Adjustment of masks for integrated circuit fabrication
US20070101305A1 (en) * 2002-06-07 2007-05-03 Praesagus, Inc. Methods and systems for implementing dummy fill for integrated circuits
US20070157139A1 (en) * 2002-06-07 2007-07-05 David White Characterization and verification for integrated circuit designs
US7243316B2 (en) 2002-06-07 2007-07-10 Praesagus, Inc. Test masks for lithographic and etch processes
US8001516B2 (en) 2002-06-07 2011-08-16 Cadence Design Systems, Inc. Characterization and reduction of variation for integrated circuits
US7962867B2 (en) 2002-06-07 2011-06-14 Cadence Design Systems, Inc. Electronic design for integrated circuits based on process related variations
US20070256039A1 (en) * 2002-06-07 2007-11-01 Cadence Design Systems, Inc. Dummy fill for integrated circuits
US20030228714A1 (en) * 2002-06-07 2003-12-11 Smith Taber H. Dummy fill for integrated circuits
US7363598B2 (en) 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Dummy fill for integrated circuits
US7325206B2 (en) 2002-06-07 2008-01-29 Cadence Design Systems, Inc. Electronic design for integrated circuits based process related variations
US7757195B2 (en) 2002-06-07 2010-07-13 Cadence Design Systems, Inc. Methods and systems for implementing dummy fill for integrated circuits
US7353475B2 (en) 2002-06-07 2008-04-01 Cadence Design Systems, Inc. Electronic design for integrated circuits based on process related variations
US6798712B2 (en) 2002-07-02 2004-09-28 Advanced Micro Devices, Inc. Wordline latching in semiconductor memories
US20040004901A1 (en) * 2002-07-02 2004-01-08 Gieseke Bruce Alan Wordline latching in semiconductor memories
US6887790B1 (en) * 2002-07-19 2005-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming dummy copper plug to improve low k structure mechanical strength and plug fill uniformity
CN100413050C (en) * 2002-08-02 2008-08-20 先进微装置公司 Method of manufacturing multi-level contacts by sizing of contact sizes in integrated circuits
US6828240B2 (en) 2002-08-02 2004-12-07 Advanced Micro Devices, Inc. Method of manufacturing multi-level contacts by sizing of contact sizes in integrated circuits
WO2004013908A1 (en) * 2002-08-02 2004-02-12 Advanced Micro Devices, Inc. Method of manufacturing multi-level contacts by sizing of contact sizes in integrated circuits
US20040023499A1 (en) * 2002-08-02 2004-02-05 Kay Hellig Method of manufacturing multi-level contacts by sizing of contact sizes in integrated circuits
US6861343B2 (en) * 2002-10-09 2005-03-01 Chok J. Chia Buffer metal layer
US20070075429A1 (en) * 2003-12-11 2007-04-05 Jae-Suk Lee Metal interconnection lines of semiconductor devices and methods of forming the same
US7186639B2 (en) * 2003-12-11 2007-03-06 Dongbu Electronics Co., Ltd. Metal interconnection lines of semiconductor devices and methods of forming the same
US20050127510A1 (en) * 2003-12-11 2005-06-16 Jae-Suk Lee Metal interconnection lines of semiconductor devices and methods of forming the same
US7514793B2 (en) 2003-12-11 2009-04-07 Dongbu Electronics Co., Ltd. Metal interconnection lines of semiconductor devices and methods of forming the same
US20050205961A1 (en) * 2004-03-22 2005-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Model-based insertion of irregular dummy features
US7314831B2 (en) * 2004-08-13 2008-01-01 Dongbu Electronics Co., Ltd. Copper line of semiconductor device and method for forming the same
US20060035461A1 (en) * 2004-08-13 2006-02-16 Choi Chee H Copper line of semiconductor device and method for forming the same
US7307025B1 (en) * 2005-04-12 2007-12-11 Lam Research Corporation Lag control
US7789991B1 (en) 2005-04-12 2010-09-07 Lam Research Corporation Lag control
US20070161222A1 (en) * 2005-12-28 2007-07-12 Tae Ho Kim Method of forming pad of semiconductor device
US20070224795A1 (en) * 2006-03-22 2007-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy vias for damascene process
US7767570B2 (en) 2006-03-22 2010-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy vias for damascene process
US7960821B2 (en) 2006-03-22 2011-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy vias for damascene process
US20100155963A1 (en) * 2006-03-22 2010-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy vias for damascene process
US20080102622A1 (en) * 2006-10-31 2008-05-01 Hynix Semiconductor Inc. Method of forming metal line in semiconductor device
US20110212619A1 (en) * 2006-12-29 2011-09-01 Hynix Semiconductor Inc. Semiconductor Device Having Dummy Pattern and the Method for Fabricating the Same
US8486822B2 (en) * 2006-12-29 2013-07-16 SK Hynix Inc. Semiconductor device having dummy pattern and the method for fabricating the same
US8119515B2 (en) * 2007-12-18 2012-02-21 Hynix Semiconductor Inc. Bonding pad for anti-peeling property and method for fabricating the same
US20090152727A1 (en) * 2007-12-18 2009-06-18 Hynix Semiconductor Inc. Bonding pad for anti-peeling property and method for fabricating the same
US20120013010A1 (en) * 2007-12-18 2012-01-19 Hynix Semiconductor Inc. Bonding pad for anti-peeling property and method for fabricating the same
CN101859727A (en) * 2009-04-01 2010-10-13 台湾积体电路制造股份有限公司 Interconnect structure
CN101859727B (en) * 2009-04-01 2012-10-10 台湾积体电路制造股份有限公司 Interconnect structure
WO2011040953A1 (en) * 2009-09-29 2011-04-07 Magic Technologies, Inc. Method of high density memory fabrication
US20110073917A1 (en) * 2009-09-29 2011-03-31 Magic Technologies, Inc. Method of high density memory fabrication
US9343463B2 (en) 2009-09-29 2016-05-17 Headway Technologies, Inc. Method of high density memory fabrication
US20170301779A1 (en) * 2015-07-07 2017-10-19 Fuji Electric Co., Ltd. Semiconductor apparatus
US10186608B2 (en) * 2015-07-07 2019-01-22 Fuji Electric Co., Ltd. Semiconductor apparatus
US10043817B2 (en) 2015-10-21 2018-08-07 Samsung Electronics Co., Ltd. Semiconductor memory device
US11705395B2 (en) * 2018-06-25 2023-07-18 Intel Corporation Core fill to reduce dishing and metal pillar fill to increase metal density of interconnects
US11211333B2 (en) * 2018-07-16 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Through silicon via optimization for three-dimensional integrated circuits

Also Published As

Publication number Publication date
SG95653A1 (en) 2003-04-23

Similar Documents

Publication Publication Date Title
US6380087B1 (en) CMP process utilizing dummy plugs in damascene process
US6696222B2 (en) Dual damascene process using metal hard mask
US6486059B2 (en) Dual damascene process using an oxide liner for a dielectric barrier layer
US6245663B1 (en) IC interconnect structures and methods for making same
US6184138B1 (en) Method to create a controllable and reproducible dual copper damascene structure
US6071809A (en) Methods for forming high-performing dual-damascene interconnect structures
US6140238A (en) Self-aligned copper interconnect structure and method of manufacturing same
US7514354B2 (en) Methods for forming damascene wiring structures having line and plug conductors formed from different materials
US6268283B1 (en) Method for forming dual damascene structure
US6291887B1 (en) Dual damascene arrangements for metal interconnection with low k dielectric constant materials and nitride middle etch stop layer
US6767826B2 (en) Method of manufacturing semiconductor device
US6841466B1 (en) Method of selectively making copper using plating technology
US6365971B1 (en) Unlanded vias with a low dielectric constant material as an intraline dielectric
US6030896A (en) Self-aligned copper interconnect architecture with enhanced copper diffusion barrier
US6372631B1 (en) Method of making a via filled dual damascene structure without middle stop layer
US20040171256A1 (en) Mask layer and interconnect structure for dual damascene semiconductor manufacturing
US6214745B1 (en) Method of improving surface planarity of chemical-mechanical polishing operation by forming shallow dummy pattern
US7112504B2 (en) Method of forming metal-insulator-metal (MIM) capacitors at copper process
US6319820B1 (en) Fabrication method for dual damascene structure
US20060183333A1 (en) Methods of fabricating semiconductor device using sacrificial layer
US6660619B1 (en) Dual damascene metal interconnect structure with dielectric studs
US6680248B2 (en) Method of forming dual damascene structure
US6569770B2 (en) Method for improving oxide erosion of tungsten CMP operations
US6218291B1 (en) Method for forming contact plugs and simultaneously planarizing a substrate surface in integrated circuits
US20020173079A1 (en) Dual damascene integration scheme using a bilayer interlevel dielectric

Legal Events

Date Code Title Description
AS Assignment

Owner name: CHARTERED SEMICONDUCTOR MANUFACTURING INC., CALIFO

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GUPTA, SUBHASH;ZHOU, MEI SHENG;CHOCKALINGAM, RAMSAMY;REEL/FRAME:010933/0296

Effective date: 20000306

STCF Information on status: patent grant

Free format text: PATENTED CASE

AS Assignment

Owner name: CHARTERED SEMICONDUCTOR MANUFACTURING LTD., SINGAP

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:CHARTERED SEMICONDUCTOR MANUFACTURING INC.;REEL/FRAME:013467/0231

Effective date: 20020619

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12