US6742103B2 - Processing system with shared translation lookaside buffer - Google Patents

Processing system with shared translation lookaside buffer Download PDF

Info

Publication number
US6742103B2
US6742103B2 US09/932,362 US93236201A US6742103B2 US 6742103 B2 US6742103 B2 US 6742103B2 US 93236201 A US93236201 A US 93236201A US 6742103 B2 US6742103 B2 US 6742103B2
Authority
US
United States
Prior art keywords
processing devices
shared
translation lookaside
lookaside buffer
virtual address
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime, expires
Application number
US09/932,362
Other versions
US20020062434A1 (en
Inventor
Gerard Chauvel
Dominique D'Inverno
Serge Lasserre
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP00402331A external-priority patent/EP1182559B1/en
Priority claimed from EP01401386A external-priority patent/EP1262876B1/en
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Assigned to TEXAS INSTRUMENTS INCORPORATED reassignment TEXAS INSTRUMENTS INCORPORATED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: TI-US, CHAUVEL, GERARD, D'INVERNO, DOMINIQUE, LASSERRE, SERGE, TI-FR
Publication of US20020062434A1 publication Critical patent/US20020062434A1/en
Application granted granted Critical
Publication of US6742103B2 publication Critical patent/US6742103B2/en
Adjusted expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/10Address translation
    • G06F12/1027Address translation using associative or pseudo-associative address translation means, e.g. translation look-aside buffer [TLB]
    • G06F12/1036Address translation using associative or pseudo-associative address translation means, e.g. translation look-aside buffer [TLB] for multiple virtual address spaces, e.g. segmentation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/16Constructional details or arrangements
    • G06F1/20Cooling means
    • G06F1/206Cooling means comprising thermal management
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/329Power saving characterised by the action undertaken by task scheduling
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/30003Arrangements for executing specific machine instructions
    • G06F9/3004Arrangements for executing specific machine instructions to perform operations on memory
    • G06F9/30043LOAD or STORE instructions; Clear instruction
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/46Multiprogramming arrangements
    • G06F9/50Allocation of resources, e.g. of the central processing unit [CPU]
    • G06F9/5005Allocation of resources, e.g. of the central processing unit [CPU] to service a request
    • G06F9/5011Allocation of resources, e.g. of the central processing unit [CPU] to service a request the resources being hardware resources other than CPUs, Servers and Terminals
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/08Addressing or allocation; Relocation in hierarchically structured memory systems, e.g. virtual memory systems
    • G06F12/10Address translation
    • G06F12/1081Address translation for peripheral access to main memory, e.g. direct memory access [DMA]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2212/00Indexing scheme relating to accessing, addressing or allocation within memory systems or architectures
    • G06F2212/68Details of translation look-aside buffer [TLB]
    • G06F2212/681Multi-level TLB, e.g. microTLB and main TLB
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Definitions

  • This invention relates in general to multi-processor architectures and, more particularly, to a shared translation lookaside buffer in a multi-processor architecture.
  • DSPs digital signal processors
  • MPUs microprocessor units
  • DMA direct memory access units
  • TLB translation lookaside buffer
  • MMU memory management unit
  • TLB translation lookaside buffer
  • a multiprocessor device 10 uses a shared TLB 12 accessible by multiple processing devices 14 (individually referenced as processing devices 14 a-c ). Each processing device 14 has a unique requester identifier that is concatenated to a virtual address to form a modified virtual address. The concatenation is performed in order to present unique virtual addresses to the shared TLB 12 , since the virtual address range used by the various processors that access the shared TLB 12 may otherwise overlap, thereby presenting a possibility that the wrong physical address may be retrieved from the TLB 12 .
  • the virtual address is translated to a physical address through translation tables 16 (individually referenced as translation tables 16 a-c in FIG. 1) in the physical memory 18 .
  • translation tables 16 individually referenced as translation tables 16 a-c in FIG. 1
  • the requester identifier in the concatenated address provides a starting base address in the external memory's translation table section.
  • each potential requester has its own translation table under this approach, which is an inefficient use of memory and provides no flexibility in translating a virtual address.
  • an integral multiprocessing device comprises a plurality of processing devices, where two or more of the processing devices operate respective operating systems.
  • a shared translation lookaside buffer is coupled to the processing devices for storing information relating virtual addresses with physical addresses in a main memory.
  • the shared translation lookaside buffer generates a fault signal if a received virtual address is not related to an entry in the shared translation lookaside buffer. Responsive to a fault signal, translation logic determines physical address information based on the received virtual address, and criteria relating to the virtual address
  • the present invention provides significant advantages over the prior art.
  • First, the invention provides for flexible determination of a physical address.
  • Second, the invention does not require separate translation tables for each processing device to be stored in the external memory.
  • FIG. 1 is a general block diagram of a prior art multi-processor device
  • FIG. 2 illustrates a multiprocessor device, using multiple operating systems, with enhanced shared TLB capabilities
  • FIG. 3 illustrates a shared TLB subsystem for a multiple operating system device
  • FIG. 4 illustrates a hardware translation control circuit
  • FIG. 5 illustrates a software translation control system
  • FIG. 6 illustrates a multiprocessor device using multiple operating systems, with a shared TLB external to the L 2 traffic controller
  • FIG. 7 illustrates a multiprocessor device, using a single operating systems, with enhanced shared TLB capabilities
  • FIG. 8 illustrates a shared TLB subsystem for a single operating system device
  • FIG. 9 illustrates a block diagram of an embodiment of a shared TLB subsystem with selectable servicing of TLB miss conditions.
  • FIGS. 1-8 of the drawings like numerals being used for like elements of the various drawings.
  • FIG. 2 illustrates a block diagram of a first embodiment of a general multiprocessor system 20 .
  • the multiprocessor system 20 includes a plurality of processors 23 coupled to a level two (L 2 ) traffic control circuit 22 .
  • the processors 23 coupled to the L 2 traffic control circuit 22 include a DMA processor 24 , a main microprocessor 26 , which includes a coprocessor 28 and peripherals 30 and operates under a first operating system (OS 1 ), a processor 32 (such as a DSP) and a coprocessor 34 , coupled to processor 32 .
  • OS 1 first operating system
  • processor 32 such as a DSP
  • coprocessor 34 coupled to processor 32 .
  • Processor 32 and coprocessor 34 operate under control of their own operating system, OSk.
  • OSk operating system
  • There may be any number of processors coupled to the L 2 traffic control circuit 22 and each processor 23 may have its own operating system or it may be controlled by an operating system shared by a number of processors 23 .
  • Each processor 23 is shown having a micro-TLB (“ ⁇ TLB”) 36 , which stores a small number of entries, typically in the order of two to eight entries, used for translations from logical to physical addresses.
  • the ⁇ TLBs 36 may be used in some or all of the processors coupled to the L 2 traffic control circuit 22 . While a single ⁇ TLB 36 is shown in each processor 23 , multiple ⁇ TLBs 36 may be used in one or more of the processors 23 . This would allow, for example, a processor to have separate ⁇ TLBs 36 for data and instructions.
  • processor 26 and processor 32 are shown with core logic 38 , local memory 40 , and local caches 42 .
  • core logic 38 the design of its processor will vary upon its purpose, and some processors may have significantly different designs than those shown in FIG. 2 .
  • One or more of the processors shown in FIG. 2 may be digital signal processors, and may include specialized circuitry for specific tasks.
  • the L 2 traffic control circuit is also coupled to shared peripherals 44 , an L 2 cache subsystem 46 , a shared TLB subsystem 48 , and to level three (L 3 ) traffic control circuit 50 .
  • L 3 traffic control circuit 50 provides an interface to other system components, such as an external DMA processor 52 , external memory 54 , and display control 56 .
  • Display control 56 is coupled to frame memory 58 and an external display 60 .
  • each processor operates using “virtual” addresses, which must be translated when accessing the main memory, or any other memory that uses physical addresses, such as a L 1 or L 2 cache.
  • a processor 23 having a ⁇ TLB 36 needs to access the external memory 54 or the L 2 cache 46 , it first checks its own ⁇ TLB 36 in to see if the translation is currently stored in the ⁇ TLB 36 . If so, the processor 23 retrieves the physical address information from the ⁇ TLB 36 and uses the physical address information to access the memory.
  • the memory access request is forwarded to the L 2 shared TLB subsystem 48 .
  • the L 2 shared TLB subsystem 48 maintains entries for multiple processors 23 , as shown in greater detail in FIG. 3, discussed below.
  • the L 2 traffic control 22 determines priority from multiple requests to the shared TLB subsystem 48 from the various processors 23 .
  • the physical address information is retrieved from the L 2 shared TLB subsystem 48 and is used to access the external memory 54 . If there is a miss in the L 2 shared TLB subsystem 48 , the translation tables in the external memory are searched, as described in greater detail hereinbelow.
  • FIG. 3 illustrates the L 2 shared TLB subsystem 48 .
  • the L 2 shared TLB subsystem 48 includes a TLB control circuit 70 , and a TLB memory 72 .
  • the TLB memory 72 stores virtual address records 74 and corresponding descriptor records 76 .
  • the virtual address records include a resource identifier (R_ID) field 78 , a task identifier (Task_ID) field 80 , a lock bit (L) field 82 , a virtual address field 84 , a section/page (S/P) field 86 , and a valid bit (V) field 88 .
  • the descriptor records include a physical address field 90 and an attributes field 92 .
  • Each entry in the TLB memory 72 has a resource identifier 78 along with task identifier 80 .
  • Resource identifiers 78 and task identifiers 80 are not extension fields of the virtual address (VA) but simply address qualifiers.
  • a task identifier can be provided by a task-ID register associated with each processor 23 .
  • resource identifiers can be provided by a resource-ID register associated with each processor 23 .
  • the task identifier identifies all entries in a TLB belonging to a specific task. They can be used, for instance, to invalidate all entries associated with a specific task without affecting entries associated with other tasks.
  • the resource ID is used because the task identifier number on the different processors might not be related; therefore, task related operations must be, in some cases, restricted to a resource-ID.
  • the resource identifier and task identifier registers are not necessarily part of the core of each resource and can be located elsewhere in the system, such as a memory mapped register for example, and associated to a resource bus.
  • a task identifier register must be under the associated OS control and updated during a context switch.
  • the resource identifier registers must be set during the system initialization.
  • each TLB entry also includes a lock bit 82 to keep an entry from being replaced.
  • Examples of address attributes 92 are described in Table 1.
  • inner corresponds L1 and L2 cache and the outer correspond to L3 due to existing processor systems.
  • outer corresponds to L3 due to existing processor systems.
  • inner is L1 and outer is L2 cache.
  • Bufferability Describes activation or behavior of write buffer for write accesses in this page. Endianism determines on a page basis the endianness of the transfer.
  • An S/P field 86 specifies a section or page size.
  • a section may be 1 Mbyte in size, and an encoding allows page sizes of 64 kb, 4 kb and 1 kb to be specified.
  • the page size determines how many most significant (ms) address bits are included in a check for an entry.
  • V field 88 indicates if an associated TLB cache entry is valid.
  • V field 88 includes several V-bits that are respectively associated with resource identifier field 78 to indicate if a valid resource identifier entry is present, task identifier field 80 to indicate if a valid task-ID entry is present, and virtual address field 84 to indicate if a valid address entry is present.
  • the TLB control logic 70 may allocate the number of entries in the TLB memory 72 as desired. For example, it may be desirable to allocate a number of entries for each processor 23 . However, it is desirable that the TLB memory 72 is not segmented for each processor 23 , which would limit its flexibility.
  • the TLB control logic for example, could dynamically allocate the TLB memory during operation of the system 20 for optimum results. Alternatively, the TLB memory 72 could be allocated by task, or other criteria.
  • Each processor 23 accesses the TLB memory 72 by its resource identifier, a task identifier, and a virtual address. If these three fields match a record in the TLB memory 72 , and the entry is valid, then there is a hit. In this case the physical address of the page associated with the request is specified by the physical address field 92 of the matching record.
  • the corresponding attributes field may specify attributes of the page—for example, a page may be defined as read-only. In some applications, it may be desirable to match only the resource identifier and the virtual address or the task identifier and the virtual address.
  • the TLB control 70 provides a signal that there is no match in the TLB memory 72 .
  • the present invention can use either hardware or software to determine the physical address, without limiting the address translation to dedicated translation tables in the external memory 54 , as is the case in the prior art.
  • the TLB memory 74 is presented with information that includes a resource identifier field, a task identifier field and a virtual address.
  • a miss occurs, any of these fields can be used by the system 20 to generate a translated address, either using hardware or software.
  • FIG. 4 illustrates an example of using hardware translation logic 100 in conjunction with the TLB control circuit 70 to determine a physical address.
  • the virtual address, resource identifier and task identifier are presented to a logical circuit. Responsive to a miss in the L 2 TLB memory 72 , a control signal is received by the logic 100 .
  • additional translation control information is presented to the translation logic 100 as well. The additional translation control information could be used to identify a desired technique for accessing the external memory 54 to perform the translation.
  • a certain task may have an associated translation table in the external memory 54 .
  • the translation logic 100 could access the translation table associated with the task to determine the physical address.
  • the translation table associated with the task would be used independently of the processor requesting the translation.
  • some (or all) of the various processors 23 could have associated translation tables in the external memory 54 .
  • the translation logic 100 could access the translation table associated with the processor to determine the physical address.
  • the logic 100 could perform other functions, such as checking for protection, and so on.
  • FIG. 5 illustrates an alternative embodiment where software control is used to perform the translation in the case where there is a miss in the shared TLB subsystem 48 .
  • one of the processors 23 (the “main” processor), such as processor 26 , handles interrupts related to misses in the shared TLB subsystem 48 .
  • the main processor performs a translation routine.
  • the techniques used by the software translation can be the same as those that would used by the hardware translation, but they are more flexible since they can be modified easily by software, even dynamically during operation of the system 20 .
  • the resource ID and task ID fields 78 and 80 provide significant functionality to the shared TLB structure.
  • the task ID field could be used to flush all TLB entries associated with a certain task, including tasks executed by multiple processors 23 , when the task was finished, based on the task ID field 80 .
  • all TLB entries with a given processor could be flushed based on the resource ID field 78 .
  • Other functions, such as locking or invalidating all entries based on task ID, resource ID or a combination of both fields could be performed as well.
  • the combination of the shared TLB and the task and resource ID fields provides significant improvements to the performance of address translation in the device, since the allocation of the shared TLB resources can be optimized dynamically based on processors and task needs.
  • the embodiment described above provides significant advantages over the prior art. Specifically, it is not necessary to have separate translation tables in external memory 54 for each processor to support translation in the event of a miss in the shared TLB subsystem 48 .
  • the translation need not be performed using simple table walking, but can be based on any number of criteria. Further, the translation can be handled with either software or hardware, as desired.
  • FIG. 6 illustrates a second embodiment of a multiprocessor system 102 .
  • This embodiment is similar to FIG. 1 in that multiprocessors 23 are operating under control of multiple operating systems (OS 1 -OSk).
  • OS 1 -OSk multiple operating systems
  • the multiple processors 23 are not coupled to the shared TLB 48 via the L 2 traffic controller 22 , but through a separate bus structure 104 and an arbitrator 106 .
  • Arbitrator 106 arbitrates between multiple accesses to the shared TLB 48 .
  • This embodiment may be more appropriate in applications where the L 2 data/instruction bandwidth is heavily utilized or if there are a large number of ⁇ TLBs 36 accessing the shared TLB 48 , which may induce latency spikes in the mainstream traffic.
  • FIG. 7 illustrates a third embodiment of a multiprocessor system where the multiprocessor system 110 includes multiple processors 23 controlled by a single operating system (OS).
  • OS operating system
  • the overall architecture is similar to that of FIG. 6, using a separate bus structure to access the shared TLB 48 .
  • the processors can include microprocessors, DSPs, coprocessors and DMA processors.
  • a main processor, shown herein as processor 26 executes the operating system.
  • each processor 23 is shown having a micro-TLB (“ ⁇ TLB”) 36 , which stores a small number, typically in the order of 2-3, translations from logical to physical addresses.
  • ⁇ TLB 36 may be used in some or all of the processors coupled to the L 2 traffic control circuit 22 .
  • each processor 23 operates using virtual addresses, which must be translated to physical addresses in order to access the external memory 54 .
  • a processor 23 having a ⁇ TLB 36 needs to access the external memory 54 or the L 2 cache 46 , it first checks its own ⁇ TLB 36 in to see if the translation is currently stored in the ⁇ TLB 36 . If so, the processor 23 retrieves the physical address information from the ⁇ TLB 36 and uses the physical address information to access the external memory.
  • each processor 23 may access its ⁇ TLB 36 and the shared TLB subsystem 48 without intervention of the main CPU 26 .
  • the memory access request is forwarded to the L 2 shared TLB subsystem 48 .
  • the L 2 shared TLB subsystem 48 maintains entries for multiple processors 23 , as shown in greater detail in FIG. 8, discussed below.
  • the L 2 traffic control 22 determines priority from multiple requests to the shared TLB subsystem 48 from the various processors 23 .
  • the physical address information is retrieved from the L 2 shared TLB subsystem 48 and is used to access the external memory 54 . If there is a miss in the L 2 shared TLB subsystem 48 , the translation tables in the external memory are searched, as described in greater detail hereinbelow.
  • FIG. 8 illustrates the L 2 shared TLB subsystem 48 for a device using a single operating system.
  • the L 2 shared TLB subsystem 48 includes a TLB control circuit 70 , and a TLB memory 72 .
  • either a hardware or software mechanism such as those shown in FIGS. 4 and 5 can be used to service misses in the shared TLB subsystem 48 .
  • the resource identifier is generally not needed for resolving the physical address.
  • FIGS. 2, 6 and 7 provide the DMA processors and coprocessors with a ⁇ TLB 36 and access to the shared TLB subsystem 48 . This can provide a significant improvement in efficiency.
  • DMA processors and coprocessors act as slave processors to an associated master processor; i.e., DMA processors and coprocessors receive instructions from another processor, such as an MPU or a DSP, and process information at the command of the master processor.
  • slave processors are programmed to access the shared TLB, if their function requires translation of virtual to physical addresses. When a memory access is needed, the slave processor can access the shared TLB (assuming a miss in its own ⁇ TLB 36 , if present) without intervention of the associated master processor.
  • This aspect of the invention is particularly important when real-time functions, such as audio/video processing, are occurring.
  • the master processor may not be able to perform logical-to-physical address translation for a slave processor without compromising the quality of the real-time operation. Accordingly, by providing and infrastructure where the slave processors can access the shared TLB directly, the translation occurs faster and without using the computing resources of the master processor.
  • the present invention provides significant advantages over the prior art.
  • the use of small TLBs at the processor level improves speed without significant power increases.
  • the shared TLB subsystem can significantly reduce the area attributed to the second level TLB system by using a single, larger TLB, as opposed to multiple smaller TLBs corresponding to each processor. Allocation of the entries in the shared TLB can be balanced depending upon each processor's requirements—generally, a DSP or a DMA process will normally require a smaller allocation than a microprocessor executing a larger OS.
  • the efficiency is also improved by allowing independent access to the shared TLB by slave processors, such as DMA processors and coprocessors.
  • FIG. 9 illustrates a block diagram of an embodiment of the invention wherein the shared TLB subsystem 100 selects the processor that services a TLB miss condition.
  • FIG. 9 illustrates an embodiment for a multiple OS device; however, the same basic architecture could be used for a single OS system, as described in greater detail below.
  • the architecture of the shared TLB subsystem is similar to that shown above, with the addition of a TLB control circuit 102 including router 104 which activates an interrupt of exception on one of a plurality of processors responsive to a TLB miss signal (Miss_Sh_TLB) in accordance with a procedure indicated by the output of multiplexer 106 .
  • Multiplexer 106 selects between the resource_ID value present to the TLB memory 72 and a master_ID register 108 under control of a Master Select signal.
  • the selected processor executes a using a software handling scheme to determine the physical address, as described above.
  • the processor that services the TLB miss can be selected in a number of ways.
  • a first approach would be to use the resource_ID presented to the shared TLB memory 72 , i.e., the resource_ID of the processor that initiated the shared TLB access.
  • Processors that are under a given OS control for example, a coprocessor which is a slave processor to another master processor
  • the slave processor initiated a shared TLB access that resulted in a TLB miss, its master processor would receive an interrupt or exception.
  • the R_ID field 78 is normally not used and all processors resource_ID would be set to a master processor. This approach could be used to redirect the TLB miss handling routine to another processor in a fault-tolerant system.
  • the second approach would direct the interrupt of exception to a processor indicated by a preprogrammed value stored in the master_ID register 108 .
  • This approach could be used with cooperative operating systems or with a single OS and would permit the shared TLB to support operations based on resource_ID, such as flushing entries the shared TLB with a given resource_ID in power down mode, while routing service requests for TLB misses to one given processor.

Abstract

A multiprocessor system (20, 102, 110) uses multiple operating systems or a single operating system uses μTLBs (36) and a shared TLB subsystem (48) to provide efficient and flexible translation of virtual addresses to physical addresses. Upon misses in the μTLB and shared TLB, access to a translation table in external memory (54) can be made using either a hardware mechanism (100) or a software function. The translation can be flexibly based on a number of criteria, such as a resource identifier and a task identifier. Slave processors, such as coprocessors (34) and DMA processors (24) can access the shared TLB 48 without master processor interaction for more efficient operation.

Description

This application claims priority to European Application Serial No. 00402331.3, filed Aug. 21, 2000 and to European Application Serial No. 01401386.6, filed May 28, 2001. U.S. patent application Ser. No. 09/932,651 is incorporated herein by reference.
STATEMENT OF FEDERALLY SPONSORED RESEARCH OR DEVELOPMENT
Not Applicable
BACKGROUND OF THE INVENTION
1. Technical Field
This invention relates in general to multi-processor architectures and, more particularly, to a shared translation lookaside buffer in a multi-processor architecture.
2. Description of the Related Art
Many new electronic devices make use of a multi-processor environment that includes DSPs (digital signal processors), MPUs (microprocessor units), DMA (direct memory access units) processors, and shared memories.
The types of tasks performed by a device often have specific real time constraints due to the signals that they are processing. For example, DSPs are commonly used in devices where video and audio processing and voice recognition are supported. These functions can be significantly degraded if part of the multi-processor system must suspend processing while waiting for an event to occur. Performing memory address translations from virtual address used by a task to physical addresses necessary to access the physical memory can be time consuming and degrade performance for a real-time task. To reduce the latencies caused by address translation, a TLB (translation lookaside buffer) is commonly provided as part of a MMU (memory management unit). The translation lookaside buffer caches recently accessed memory locations. At the beginning of a memory access, the TLB is accessed. When a TLB (translation lookaside buffer) cache does not contain the information corresponding to the current access (i.e., a TLB-“miss” or “page fault”), the information must be retrieved from tables (“table walking”), located in main memory. This operation takes tens to hundreds of microprocessor cycles. While the MMU is walking the tables, the operation of the core is blocked, resulting in degraded or errant performance of the processor.
In a multiprocessor system, several separate processing devices may be performing virtual address translation in order to access the physical memory. In one solution shown in FIG. 1, of the type used by the ARM MEMC2, a multiprocessor device 10 uses a shared TLB 12 accessible by multiple processing devices 14 (individually referenced as processing devices 14 a-c). Each processing device 14 has a unique requester identifier that is concatenated to a virtual address to form a modified virtual address. The concatenation is performed in order to present unique virtual addresses to the shared TLB 12, since the virtual address range used by the various processors that access the shared TLB 12 may otherwise overlap, thereby presenting a possibility that the wrong physical address may be retrieved from the TLB 12.
If there is a miss in the shared TLB 12, the virtual address is translated to a physical address through translation tables 16 (individually referenced as translation tables 16 a-c in FIG. 1) in the physical memory 18. The requester identifier in the concatenated address provides a starting base address in the external memory's translation table section. Thus, each potential requester has its own translation table under this approach, which is an inefficient use of memory and provides no flexibility in translating a virtual address.
Accordingly, there is a need for a flexible method and circuit for translating virtual addresses to physical addresses in a multiprocessor device.
BRIEF SUMMARY OF THE INVENTION
In the present invention, an integral multiprocessing device comprises a plurality of processing devices, where two or more of the processing devices operate respective operating systems. A shared translation lookaside buffer is coupled to the processing devices for storing information relating virtual addresses with physical addresses in a main memory. The shared translation lookaside buffer generates a fault signal if a received virtual address is not related to an entry in the shared translation lookaside buffer. Responsive to a fault signal, translation logic determines physical address information based on the received virtual address, and criteria relating to the virtual address
The present invention provides significant advantages over the prior art. First, the invention provides for flexible determination of a physical address. Second, the invention does not require separate translation tables for each processing device to be stored in the external memory.
BRIEF DESCRIPTION OF THE SEVERAL VIEWS OF THE DRAWINGS
For a more complete understanding of the present invention, and the advantages thereof, reference is now made to the following descriptions taken in conjunction with the accompanying drawings, in which:
FIG. 1 is a general block diagram of a prior art multi-processor device;
FIG. 2 illustrates a multiprocessor device, using multiple operating systems, with enhanced shared TLB capabilities;
FIG. 3 illustrates a shared TLB subsystem for a multiple operating system device;
FIG. 4 illustrates a hardware translation control circuit;
FIG. 5 illustrates a software translation control system;
FIG. 6 illustrates a multiprocessor device using multiple operating systems, with a shared TLB external to the L2 traffic controller;
FIG. 7 illustrates a multiprocessor device, using a single operating systems, with enhanced shared TLB capabilities;
FIG. 8 illustrates a shared TLB subsystem for a single operating system device; and
FIG. 9 illustrates a block diagram of an embodiment of a shared TLB subsystem with selectable servicing of TLB miss conditions.
DETAILED DESCRIPTION OF THE INVENTION
The present invention is best understood in relation to FIGS. 1-8 of the drawings, like numerals being used for like elements of the various drawings.
FIG. 2 illustrates a block diagram of a first embodiment of a general multiprocessor system 20. The multiprocessor system 20 includes a plurality of processors 23 coupled to a level two (L2) traffic control circuit 22. The processors 23 coupled to the L2 traffic control circuit 22 include a DMA processor 24, a main microprocessor 26, which includes a coprocessor 28 and peripherals 30 and operates under a first operating system (OS1), a processor 32 (such as a DSP) and a coprocessor 34, coupled to processor 32. Processor 32 and coprocessor 34 operate under control of their own operating system, OSk. There may be any number of processors coupled to the L2 traffic control circuit 22, and each processor 23 may have its own operating system or it may be controlled by an operating system shared by a number of processors 23.
Each processor 23 is shown having a micro-TLB (“μTLB”) 36, which stores a small number of entries, typically in the order of two to eight entries, used for translations from logical to physical addresses. The μTLBs 36 may be used in some or all of the processors coupled to the L2 traffic control circuit 22. While a single μTLB 36 is shown in each processor 23, multiple μTLBs 36 may be used in one or more of the processors 23. This would allow, for example, a processor to have separate μTLBs 36 for data and instructions.
Additionally, processor 26 and processor 32 are shown with core logic 38, local memory 40, and local caches 42. Naturally, the design of its processor will vary upon its purpose, and some processors may have significantly different designs than those shown in FIG. 2. One or more of the processors shown in FIG. 2 may be digital signal processors, and may include specialized circuitry for specific tasks.
The L2 traffic control circuit is also coupled to shared peripherals 44, an L2 cache subsystem 46, a shared TLB subsystem 48, and to level three (L3) traffic control circuit 50. L3 traffic control circuit 50 provides an interface to other system components, such as an external DMA processor 52, external memory 54, and display control 56. Display control 56 is coupled to frame memory 58 and an external display 60.
In operation, each processor operates using “virtual” addresses, which must be translated when accessing the main memory, or any other memory that uses physical addresses, such as a L1 or L2 cache. When a processor 23 having a μTLB 36 needs to access the external memory 54 or the L2 cache 46, it first checks its own μTLB 36 in to see if the translation is currently stored in the μTLB 36. If so, the processor 23 retrieves the physical address information from the μTLB 36 and uses the physical address information to access the memory.
If there is a miss in the μTLB 36, the memory access request is forwarded to the L2 shared TLB subsystem 48. The L2 shared TLB subsystem 48 maintains entries for multiple processors 23, as shown in greater detail in FIG. 3, discussed below. The L2 traffic control 22 determines priority from multiple requests to the shared TLB subsystem 48 from the various processors 23.
If there is a hit in the L2 shared TLB subsystem 48, the physical address information is retrieved from the L2 shared TLB subsystem 48 and is used to access the external memory 54. If there is a miss in the L2 shared TLB subsystem 48, the translation tables in the external memory are searched, as described in greater detail hereinbelow.
FIG. 3 illustrates the L2 shared TLB subsystem 48. The L2 shared TLB subsystem 48 includes a TLB control circuit 70, and a TLB memory 72. The TLB memory 72 stores virtual address records 74 and corresponding descriptor records 76. In the preferred embodiment, the virtual address records include a resource identifier (R_ID) field 78, a task identifier (Task_ID) field 80, a lock bit (L) field 82, a virtual address field 84, a section/page (S/P) field 86, and a valid bit (V) field 88. The descriptor records include a physical address field 90 and an attributes field 92.
Each entry in the TLB memory 72 has a resource identifier 78 along with task identifier 80. Resource identifiers 78 and task identifiers 80 are not extension fields of the virtual address (VA) but simply address qualifiers. A task identifier can be provided by a task-ID register associated with each processor 23. Similarly, resource identifiers can be provided by a resource-ID register associated with each processor 23. The task identifier identifies all entries in a TLB belonging to a specific task. They can be used, for instance, to invalidate all entries associated with a specific task without affecting entries associated with other tasks. The resource ID is used because the task identifier number on the different processors might not be related; therefore, task related operations must be, in some cases, restricted to a resource-ID.
In another embodiment, the resource identifier and task identifier registers are not necessarily part of the core of each resource and can be located elsewhere in the system, such as a memory mapped register for example, and associated to a resource bus. The only constraint is that a task identifier register must be under the associated OS control and updated during a context switch. The resource identifier registers must be set during the system initialization.
Referring still to FIG. 3, each TLB entry also includes a lock bit 82 to keep an entry from being replaced. Examples of address attributes 92 are described in Table 1.
TABLE 1
Memory Management Descriptors
Execute Never provides access permission to protect data memory area
from being executed. This information can be combined
with the access permission described above or kept
separate.
Shared indicates that this page may be shared by multiple tasks
across multiple processors.
Cacheability Various memory entities such as individual processor's
cache and write buffer, and shared cache and write buffer
are managed through the MMU descriptor. The options
included in the present embodiment are as follows:
Inner cacheable, Outer cacheable, Inner Write
through/write back, Outer write through/write back, and
Outer write allocate. The terms Inner and outer refer to
levels of caches that are be built in the system. The
boundary between inner and outer is defined in specific
embodiment, but inner will always include L1 cache. In a
system with 3 levels of caches, the inner correspond to
L1 and L2 cache and the outer correspond to L3 due to
existing processor systems. In the present embodiment,
inner is L1 and outer is L2 cache.
Bufferability Describes activation or behavior of write buffer for write
accesses in this page.
Endianism determines on a page basis the endianness of the transfer.
An S/P field 86 specifies a section or page size. For example, a section may be 1 Mbyte in size, and an encoding allows page sizes of 64 kb, 4 kb and 1 kb to be specified. Naturally, the page size determines how many most significant (ms) address bits are included in a check for an entry.
A V field 88 indicates if an associated TLB cache entry is valid. V field 88 includes several V-bits that are respectively associated with resource identifier field 78 to indicate if a valid resource identifier entry is present, task identifier field 80 to indicate if a valid task-ID entry is present, and virtual address field 84 to indicate if a valid address entry is present.
The TLB control logic 70 may allocate the number of entries in the TLB memory 72 as desired. For example, it may be desirable to allocate a number of entries for each processor 23. However, it is desirable that the TLB memory 72 is not segmented for each processor 23, which would limit its flexibility. The TLB control logic, for example, could dynamically allocate the TLB memory during operation of the system 20 for optimum results. Alternatively, the TLB memory 72 could be allocated by task, or other criteria.
Each processor 23 accesses the TLB memory 72 by its resource identifier, a task identifier, and a virtual address. If these three fields match a record in the TLB memory 72, and the entry is valid, then there is a hit. In this case the physical address of the page associated with the request is specified by the physical address field 92 of the matching record. The corresponding attributes field may specify attributes of the page—for example, a page may be defined as read-only. In some applications, it may be desirable to match only the resource identifier and the virtual address or the task identifier and the virtual address.
In the event of a miss, the TLB control 70 provides a signal that there is no match in the TLB memory 72. In this case, the present invention can use either hardware or software to determine the physical address, without limiting the address translation to dedicated translation tables in the external memory 54, as is the case in the prior art.
In the system of FIGS. 2 and 3, the TLB memory 74 is presented with information that includes a resource identifier field, a task identifier field and a virtual address. When a miss occurs, any of these fields can be used by the system 20 to generate a translated address, either using hardware or software.
FIG. 4 illustrates an example of using hardware translation logic 100 in conjunction with the TLB control circuit 70 to determine a physical address. The virtual address, resource identifier and task identifier are presented to a logical circuit. Responsive to a miss in the L2 TLB memory 72, a control signal is received by the logic 100. Optionally, additional translation control information is presented to the translation logic 100 as well. The additional translation control information could be used to identify a desired technique for accessing the external memory 54 to perform the translation.
For example, a certain task may have an associated translation table in the external memory 54. Upon identifying a translation request as being related to that task, the translation logic 100 could access the translation table associated with the task to determine the physical address. The translation table associated with the task would be used independently of the processor requesting the translation.
Similarly, some (or all) of the various processors 23 could have associated translation tables in the external memory 54. Upon identifying a translation request as being related to a certain processor, the translation logic 100 could access the translation table associated with the processor to determine the physical address.
In addition to determining the physical address, the logic 100 could perform other functions, such as checking for protection, and so on.
FIG. 5 illustrates an alternative embodiment where software control is used to perform the translation in the case where there is a miss in the shared TLB subsystem 48. In this case, one of the processors 23 (the “main” processor), such as processor 26, handles interrupts related to misses in the shared TLB subsystem 48. When an interrupt is received from the TLB control circuit 70, the main processor performs a translation routine. The techniques used by the software translation can be the same as those that would used by the hardware translation, but they are more flexible since they can be modified easily by software, even dynamically during operation of the system 20.
The resource ID and task ID fields 78 and 80 provide significant functionality to the shared TLB structure. For example, the task ID field could be used to flush all TLB entries associated with a certain task, including tasks executed by multiple processors 23, when the task was finished, based on the task ID field 80. Similarly, all TLB entries with a given processor could be flushed based on the resource ID field 78. Other functions, such as locking or invalidating all entries based on task ID, resource ID or a combination of both fields could be performed as well. The combination of the shared TLB and the task and resource ID fields provides significant improvements to the performance of address translation in the device, since the allocation of the shared TLB resources can be optimized dynamically based on processors and task needs.
The embodiment described above provides significant advantages over the prior art. Specifically, it is not necessary to have separate translation tables in external memory 54 for each processor to support translation in the event of a miss in the shared TLB subsystem 48. The translation need not be performed using simple table walking, but can be based on any number of criteria. Further, the translation can be handled with either software or hardware, as desired.
FIG. 6 illustrates a second embodiment of a multiprocessor system 102. This embodiment is similar to FIG. 1 in that multiprocessors 23 are operating under control of multiple operating systems (OS1-OSk). In this embodiment, however, the multiple processors 23 are not coupled to the shared TLB 48 via the L2 traffic controller 22, but through a separate bus structure 104 and an arbitrator 106. Arbitrator 106 arbitrates between multiple accesses to the shared TLB 48.
This embodiment may be more appropriate in applications where the L2 data/instruction bandwidth is heavily utilized or if there are a large number of μTLBs 36 accessing the shared TLB 48, which may induce latency spikes in the mainstream traffic.
FIG. 7 illustrates a third embodiment of a multiprocessor system where the multiprocessor system 110 includes multiple processors 23 controlled by a single operating system (OS). The overall architecture is similar to that of FIG. 6, using a separate bus structure to access the shared TLB 48. As before, the processors can include microprocessors, DSPs, coprocessors and DMA processors. A main processor, shown herein as processor 26, executes the operating system.
Also, as described above, each processor 23 is shown having a micro-TLB (“μTLB”) 36, which stores a small number, typically in the order of 2-3, translations from logical to physical addresses. The μTLB 36 may be used in some or all of the processors coupled to the L2 traffic control circuit 22.
In operation, similar to the system 20 shown in connection with FIG. 2, each processor 23 operates using virtual addresses, which must be translated to physical addresses in order to access the external memory 54. When a processor 23 having a μTLB 36 needs to access the external memory 54 or the L2 cache 46, it first checks its own μTLB 36 in to see if the translation is currently stored in the μTLB 36. If so, the processor 23 retrieves the physical address information from the μTLB 36 and uses the physical address information to access the external memory. In the preferred embodiment, each processor 23 may access its μTLB 36 and the shared TLB subsystem 48 without intervention of the main CPU 26.
If there is a miss in the μTLB 36, the memory access request is forwarded to the L2 shared TLB subsystem 48. The L2 shared TLB subsystem 48 maintains entries for multiple processors 23, as shown in greater detail in FIG. 8, discussed below. The L2 traffic control 22 determines priority from multiple requests to the shared TLB subsystem 48 from the various processors 23.
If there is a hit in the L2 shared TLB subsystem 48, the physical address information is retrieved from the L2 shared TLB subsystem 48 and is used to access the external memory 54. If there is a miss in the L2 shared TLB subsystem 48, the translation tables in the external memory are searched, as described in greater detail hereinbelow.
FIG. 8 illustrates the L2 shared TLB subsystem 48 for a device using a single operating system. The main difference between FIG. 3, representing a multiple OS system, and FIG. 8, representing a single OS system, is that a resource identifier is normally not needed in a single OS environment, since the OS is in control of virtual address allocation, and therefore overlapping virtual addresses by different processors 23 for different tasks can be prevented by the OS. The L2 shared TLB subsystem 48 includes a TLB control circuit 70, and a TLB memory 72.
As with the multiple OS system, either a hardware or software mechanism, such as those shown in FIGS. 4 and 5 can be used to service misses in the shared TLB subsystem 48. In the single OS case, however, the resource identifier is generally not needed for resolving the physical address.
It should be noted that the embodiments shown in FIGS. 2, 6 and 7 provide the DMA processors and coprocessors with a μTLB 36 and access to the shared TLB subsystem 48. This can provide a significant improvement in efficiency.
DMA processors and coprocessors act as slave processors to an associated master processor; i.e., DMA processors and coprocessors receive instructions from another processor, such as an MPU or a DSP, and process information at the command of the master processor. In the preferred embodiment, slave processors are programmed to access the shared TLB, if their function requires translation of virtual to physical addresses. When a memory access is needed, the slave processor can access the shared TLB (assuming a miss in its own μTLB 36, if present) without intervention of the associated master processor.
This aspect of the invention is particularly important when real-time functions, such as audio/video processing, are occurring. In this case, the master processor may not be able to perform logical-to-physical address translation for a slave processor without compromising the quality of the real-time operation. Accordingly, by providing and infrastructure where the slave processors can access the shared TLB directly, the translation occurs faster and without using the computing resources of the master processor.
The present invention provides significant advantages over the prior art. The use of small TLBs at the processor level improves speed without significant power increases. The shared TLB subsystem can significantly reduce the area attributed to the second level TLB system by using a single, larger TLB, as opposed to multiple smaller TLBs corresponding to each processor. Allocation of the entries in the shared TLB can be balanced depending upon each processor's requirements—generally, a DSP or a DMA process will normally require a smaller allocation than a microprocessor executing a larger OS. The efficiency is also improved by allowing independent access to the shared TLB by slave processors, such as DMA processors and coprocessors.
FIG. 9 illustrates a block diagram of an embodiment of the invention wherein the shared TLB subsystem 100 selects the processor that services a TLB miss condition. FIG. 9 illustrates an embodiment for a multiple OS device; however, the same basic architecture could be used for a single OS system, as described in greater detail below.
The architecture of the shared TLB subsystem is similar to that shown above, with the addition of a TLB control circuit 102 including router 104 which activates an interrupt of exception on one of a plurality of processors responsive to a TLB miss signal (Miss_Sh_TLB) in accordance with a procedure indicated by the output of multiplexer 106. Multiplexer 106 selects between the resource_ID value present to the TLB memory 72 and a master_ID register 108 under control of a Master Select signal. The selected processor executes a using a software handling scheme to determine the physical address, as described above.
The processor that services the TLB miss can be selected in a number of ways. A first approach would be to use the resource_ID presented to the shared TLB memory 72, i.e., the resource_ID of the processor that initiated the shared TLB access. Processors that are under a given OS control (for example, a coprocessor which is a slave processor to another master processor) would be assigned a common resource_ID value with its master processor. In this case, if the slave processor initiated a shared TLB access that resulted in a TLB miss, its master processor would receive an interrupt or exception. In a single operating system device, the R_ID field 78 is normally not used and all processors resource_ID would be set to a master processor. This approach could be used to redirect the TLB miss handling routine to another processor in a fault-tolerant system.
The second approach would direct the interrupt of exception to a processor indicated by a preprogrammed value stored in the master_ID register 108. This approach could be used with cooperative operating systems or with a single OS and would permit the shared TLB to support operations based on resource_ID, such as flushing entries the shared TLB with a given resource_ID in power down mode, while routing service requests for TLB misses to one given processor.
Although the Detailed Description of the invention has been directed to certain exemplary embodiments, various modifications of these embodiments, as well as alternative embodiments, will be suggested to those skilled in the art. The invention encompasses any modifications or alternative embodiments that fall within the scope of the claims.

Claims (34)

What is claimed is:
1. A multiprocessing device, comprising:
a plurality of processing devices;
a shared translation lookaside buffer coupled to said plurality of processing devices for storing information relating virtual addresses with physical addresses in a main memory, said shared translation lookaside buffer generating a fault signal if a received virtual address is not related to an entry in the shared translation lookaside buffer; and
a translation lookaside buffer control circuit for selectively allocating entries in said shared translation lookaside buffer;
translation logic for determining physical address information responsive to said fault signal, the received virtual address and criteria related to said received virtual address.
2. The multiprocessing device of claim 1 wherein said translation logic is implemented in a circuit apart from said processing devices.
3. The multiprocessing device of claim 1 wherein said translation logic is implemented in a software routine executed by one of said processing devices.
4. The multiprocessing device of claim 1 and further comprising a shared cache memory and a traffic controller, said shared translation lookaside buffer and shared cache memory coupled to said traffic controller, wherein said processing devices access said cache memory and said shared translation lookaside buffer under control of said traffic controller.
5. The multiprocessing device of claim 1 and further comprising:
a shared cache memory;
a traffic controller for selectively allowing access between said processing devices and said shared cache memory and between said processing devices and said shared translation lookaside buffer; and
a shared translation lookaside buffer bus for coupling said processing devices to said shared translation lookaside buffer.
6. The multiprocessing device of claim 1 wherein said criteria includes an identifier of the processing device transmitting the received virtual address.
7. The multiprocessing device of claim 1 wherein said criteria includes an identifier of a task transmitting the received virtual address.
8. The multiprocessing device of claim 1 wherein two or more of said processing devices execute a respective operating system.
9. The multiprocessing device of claim 1 wherein said processing devices are controlled by a single operating system.
10. The multiprocessing device of claim 1 wherein said translation logic comprises circuitry for identifying a one of said processing devices for translating the received virtual address to a physical address.
11. The multiprocessing device of claim 10 where said identifying circuitry includes circuitry for routing an interrupt to one of said processing devices.
12. The multiprocessing device of claim 10 where said identifying circuitry includes circuitry for routing an exception to one of said processing devices.
13. The multiprocessing device of claim 10 wherein said identifying circuitry includes circuitry for selecting between a resource identifier that identifies a requesting processing device and a master identifier that identifies a predetermined processing device.
14. A method of translating virtual addresses to physical addresses in an multiprocessing device, comprising:
allocating entries in a shared translation lookaside buffer responsive to selected criteria;
receiving virtual addresses from a plurality of processing devices in the shared translation lookaside buffer for storing information relating virtual addresses with physical addresses in a main memory;
generating a fault signal if a received virtual address is not related to an entry in the shared translation lookaside buffer; and
determining physical address information associated to said received virtual address responsive to said fault signal, the received virtual address and criteria related to said received virtual address.
15. The method of claim 14 wherein said determining step is comprises the step of determining physical address information in a circuit apart from said processing devices.
16. The method of claim 14 wherein said determining step comprises the step of executing a software routine by one of said processing devices.
17. The method of claim 14 wherein said determining step includes the step of determining physical address information based on criteria including an identifier of the processing device transmitting the received virtual address.
18. The method of claim 14 wherein said determining step includes the step of determining physical address information based on criteria including an identifier of a task transmitting the received virtual address.
19. The method of claim 14 wherein two or more of said processing devices execute a respective operating system.
20. The method of claim 14 wherein said processing devices are controlled by a single operating system.
21. The method of claim 14 and further comprising the step of identifying a one of said processing devices for translating the received virtual address to a physical address.
22. The method of claim 21 where said identifying step includes the step of routing an interrupt to one of said processing devices.
23. The method of claim 21 where said identifying step includes the step of routing an exception to one of said processing devices.
24. The method of claim 21 wherein said identifying step includes the step of selecting between a resource identifier that identifies a requesting processing device and a master identifier that identifies a predetermined processing device.
25. The multiprocessing device of claim 1 and further comprising internal translation lookaside buffers associated with one or more of the processors, wherein a virtual address is passed to the shared translation lookaside buffer for a given processor only if there is a miss in the given processor's internal translation lookaside buffer.
26. The multiprocessing device of claim 1 wherein said control circuit allocates entries for one or mare of the processing devices.
27. The multiprocessing device of claim 1 wherein said control circuit allocates entries for one or more tasks executed by the processing devices.
28. The multiprocessing device of claim 1 wherein the control circuit dynamically allocates the entries in the translation lookaside buffer.
29. The method of claim 14 and further comprising the step of storing translations of virtual addresses to physical address in an internal translation lookaside buffers associated with one or more of the processors, wherein a virtual address is passed to the shared translation lookaside buffer for a given processor only if there is a miss in the given processor's internal translation lookaside buffer.
30. The method of claim 25 wherein said allocating step comprises the step of allocating entries for one or more of the processing devices.
31. The method of claim 25 wherein said allocating step comprises the step of allocating entries for one or more tasks executed by the processing devices.
32. The method of claim 25 wherein allocations of entries may be dynamically adjusted.
33. A multiprocessing device, comprising:
a plurality of processing devices;
a shared translation lookaside buffer coupled to said plurality of processing devices for storing information relating virtual addresses with physical addresses in a main memory, said shared translation lookaside buffer generating a fault signal if a received virtual address is not related to an entry in the shared translation lookaside buffer; and
translation logic for determining physical address information responsive to said fault signal and the received virtual address, wherein said translation logic can determine the physical address using a plurality of different methods, the chosen method for determining the physical address being dependent upon criteria related to said received virtual address.
34. A method of translating virtual addresses to physical addresses in an multiprocessing device, comprising:
receiving virtual addresses from a plurality of processing devices in the shared translation lookaside buffer for storing information relating virtual addresses with physical addresses in a main memory;
generating a fault signal if a received virtual address is not related to an entry in the shared translation lookaside buffer; and
determining physical address information responsive to said fault signal and the received virtual address according to one of a plurality of techniques, wherein a technique is chosen dependent upon criteria related to said received virtual address.
US09/932,362 2000-08-21 2001-08-17 Processing system with shared translation lookaside buffer Expired - Lifetime US6742103B2 (en)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
EP00402331.3 2000-08-12
EP00402331A EP1182559B1 (en) 2000-08-21 2000-08-21 Improved microprocessor
EP00402331 2000-08-21
EP01401386A EP1262876B1 (en) 2001-05-28 2001-05-28 Multiprocessing system with shared translation lookaside buffer
EP01401386 2001-05-28
EP01401386.6 2001-05-28

Publications (2)

Publication Number Publication Date
US20020062434A1 US20020062434A1 (en) 2002-05-23
US6742103B2 true US6742103B2 (en) 2004-05-25

Family

ID=26073563

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/932,362 Expired - Lifetime US6742103B2 (en) 2000-08-21 2001-08-17 Processing system with shared translation lookaside buffer

Country Status (1)

Country Link
US (1) US6742103B2 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050273572A1 (en) * 2004-06-02 2005-12-08 Fujitsu Limited Address translator and address translation method
US20060044418A1 (en) * 2004-04-23 2006-03-02 Yoshimasa Okabe Imaging apparatus
US20060064567A1 (en) * 2004-05-24 2006-03-23 Jacobson Quinn A Translating loads for accelerating virtualized partition
US20090144519A1 (en) * 2007-12-03 2009-06-04 Qualcomm Incorporated Multithreaded Processor with Lock Indicator
US20090271797A1 (en) * 2008-04-24 2009-10-29 Canon Kabushiki Kaisha Information processing apparatus, information processing method, and medium storing information processing program stored thereon
US11856593B2 (en) 2012-01-20 2023-12-26 Intellectual Ventures Holding 81 Llc Communication in a wireless network using restricted bandwidths

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7003630B1 (en) * 2002-06-27 2006-02-21 Mips Technologies, Inc. Mechanism for proxy management of multiprocessor storage hierarchies
US7017025B1 (en) * 2002-06-27 2006-03-21 Mips Technologies, Inc. Mechanism for proxy management of multiprocessor virtual memory
EP1391820A3 (en) * 2002-07-31 2007-12-19 Texas Instruments Incorporated Concurrent task execution in a multi-processor, single operating system environment
US7519791B2 (en) * 2004-02-06 2009-04-14 Intel Corporation Address conversion technique in a context switching environment
US7290112B2 (en) * 2004-09-30 2007-10-30 International Business Machines Corporation System and method for virtualization of processor resources
US20060070069A1 (en) * 2004-09-30 2006-03-30 International Business Machines Corporation System and method for sharing resources between real-time and virtualizing operating systems
US7398371B2 (en) * 2005-06-23 2008-07-08 Qualcomm Incorporated Shared translation look-aside buffer and method
JPWO2008155849A1 (en) * 2007-06-20 2010-08-26 富士通株式会社 Arithmetic processing device, TLB control method, TLB control program, and information processing device
US8117420B2 (en) * 2008-08-07 2012-02-14 Qualcomm Incorporated Buffer management structure with selective flush
US8924686B2 (en) * 2009-10-08 2014-12-30 Arm Limited Memory management unit
US20110145542A1 (en) * 2009-12-15 2011-06-16 Qualcomm Incorporated Apparatuses, Systems, and Methods for Reducing Translation Lookaside Buffer (TLB) Lookups
GB2539429B (en) * 2015-06-16 2017-09-06 Advanced Risc Mach Ltd Address translation
CN104951424A (en) * 2015-07-09 2015-09-30 天津瑞发科半导体技术有限公司 Computer system provided with multiple processors and common external memory
US11782838B2 (en) * 2020-08-14 2023-10-10 Advanced Micro Devices, Inc. Command processor prefetch techniques

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4481573A (en) 1980-11-17 1984-11-06 Hitachi, Ltd. Shared virtual address translation unit for a multiprocessor system
EP0215544A1 (en) 1985-08-07 1987-03-25 Hewlett-Packard Company Virtual memory address fetching
EP0382237A2 (en) 1989-02-10 1990-08-16 Nec Corporation Multiprocessing system having a single translation lookaside buffer with reduced processor overhead
EP0642086A1 (en) 1993-09-08 1995-03-08 Sun Microsystems, Inc. Virtual address to physical address translation cache that supports multiple page sizes
US5809522A (en) * 1995-12-18 1998-09-15 Advanced Micro Devices, Inc. Microprocessor system with process identification tag entries to reduce cache flushing after a context switch
US6157986A (en) * 1997-12-16 2000-12-05 Advanced Micro Devices, Inc. Fast linear tag validation unit for use in microprocessor
US6665775B1 (en) * 2000-09-22 2003-12-16 Intel Corporation Cache dynamically configured for simultaneous accesses by multiple computing engines

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4481573A (en) 1980-11-17 1984-11-06 Hitachi, Ltd. Shared virtual address translation unit for a multiprocessor system
EP0215544A1 (en) 1985-08-07 1987-03-25 Hewlett-Packard Company Virtual memory address fetching
EP0382237A2 (en) 1989-02-10 1990-08-16 Nec Corporation Multiprocessing system having a single translation lookaside buffer with reduced processor overhead
EP0642086A1 (en) 1993-09-08 1995-03-08 Sun Microsystems, Inc. Virtual address to physical address translation cache that supports multiple page sizes
US5809522A (en) * 1995-12-18 1998-09-15 Advanced Micro Devices, Inc. Microprocessor system with process identification tag entries to reduce cache flushing after a context switch
US6157986A (en) * 1997-12-16 2000-12-05 Advanced Micro Devices, Inc. Fast linear tag validation unit for use in microprocessor
US6665775B1 (en) * 2000-09-22 2003-12-16 Intel Corporation Cache dynamically configured for simultaneous accesses by multiple computing engines

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Advanced RISC Machines Limited 1990, MEMC2, Technical Reference Manual, Part No. 2201,391, Issue 1, Dec. 1990, pp. 1-12.

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060044418A1 (en) * 2004-04-23 2006-03-02 Yoshimasa Okabe Imaging apparatus
US7920177B2 (en) * 2004-04-23 2011-04-05 Panasonic Corporation Imaging apparatus
US20060064567A1 (en) * 2004-05-24 2006-03-23 Jacobson Quinn A Translating loads for accelerating virtualized partition
US7167970B2 (en) * 2004-05-24 2007-01-23 Sun Microsystems, Inc. Translating loads for accelerating virtualized partition
WO2007139529A1 (en) * 2004-05-24 2007-12-06 Sun Microsystems, Inc. Translating loads for accelerating virtualized partition
US20050273572A1 (en) * 2004-06-02 2005-12-08 Fujitsu Limited Address translator and address translation method
US7761686B2 (en) * 2004-06-02 2010-07-20 Fujitsu Semiconductor Limited Address translator and address translation method
US20090144519A1 (en) * 2007-12-03 2009-06-04 Qualcomm Incorporated Multithreaded Processor with Lock Indicator
US8140823B2 (en) 2007-12-03 2012-03-20 Qualcomm Incorporated Multithreaded processor with lock indicator
US20090271797A1 (en) * 2008-04-24 2009-10-29 Canon Kabushiki Kaisha Information processing apparatus, information processing method, and medium storing information processing program stored thereon
US11856593B2 (en) 2012-01-20 2023-12-26 Intellectual Ventures Holding 81 Llc Communication in a wireless network using restricted bandwidths

Also Published As

Publication number Publication date
US20020062434A1 (en) 2002-05-23

Similar Documents

Publication Publication Date Title
US6742104B2 (en) Master/slave processing system with shared translation lookaside buffer
US6742103B2 (en) Processing system with shared translation lookaside buffer
US6351795B1 (en) Selective address translation in coherent memory replication
US8250254B2 (en) Offloading input/output (I/O) virtualization operations to a processor
US9003164B2 (en) Providing hardware support for shared virtual memory between local and remote physical memory
US7509391B1 (en) Unified memory management system for multi processor heterogeneous architecture
US6138208A (en) Multiple level cache memory with overlapped L1 and L2 memory access
US20020065867A1 (en) Address Space priority arbitration
US6907494B2 (en) Method and system of managing virtualized physical memory in a memory controller and processor system
US8521944B2 (en) Performing memory accesses using memory context information
JP2019096309A (en) Execution of maintenance operation
EP1139222A1 (en) Prefetch for TLB cache
US7761686B2 (en) Address translator and address translation method
US6874063B1 (en) System bus read data transfers with data ordering control bits
US6338128B1 (en) System and method for invalidating an entry in a translation unit
US5727179A (en) Memory access method using intermediate addresses
EP1262875A1 (en) Master/slave processing system with shared translation lookaside buffer
EP1067461A1 (en) Unified memory management system for multi process heterogeneous architecture
JPH071489B2 (en) Computer device and method for detecting and correcting data inconsistency in a cache data array in a computer device
EP1262876B1 (en) Multiprocessing system with shared translation lookaside buffer
JP2846888B2 (en) Multiprocessor system with distributed shared memory
JPH07282023A (en) Data transfer amount variable processor and system using the same
US6349360B1 (en) System bus read address operations with data ordering preference hint bits

Legal Events

Date Code Title Description
AS Assignment

Owner name: TEXAS INSTRUMENTS INCORPORATED, TEXAS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:TI-FR;TI-US;CHAUVEL, GERARD;AND OTHERS;REEL/FRAME:012386/0744;SIGNING DATES FROM 20010827 TO 20011009

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12