US6875687B1 - Capping layer for extreme low dielectric constant films - Google Patents

Capping layer for extreme low dielectric constant films Download PDF

Info

Publication number
US6875687B1
US6875687B1 US09/692,527 US69252700A US6875687B1 US 6875687 B1 US6875687 B1 US 6875687B1 US 69252700 A US69252700 A US 69252700A US 6875687 B1 US6875687 B1 US 6875687B1
Authority
US
United States
Prior art keywords
layer
elk
dielectric constant
film
silicon carbide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime, expires
Application number
US09/692,527
Inventor
Timothy Weidman
Michael P Nault
Josephine J Chang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US09/692,527 priority Critical patent/US6875687B1/en
Assigned to APPLIED MATERIAL, INC. reassignment APPLIED MATERIAL, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHANG, JOSEPHINE J., NAULT, MICHAEL P., WEIDMAN, TIMOTHY
Application granted granted Critical
Publication of US6875687B1 publication Critical patent/US6875687B1/en
Adjusted expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/97Specified etch stop material

Definitions

  • the present invention relates to the formation of dielectric layers. More particularly, embodiments of the present invention relate to a method for capping a low dielectric constant film that is particularly useful as a premetal or intermetal dielectric layer in an integrated circuit.
  • low dielectric constant materials are those films having a dielectric constant between 3.0 to 2.5 and extremely low dielectric constant (“ELK”) films are those films having a dielectric constant below 2.5 extending to dielectric constants below 2.0.
  • organic polymers such as polyarylene and polyarylethers have been most intensively pursued.
  • Two examples of such materials include porous versions of Dow Chemical's SILK [a spin-on low k material (k between 2.6-2.8)] and FLARE from Allied Signal.
  • Hybrid materials combine organic and inorganic materials.
  • cross-linked silicon-oxygen containing polymers designated as polysiloxanes form the basis for conventional spin-on-glass (“SOG”) materials.
  • SOG spin-on-glass
  • One approach to achieving a lower dielectric constant in hybrid films has been to increase the amount of organic substitution in these materials. For example, where each silicon atom in a spin-on HSQ film is substituted with a methyl group, a methyl-silsesquioxane (“MSQ”) results.
  • MSQ methyl-
  • One method of forming a particular type of ELK material which forms a porous oxide film is based on a sol-gel process, in which high porosity films are produced by hydrolysis and polycondensation of a silicon alkoxide such as tetraethylorthosilicate.
  • the sol-gel process is a versatile solution process for making ceramic material.
  • the sol-gel process involves the transition of a system from a homogeneous liquid “sol” (mostly colloidal) into a solid “gel” phase.
  • the starting materials used in the preparation of the “sol” are usually inorganic salts or compounds such as silicon alkoxides.
  • the precursor solutions are typically deposited on a substrate by spin on methods.
  • the precursor is subjected to a series of hydrolysis and polymerization reactions to form a colloidal suspension, or a “sol.” Further processing of the “sol” enables one to make ceramic materials in different forms.
  • the further processing includes the thermal decomposition of a thermally labile component, which involves the formation of an ordered surfactant-templated mesostructured films by evaporation-induced self-assembly, followed by the thermal decomposition of the template.
  • FIG. 1 shows one example of a dual damascene structure. This structure is appropriate for a first intermetal layer.
  • the integrated circuit 10 includes an underlying substrate 12 , which may include a series of layers deposited thereon.
  • the substrate 12 may have transistors therein.
  • a barrier layer 13 may be deposited over the substrate, followed by a dielectric layer 14 .
  • the dielectric layer may be undoped silicon dioxide also known as undoped silicon glass (USG), fluorine-doped silicon glass (FSG), or a low k material such as a porous oxide layer or a silicon-carbon or carbon-doped silicon oxide film, or an ELK material.
  • An etch stop layer 16 is deposited over layer 14 , pattern etched, and followed by another dielectric layer 18 . The structure is then again pattern etched to produce a damascene type pattern.
  • a barrier layer 22 may be needed, which typically has been made from Ta, TaN, Ti, TiN, silicon nitride and plasma enhanced chemical vapor deposited (PECVD) silicon dioxide.
  • the prior barrier layers are inadequate for optimal performance.
  • another layer 24 such as a passivation layer, may be deposited.
  • the process described above for a dual damascene structure is exemplary and others may be more appropriate for other particular applications.
  • Liner or barrier layers including capping layers such as silicon nitride or silicon dioxide have been deposited adjacent to the low k dielectric layers to prevent the diffusion of byproducts such as moisture and copper.
  • Silicon nitride has been one material of choice for passivation layers.
  • silicon nitride has a relatively high dielectric constant (dielectric constant greater than 7.0) and may significantly increase the capacitive coupling between interconnect lines. High dielectric constants result in a capped insulator layer that does not significantly reduce the overall dielectric constant for the combined insulator-capping layer, which defeats the goals of reducing the dielectric constant of the insulating material.
  • a silicon dioxide capping layer on a porous film.
  • the capping layer is typically deposited in an oxidative PECVD process. Such an oxidative process damages the surface functionality of the underlying porous film and results in degrading the underlying film's chemical properties, which can degrade the low dielectric constant properties of the film.
  • silicon-carbide-type material are known to be appropriate for use as barrier or etch stop layers, their use in IC structures using ELK materials and especially porous ELK materials does not appear to be suitable.
  • the lower k silicon carbide-type materials such as the commercially available Black DiamondTM [k less than 3.0] developed by Applied Materials of Santa Clara, Calif.
  • the higher k silicon-carbide-type films such as the commercially available BLOk materialTM [k less than 4.5] also developed by Applied Materials of Santa Clara, Calif.
  • their k value is too high, which could result in an overall capped ELK film stack having too high of a k value.
  • Embodiments of the present invention provide a silicon-carbide-type or silicon oxycarbide (also often called carbon-doped-oxide [CDO] or organosilicate glass) capping material and method for depositing this capping material on ELK films which are used as a dielectric material in integrated circuits.
  • the ELK film may include any ELK film including but not limited to inorganic, organic and hybrid dielectric materials and their respective porous versions.
  • the capping material may be an amorphous, hydrogenated silicon carbide type material such as the commercially available BLOkTM material, or a carbon-doped oxide material such as the commercially available Black DiamondTM both of which are developed by Applied Materials of Santa Clara, Calif.
  • the amorphous silicon carbide (a-SiC) material is, deposited using a plasma process in a non-oxidizing environment and the CDO-type material is deposited using an oxygen-starved plasma process.
  • the CDO material offers the advantageous property of having a lower dielectric constant value of less than 3.5 as opposed to the a-SiC material which has a dielectric constant of approximately 4.5.
  • the CDO material besides, having a lower dielectric constant also has superior adhesion characteristics with respect to the underlying ELK material. Additionally, the non-oxidative or oxygen-starved plasma processes do not significantly degrade the underlying film's chemical and electrical properties.
  • the a-SiC-type material e.g. BLOkTM
  • the a-SiC-type material may be used to generate capped ELK films with similar or even reduced dielectric constants relative to lower k capped films, and may provide composite (i.e. ELK+cap) structures exhibiting superior k stability.
  • the ELK film is capped by a hybrid cap including an amorphous silicon carbide layer deposited on the ELK layer and a carbon-doped oxide layer deposited on the amorphous silicon carbide layer.
  • the amorphous silicon carbide layer may be an amorphous, hydrogenated silicon carbide layer having less than about 5 atomic % oxygen, and more preferably no oxygen, which is deposited from a silicon-containing and carbon-containing precursor in a non-oxidizing environment.
  • the carbon-doped oxide layer may be a carbon-doped silicon oxide layer having about 30-50 atomic % oxygen and about 10-30 atomic % carbon.
  • FIG. 1 is a simplified cross-sectional view of a portion of an integrated circuit having a dual damascene structure.
  • FIG. 2 is a flowchart of a process in which a capped ELK layer is formed.
  • Embodiments of this invention provide a method to deposit a silicon carbide type low dielectric constant film as a capping layer or a carbon doped silicon oxide film as a capping layer or a combination thereof over an extremely low dielectric constant (“ELK”) film that may contain oxidizable Si—CH 3 and/or Si—H groups.
  • the capping layer is deposited using a PECVD process in either a non-oxidizing or an oxygen starved plasma. Both the non-oxidizing and the oxygen starved plasma processes prevent or minimize oxidation of the underlying ELK film.
  • a capping layer may be a metal diffusion barrier, an etch stop layer, a CMP stop layer, a photoresist barrier or a final passivation layer.
  • FIG. 2 is a high-level flowchart of a process for forming a capped ELK layer on a substrate according to an embodiment of the present invention.
  • a substrate is placed in a processing chamber (step 200 ).
  • an ELK material is formed on the substrate (step 210 ) and finally the ELK layer is capped by a silicon carbide layer, or alternately by a CDO-type layer, or by a combination of a silicon carbide and a CDO layer (step 220 ).
  • the chamber used to deposit and the one used to cap the film are not the same chamber since the ELK film is a spin-on film and the cap layer is a CVD film.
  • ELK films are porous and are faced with more challenging downstream wafer processing integration issues.
  • integration issues encompass many wafer processing steps including those described above for a dual damascene process and which may include photoresist deposition, metallization, etch, and CMP.
  • the capping layer should preferably possess a low dielectric constant value to ensure that the gains made in depositing an ELK film are not adversely offset by capping it with a high dielectric constant film and effectively increasing the overall dielectric constant for the capped film stack.
  • An exemplary process for forming an ELK layer is based on a sol-gel process.
  • surfactants act as the template for the film's porosity.
  • the porous film is generally formed by the deposition on a substrate of a sol-gel precursor followed by selective evaporation of solvent components of the sol-gel precursor to form supramolecular assemblies. The assemblies are then formed into ordered porous films by the pyrolysis of the supramolecular surfactant templates at approximately 400° C.
  • the first step is the synthesis of the stock precursor solution.
  • the stock precursor solution is prepared, for example, by combining a soluble silicon oxide source, e.g., TEOS (tetraethoxysilane), water, a solvent, e.g. alcohol, and an acid catalyst, e.g. hydrochloric acid, in particular mole ratios at certain prescribed environmental conditions and mixed for certain time periods.
  • a soluble silicon oxide source e.g., TEOS (tetraethoxysilane)
  • solvent e.g. alcohol
  • an acid catalyst e.g. hydrochloric acid
  • the coating solution is mixed.
  • the general procedure to prepare the coating solution is to add a surfactant to the stock solution.
  • the surfactants are used as templates for the porous silica. In later processes the surfactants are baked out, leaving behind a porous silicon oxide film.
  • Typical surfactants exhibit an amphiphilic nature, meaning that they can be both hydrophilic and hydrophobic at the same time.
  • Amphiphilic surfactants possess a hydrophilic head group or groups which have a strong affinity for water and a long hydrophobic tail which repels water. The long hydrophobic tail acts as the template member which later provides the pores for the porous film.
  • Amphiphiles can aggregate into supramolecular arrays which have the desired structure to be formed as the template for the porous film. Templating oxides around these arrays leads to materials that exhibit controllable pore sizes and shapes.
  • the surfactants can be anionic, cationic, or nonionic, though for the formation of dielectric layers for IC applications, non-ionic surfactants are generally preferred.
  • the acid catalyst is added to accelerate the condensation reaction of the silica around the supramolecular aggregates.
  • the coating solution is mixed, it is deposited on the substrate using a spinning process where centrifugal draining ensures that the substrate is uniformly coated with the coating solution.
  • the coated substrate is then pre-baked to complete the hydrolysis of the TEOS precursor, continue the gelation process, and drive off any remaining solvent from the film.
  • the pre-baked substrate is then further baked to form a hard-baked film.
  • the temperature range chosen for the bake step will ensure that excess water is evaporated out of the spincast film.
  • the film is comprised of a hard-baked matrix of silica and surfactant with the surfactant possessing an interconnected structure characteristic of the type and amount of surfactant employed.
  • the interconnected structure aids the implementation of the subsequent surfactant extraction phase.
  • the interconnected structure provides continuous pathways for the subsequently ablated surfactant molecules to escape from the, porous oxide matrix.
  • Typical silica-based films often have hydrophilic pore walls and aggressively absorb moisture from the surrounding environment. If water, which has a dielectric constant (k) of about 78, is absorbed into the porous film, then the low k dielectric properties of the film can be detrimentally affected. Often these hydrophilic films are annealed at elevated temperatures to remove moisture and to ablate and extract the surfactant out of the silica-surfactant matrix. Such an anneal step leaves behind a porousfilm exhibiting interconnected pores. But this is only a temporary solution in a deposition process since the films may still be sensitive to moisture absorption following this procedure.
  • k dielectric constant
  • Some sol-gel processes include further post-deposition treatment steps that are aimed at modifying the surface characteristic of the pores to impart various desired properties, such as hydrophobicity, and increased resistance to certain chemicals.
  • a typical treatment that renders the film more stable is treatment with HMDS (hexamethyldisilizane, [(CH 3 ) 3 —Si—NH—Si—(CH 3 ) 3 ]), in a dehydroxylating process which will remove the hydroxyl groups, replace them with trimethylsilyl groups, and render the film hydrophobic.
  • HMDS hexamethyldisilizane, [(CH 3 ) 3 —Si—NH—Si—(CH 3 ) 3 ]
  • the porous material may be rendered more hydrophobic by the addition of an alkyl substituted silicon precursor, such as CH 3 Si(OCH 2 CH 3 ) methyl triethoxysilane or MTES to the precursor formulation.
  • an alkyl substituted silicon precursor such as CH 3 Si(OCH 2 CH 3 ) methyl triethoxysilane or MTES
  • an alternate process for depositing and forming a hardened and stable ELK film is provided below.
  • an ELK film was deposited based on a sol-gel-based process as described above.
  • a precursor solution containing at least a silica precursor composed primarily of a silicon/oxygen compound, water, a solvent, a surfactant and a catalyst was formed.
  • the precursor solution was spun on the wafer and the wafer thermally treated by being baked in a chamber at various temperatures between about 90° C. and 450° C. for between about 30 and 3600 seconds in inert or oxidizing environments having pressures in the range from about 0.1 Torr to atmospheric.
  • the silicon/oxygen compound was selected from the group consisting of tetraethylorthosilicate, tetramethoxysilane, phenlytriethyloxy, methyltriethoxysilane and combinations thereof.
  • the solvent was selected from the group consisting of ethanol, isopropanol, propylene glycol monopropyl ether, n-propanol, n-butanol, t-butanol, ethylene glycol and combinations thereof.
  • the surfactant was a non-ionic surfactant selected for example from the group consisting of polyoxyethylene oxides-propylene oxides-polyethylene oxides triblock copolymers, octaethylene glycol monodecyl ether, octaethylene glycol monohexadecyl ether, TritonTM 100, TritonTM 114 and related compounds and combinations thereof More particularly, the precursor solution used in specific embodiments of this invention has the following composition: tetraethoxysilane (TEOS)—22.5 gms; methyltriethoxysilane (MTES)—22.5 gms; propylene glycol monopropyl ether (PGPE)—100 gms; 0.1N Nitric acid—24 gms; tetraethylammonium hydroxide (TMAH)(2.4% in water)—1.0 gms; and Triton X-114—9.67 gms (Triton 114 is trademark of a
  • ELK film deposited according to the embodiments of this process exhibits the following properties:
  • ELK films materials and methods of capping such films are described below. While it has become apparent that forming ELK films having k ⁇ 2.5 is feasible, such films which are porous are faced with more challenging downstream wafer processing integration issues, which require unique methods and materials to isolate these ELK films from other layers.
  • a capping layer and its method of deposition on an ELK film must not degrade the underlying film's desired properties.
  • the capping layer should preferably possess a low dielectric constant value to ensure that the gains made in depositing an ELK film are not adversely offset by capping it with a high dielectric constant film and effectively increasing the overall dielectric constant for the capped film stack.
  • Embodiments of the present invention provide a silicon-carbide-type or alternately a silicon oxycarbide (also often called carbon-doped-oxide [CDO] or organosilicate glass) capping material, formed according to certain process regimes useful as a capping layer for an integrated circuit, and particularly for an integrated circuit using copper as a conductive material and ELK films as dielectrics.
  • the capping material may be an amorphous, hydrogenated silicon carbide type material such as the commercially available BLOkTM material, or a carbon-doped oxide material such as the commercially available Black DiamondTM both of which are developed by Applied Materials of Santa Clara, Calif.
  • the amorphous silicon carbide (a-SiC) material is deposited using a plasma process in a non-oxidizing environment and the CDO-type material is deposited using an oxygen-starved plasma process.
  • an oxygen-starved plasma process is one in which there is sufficient oxygen to react with the precursor to form the CDO-type material, but substantially no excess oxygen is available to react with the substrate.
  • the precursor may be a combination of a silicon-containing precursor and a carbon-containing precursor, an organosilane precursor, or the like.
  • the CDO material offers the advantageous property of having a lower dielectric constant value of less than 3 as opposed to the a-SiC material which has a dielectric constant of approximately 4.5.
  • the CDO material besides having a lower dielectric constant, also has superior adhesion characteristics with respect to the underlying ELK material. Additionally, the non-oxidative or oxygen-starved plasma processes do not significantly degrade the underlying film's chemical and electrical properties because at these oxygen levels the plasma processes do not result in the complete oxidation of the organosilane or the organic component of the underlying ELK film.
  • experiments have indicated that despite its higher dielectric constant, the a-SiC-type material (e.g.
  • BLOkTM may be used to generate capped ELK films with similar or even reduced dielectric constants relative to lower k capped films, and may provide composite (i.e. ELK+cap) structures exhibiting superior k stability.
  • ELK+cap composite
  • a capping layer can be a barrier layer, an etch stop, a CMP stop, or other barrier layers isolating an underlying ELK film from other materials subsequently deposited or etched.
  • Embodiments of the present invention also provide processing regimes that include using a silane-based compound for a silicon source in some embodiments and an organosilane as a silicon and carbon source and potentially in the absence of a substantial amount of oxygen.
  • the process regimes also include the presence of inert gases, such as helium or nitrogen, and at certain temperatures, pressures, power outputs in a PECVD chamber to produce the capping material according to embodiments of the present invention.
  • This particular capping material is especially useful as a capping layer for an ELK film, and may be especially useful in complex structures, such as a damascene structure.
  • Table 1 below shows some general requirements for a capping layer using copper as a conductive material, although other conductors may be applicable.
  • adhesion strength is the stud pull test. Using this criterion, an adhesion strength greater than 35 MPa is desired, and tests showed that an adhesion strength of at least 35 MPa was obtained for the capping layer when deposited in accordance with the process described below.
  • the capping material must be such that its deposition process does not degrade the underlying ELK film. This criterion is satisfied by depositing the capping material from a non-oxidizing or oxygen-poor plasma.
  • the capping material should also have substantially no diffusion at the substrate annealing temperature of, for example, 400°-450° C.
  • the term “no substantial” diffusion is intended to be a functional term, such that any actual diffusion into the layer is less than would affect the ability of the capping layer to function as such.
  • the silicon carbide of an embodiment of the present invention limits the diffusion to about 250 ⁇ .
  • the copper diffusion may impair the desired current and voltage paths and contribute to cross-talk.
  • the lower dielectric constant preferably less than 5
  • the lower is the probability for cross talk and RC delay which degrades the overall performance of the device.
  • the overall stack dielectric value corresponds to the overall dielectric value of the combined capped ELK film (i.e. ELK film and capping layer), where a desirable value should be 3.0 or less.
  • the barrier layer may be used in a damascene structure, it would be beneficial to also have suitable etch stop characteristics, such as an etch selectivity ratio of 1:3 or preferably lower with respect to ELK materials.
  • suitable etch stop characteristics such as an etch selectivity ratio of 1:3 or preferably lower with respect to ELK materials.
  • a low etch selectivity may be used in which a via pattern is transferred through the capping layer and into the ELK film at the same time the photoresist is removed.
  • the capping material should have a high breakdown voltage of 2 MV/cm or more.
  • the material should be compatible with other processes, so the processes may be conducted in-situ. i.e. in a given chamber, such as in an integrated cluster tool arrangement, without exposing the material to a contaminating environment, to produce better throughput and process control. This aspect may be particularly important with ELK films, because of their porosity and susceptibility to moisture absorption.
  • Table 2 shows the process parameters used in a chamber that allows the film to be used as a capping layer in accordance with specific embodiments of the present invention.
  • the silicon and carbon were derived from a common compound, such as an organosilane-based compound.
  • the carbon could be supplemented with other compounds, such as methane.
  • suitable silane-based compounds could include: methylsilane(CH 3 SiH 3 ), dimethylsilane((CH 3 ) 2 SiH 2 ), trimethylsilane((CH 3 ) 3 SiH), diethylsilane((C 2 H 5 ) 2 SiH 2 ), propylsilane(C 3 H 8 SiH 3 ), vinyl methylsila(CH 2 ⁇ CH)CH 3 SiH 2 ), 1,1,2,2-tetramethyl disilane(HSi(CH 3 ) 2 —Si(CH 3 ) 2 H), hexamethyl disilane((CH 3 ) 3 Si—Si(CH 3 ) 3 ), 1,1,2,2,3,3-hexamethyl trisilane(H(CH 3 ) 2 Si—Si(CH 3 ) 2 —SiH(CH 3 ) 2 ), 1,1,2,3,3-pentamethyl trisilane(H(CH 3 ) 2 Si—SiH(CH 3 )—SiH 3
  • organosiloxanes such as tetramethyl cyclotetrasiloxane may be used, with or without the addition of another oxygen source, for the deposition of CDO type caps.
  • organosilane as used herein includes any silane-based compound having at least one carbon atom attached, including the preceding list, unless otherwise indicated.
  • the compound used was trimethylsilane (“3MS”).
  • a process gas, such helium, nitrogen, or oxygen was present and might assist in stabilizing the process, although other gases could be used.
  • the capping material includes both the a-SiC and the CDO materials.
  • the capping layer can have a low dielectric constant of about 5 or less and can be extended to 3.5 or less by addition of some oxygen.
  • the effective dielectric constant of the stack composed of the ELK layer and the cap layer can be less than 2.5 for relatively thin cap layers. This effective dielectric constant meets the needs of a suitable capping layer especially for an ELK and copper-based integrated circuit.
  • the capping material is also suitable as a low k, etch stop material.
  • a low k etch stop material is defined herein as an etch stop material having a dielectric constant equal to or lower than that of silicon nitride (dielectric constant greater than or equal to 7.0) and having a relative oxide to etch selectivity of 2 to 1 or greater. This ratio allows greater control over the etching process and is particularly useful when etching a complex structure, such as a damascene structure. Also by tuning the composition of the capping layer to match that of the ELK film, thus having a low etch selectivity, allows for single pass etch of the capping layer and the ELK film. A low etch selectivity may be used such that a via pattern is transferred through the capping layer and into the ELK film at the same time the photoresist is removed.
  • a silicon source such as trimethylsilane may be supplied to a plasma reactor, specifically a reaction zone in a chamber that is typically between the substrate surface and the gas dispersion element, such as a “showerhead,” commonly known to those with ordinary skill in the art.
  • Typical commercial PECVD chambers that may be used to practice embodiments of this invention to cap an ELK film, are the DxZ and Producer chambers produced by Applied Materials, Inc. of Santa Clara, Calif. Alternately, the entire stack (i.e. formation of ELK film and PECVD cap) may be formed in an integrated atmospheric deposition and vacuum cap system as described above. The sequence of operation of a commercial PECVD chamber is well known and needs no explanation for the embodiments of the present invention process regimes.
  • the capping layer may be deposited at a temperature from 100-450° C.
  • a precursor source such as a trimethylsilane may be provided at a flow rate of about 600 standard cubic centimeters per minute (sccm).
  • the reaction may occur without a substantial source of oxygen introduced into the reaction zone; more particularly a flow of 100 sccm of oxygen gas is used.
  • a process gas such as helium or nitrogen, may flow into the chamber at a rate of about 0-5000 sccm each respectively.
  • the chamber pressure is maintained between about 1 and 15 Torr, and more particularly 3.8 Torr.
  • An RF power source may apply between about 100 and 900 watts, and preferably about 590 watts to the anode and cathode to form the plasma in the chamber with the silane-based gas.
  • the substrate surface temperature may be maintained between about 300° and 450° C., and more particularly about 400° C. during the deposition of the capping layer.
  • the gas may be dispersed at a showerhead to substrate spacing distance between about 200 and 600 mils, and more particularly at 280 mil. Using this recipe a deposition rate of approximately 3700 ⁇ /min was achieved.
  • the measured thickness for the combined capped ELK film was approximately 5270 ⁇ , and the dielectric constant for the capped ELK film was 2.38.
  • the ELK film's dielectric constant was measured to be 2.16.
  • an ELK film was capped with a layer of a-SiC material as described below.
  • a silicon carbide source such as a trimethylsilane may be delivered at a flow rate of about 160 standard cubic centimeters per minute (sccm).
  • the reaction occurs without any source of oxygen introduced into the reaction zone.
  • a process gas such as helium or nitrogen, may flow into the chamber at a rate of about 0-5000 sccm each respectively; more particularly, a flow of 400 sccm of helium is used.
  • the chamber pressure is maintained between about 1 and 15 Torr, and more particularly 3.8 Torr.
  • An RF power source may apply between about 100 and 900 watts, and preferably about 560 watts to the anode and cathode to form the plasma in the chamber with the silane-based gas.
  • the substrate surface temperature may be maintained between about 350° and 450° C., and more particularly about 400° C. during the deposition of the capping layer.
  • the gas may be dispersed at a showerhead to substrate spacing distance between about 200 and 600 mils, and more particularly at 280 mil. Using this recipe a deposition rate of approximately 3700 ⁇ /min was achieved.
  • the measured thickness for the combined capped ELK film was approximately 5222 ⁇ , and the dielectric constant for the capped ELK film was 2.22.
  • the ELK film's dielectric constant was measured to be 2.16.
  • an ELK film may be capped with a combined capping layer by first depositing an a-SiC cap followed by CDO cap as described below.
  • a silicon carbide source such as a trimethylsilane may be provided at a flow rate of about 300 standard cubic centimeters per minute (sccm).
  • the reaction occurs without any oxygen introduced into the reaction zone.
  • the chamber pressure is maintained between about 1 and 15 Torr, and more particularly 3.8 Torr.
  • An RF power source may apply between about 100 and 900 watts, and preferably about 300 watts to form the plasma in the chamber with the trimethylsilane.
  • the substrate surface temperature may be maintained between about 350° to 450° C., and more particularly about 400° C. during the deposition of the a-SiC capping layer.
  • the gas may be dispersed at a showerhead to substrate spacing distance between about 200 and 600 mils, and more particularly at 280 mil.
  • a CDO cap is deposited on the a-SiC cap.
  • an organosilane such as trimethylsilane at a flow rate of about 600 standard cubic centimeters per minute (sccm) may be used.
  • the carbon may be derived from the trimethylsilane or methylsilane.
  • the reaction may occur without a substantial source of oxygen introduced into the reaction zone; more particularly a flow of 100 sccm of oxygen gas is used.
  • the chamber pressure is maintained between about 1 and 15 Torr, and more particularly 3.8 Torr.
  • An RF power source may apply between about 100 and 900 watts, and preferably about 600 watts to the anode and cathode to form the plasma in the chamber.
  • the substrate surface temperature may be maintained between about 350° and 450° C., and more particularly about 400° C. during the deposition of the capping layer.
  • the gas may be dispersed at a showerhead to substrate spacing distance between about 200 and 600 mils, and more particularly at 280 mil. Using this recipe a deposition rate of approximately 3700 ⁇ /min was achieved.
  • the measured thickness for the combined capped ELK film was approximately 5107 ⁇ , and the dielectric constant for the capped ELK film was 2.15.
  • the ELK film's dielectric constant was measured to be 2.16.
  • the capping layer may be a film such as the commercially available BLOkTM material, or a CDO type film compound such as the commercially available Black DiamondTM both of which are developed by Applied Materials of Santa Clara, Calif.
  • the a-SiC compound is deposited using a plasma process in a non-oxidizing environment and the CDO compound is deposited using an oxygen-starved plasma process.
  • capping layer for ELK films as compared to traditional capping layers such as silicon oxide and silicon nitride are numerous.
  • the non-oxidative or alternately the oxygen-starved process regime of embodiments of the present invention does not allow any substantial reaction between the plasma and the Si—C, C—H, and Si—H (if any) bonds present in the underlying ELK film and thus no substantial degradation in the ELK film's desirable dielectric and moisture-repelling properties is observed.
  • Depositing silicon oxide as a capping layer in an oxidizing plasma environment would burn out the carbon in the ELK film, and degrade the ELK film's desirable properties.
  • the first capping layer was the CDO cap; the second was the a-SiC cap, and the third was a two layer cap consisting of first capping the ELK film with an a-SiC cap and then with a CDO layer.
  • the process conditions used for these tests are described above.
  • the results of these experiments were quite unexpected in that the overall dielectric constant of the capped ELK film which was capped with a higher dielectric constant cap was actually lower than the overall dielectric constant of the capped ELK film which was capped with a lower dielectric constant cap.
  • the CDO material offers the advantageous property of having a lower dielectric constant value of less than 3 as opposed to the a-SiC material which has a dielectric constant of approximately 4.5. Additionally, the oxygen-starved plasma processes do not significantly degrade the underlying film's chemical and electrical properties. However, as stated above, experiments have indicated that despite its higher dielectric constant, the a-SiC-type material (e.g. BLOkTM) may be used to generate capped ELK films with similar or even reduced dielectric constants relative to lower k capped films, and may provide composite (i.e. ELK+cap) structures exhibiting superior k stability.
  • BLOkTM a-SiC-type material
  • the a-Sic capped ELK film exhibits a better k stability.
  • K stability is a measure of the moisture absorption of the film stack. The test is carried out by soaking the film stack in water and then measuring the k of the stack and comparing the post soak k to the non-soaked k. As can be seen from Table 3, the non-capped film experienced a 5.9% increase in its k value due to moisture intake, and of the capped films, the a-SiC capped film only experienced a 1.6% increase in k as compared to the CDO capped film which showed a 3.6% increase in its k value.
  • the hybrid cap is one that takes full advantage of the non-oxidizing effect of the a-SiC deposition and the lower k of the CDO films.
  • the last row in Table 3 above shows the results for such a case.
  • the deposition recipe for this case was also described above.
  • the desire to have a hybrid cap is driven by the demands of future ICs to have the lowest k cap but not at the expense of damaging the k value of the underlying ELK films.
  • an optimum hybrid cap may use an a-SiC cap deposited in a non-oxidizing environment to seal and cap the ELK film, and a CDO film to achieve a lower cap k value.
  • This hybrid cap provides the additional and improved adhesion properties, since CDO films are known to adhere better to layers that may subsequently be deposited on the capped ELK film stack. As shown on Table 3, the hybrid cap exhibits an even lower k than the other capped films.
  • the a-SiC cap may actually be improving the k value of the underlying ELK film by an infiltration-type process.
  • the traditional silicon nitride cap has a relatively high dielectric constant. Although the high dielectric value could be addressed by depositing a relatively thin layer, its deposition can involve the use of an ammonia-based (NH 3 ) process gas. Such a process gas also reacts with and is detrimental to the underlying ELK film. Lastly and importantly, the adhesion between silicon-carbide type films as taught by the embodiments of the present invention are superior to other PECVD-based films. For example, the SiC material of the present invention has a high adhesion strength with test data showing an adhesion strength of greater than 5 ksi.
  • the capping layer and the method of depositing the capping layer as described herein are equally applicable and equally advantageous as capping layers for other low dielectric constant or other ELK films.
  • Low dielectric constant or ELK films other than the hybrid carbon doped porous oxide (“CDPO”) described above can be other hybrid, organic and inorganic films including the porous variants thereof. Examples of organic films are Dow Chemical's SiLK (a spin-on low k material (k between 2.6-2.8)) and FLARE from Allied Signal, and porous versions of these films. Examples of other hybrid films are HSQ and MSQ films and their respective porous variants.

Abstract

Specific embodiments of the invention provide a silicon-carbide-type or silicon oxycarbide (also often called carbon-doped-oxide [CDO] or organosilicate glass) capping material and method for depositing this capping material on ELK films which are used as a dielectric material in integrated circuits. The ELK film may include any ELK film including but not limited to inorganic, organic and hybrid dielectric materials and their respective porous versions. The silicon-carbide-type material may be an amorphous silicon carbide type material such as the commercially available BLOk™ material, or a carbon-doped oxide material such as the commercially available Black Diamond™ both of which are developed by Applied Materials of Santa Clara, Calif. The amorphous silicon carbide (a-SiC) material is deposited using a plasma process in a non-oxidizing environment and the CDO-type material is deposited using an oxygen-starved plasma process. The non-oxidative or oxygen-starved plasma processes do not significantly degrade the underlying film's chemical and electrical properties. The CDO material offers the advantageous property of having a lower dielectric constant value of less than 3.5 as opposed to the a-SiC material which has a dielectric constant of approximately 4.5. The CDO material besides, having a lower dielectric constant also has a superior adhesion characteristics to the underlying ELK material. However, experiments have indicated that despite its higher dielectric constant, the a-SiC-type material (e.g. BLOk™) may be used to generate capped ELK films with similar or even reduced dielectric constants relative to lower k capped films, and may provide composite (i.e. ELK+cap) structures exhibiting superior k stability.

Description

CROSS-REFERENCES TO RELATED APPLICATIONS
This application claims the benefit of U.S. Provisional Application Ser. No. 60/160,050, filed Oct. 18, 1999, which is incorporated herein by reference.
BACKGROUND OF THE INVENTION
The present invention relates to the formation of dielectric layers. More particularly, embodiments of the present invention relate to a method for capping a low dielectric constant film that is particularly useful as a premetal or intermetal dielectric layer in an integrated circuit.
Semiconductor device geometries have dramatically decreased in size since integrated circuits were first introduced several decades ago, and all indications are that this trend will continue. Today's wafer fabrication plants are routinely producing devices having 0.25 μm and even 0.18 μm feature sizes, and the plants of the future will soon be producing devices having even smaller geometries.
The drive to faster and faster microprocessors, and more powerful microelectronic devices, is dependent on improvements in two chemistry-based areas, optical lithography and low dielectric constant materials. Several semiconductor manufacturers, materials suppliers and research organizations have focused on identifying low and extremely low dielectric constant films. As used herein, low dielectric constant materials are those films having a dielectric constant between 3.0 to 2.5 and extremely low dielectric constant (“ELK”) films are those films having a dielectric constant below 2.5 extending to dielectric constants below 2.0.
Several candidate low dielectric constant materials are currently under development. Certain specific organic, inorganic and hybrid dielectrics are amongst the current candidates. Additionally, dielectric films from these categories, when made porous, tend to have even lower dielectric constants, and are poised for integration in the next generation of interconnect structures. In terms of deposition techniques, both spin-on and chemical vapor deposition (“CVD”) processes are under development, with key challenges remaining around issues of technological performance—i.e. integration.
Among the organic material candidates, organic polymers such as polyarylene and polyarylethers have been most intensively pursued. Two examples of such materials include porous versions of Dow Chemical's SILK [a spin-on low k material (k between 2.6-2.8)] and FLARE from Allied Signal. Spin-on hydrogen silsesquioxane (“HSQ”) which has a dielectric constant of 2.9 (k=2.9), is an example of an inorganic dielectric material. Hybrid materials combine organic and inorganic materials. In hybrid materials, cross-linked silicon-oxygen containing polymers designated as polysiloxanes form the basis for conventional spin-on-glass (“SOG”) materials. One approach to achieving a lower dielectric constant in hybrid films has been to increase the amount of organic substitution in these materials. For example, where each silicon atom in a spin-on HSQ film is substituted with a methyl group, a methyl-silsesquioxane (“MSQ”) results.
As stated above, incorporation of porosity in a dielectric film, regardless of its chemical composition, (i.e. organic, inorganic and hybrid) will reduce the film's dielectric value relative to the solid film. This is due to the fact that the dielectric constant of air is nominally 1. As a result dielectric constants are achievable that are lower than 2.5 including values less than 2.0.
One method of forming a particular type of ELK material which forms a porous oxide film is based on a sol-gel process, in which high porosity films are produced by hydrolysis and polycondensation of a silicon alkoxide such as tetraethylorthosilicate. The sol-gel process is a versatile solution process for making ceramic material. In general, the sol-gel process involves the transition of a system from a homogeneous liquid “sol” (mostly colloidal) into a solid “gel” phase. The starting materials used in the preparation of the “sol” are usually inorganic salts or compounds such as silicon alkoxides. The precursor solutions are typically deposited on a substrate by spin on methods. In a typical sol-gel process, the precursor is subjected to a series of hydrolysis and polymerization reactions to form a colloidal suspension, or a “sol.” Further processing of the “sol” enables one to make ceramic materials in different forms. The further processing includes the thermal decomposition of a thermally labile component, which involves the formation of an ordered surfactant-templated mesostructured films by evaporation-induced self-assembly, followed by the thermal decomposition of the template.
In addition to requiring new materials for insulation layers, the trend of decreasing feature size in integrated circuits has created a need for a conductive material having greater conductivity than aluminum which has been the choice in the industry for some time. Many semiconductor manufacturers have turned to copper (Cu) as an interconnect material in place of aluminum, because copper has a lower resistivity and higher current carrying capacity. However, copper has its own difficulties for IC manufacturing processes. For instance, copper diffuses more readily into surrounding materials and hence requires better materials for a barrier layer than traditionally has been used for aluminum. This greater diffuision characteristic exacerbates the low k porosity described above and places even greater emphasis upon the quality of the barrier layers.
An example of an integrated circuit structure which implements copper as an interconnect material is a dual damascene structure. In a dual damascene structure, the dielectric layer is etched to define both the contacts/vias and the interconnect lines. Metal is inlaid into the defined pattern and any excess metal is removed from the top of the structure in a planarization process, such as chemical mechanical polishing (CMP). FIG. 1 shows one example of a dual damascene structure. This structure is appropriate for a first intermetal layer. The integrated circuit 10 includes an underlying substrate 12, which may include a series of layers deposited thereon. The substrate 12 may have transistors therein. A barrier layer 13 may be deposited over the substrate, followed by a dielectric layer 14. The dielectric layer may be undoped silicon dioxide also known as undoped silicon glass (USG), fluorine-doped silicon glass (FSG), or a low k material such as a porous oxide layer or a silicon-carbon or carbon-doped silicon oxide film, or an ELK material. An etch stop layer 16 is deposited over layer 14, pattern etched, and followed by another dielectric layer 18. The structure is then again pattern etched to produce a damascene type pattern. A barrier layer 22 may be needed, which typically has been made from Ta, TaN, Ti, TiN, silicon nitride and plasma enhanced chemical vapor deposited (PECVD) silicon dioxide. However, as explained above, with the smaller feature sizes and increased diffusion propensity of copper, the prior barrier layers are inadequate for optimal performance. Once the conductive material 20 has filled the features, another layer 24, such as a passivation layer, may be deposited. The process described above for a dual damascene structure is exemplary and others may be more appropriate for other particular applications.
Such integration methods when using ELK material and especially porous dielectric materials place additional demands upon the liner or barrier layers. Liner or barrier layers including capping layers such as silicon nitride or silicon dioxide have been deposited adjacent to the low k dielectric layers to prevent the diffusion of byproducts such as moisture and copper. Silicon nitride has been one material of choice for passivation layers. However, silicon nitride has a relatively high dielectric constant (dielectric constant greater than 7.0) and may significantly increase the capacitive coupling between interconnect lines. High dielectric constants result in a capped insulator layer that does not significantly reduce the overall dielectric constant for the combined insulator-capping layer, which defeats the goals of reducing the dielectric constant of the insulating material. Similarly, problems remain in depositing a silicon dioxide capping layer on a porous film. The capping layer is typically deposited in an oxidative PECVD process. Such an oxidative process damages the surface functionality of the underlying porous film and results in degrading the underlying film's chemical properties, which can degrade the low dielectric constant properties of the film. In addition, although silicon-carbide-type material are known to be appropriate for use as barrier or etch stop layers, their use in IC structures using ELK materials and especially porous ELK materials does not appear to be suitable. This is because the lower k silicon carbide-type materials (such as the commercially available Black Diamond™ [k less than 3.0] developed by Applied Materials of Santa Clara, Calif.) are also typically deposited in an oxidative PECVD process, which as described above could damage the underlying porous film, and the higher k silicon-carbide-type films (such as the commercially available BLOk material™ [k less than 4.5] also developed by Applied Materials of Santa Clara, Calif.) may not be suitable because their k value is too high, which could result in an overall capped ELK film stack having too high of a k value.
Therefore, there is a need for a capping layer that will have the lowest dielectric constant possible and one whose deposition process does not damage the underlying ELK film or degrade the underlying film's chemical properties.
SUMMARY OF THE INVENTION
Embodiments of the present invention provide a silicon-carbide-type or silicon oxycarbide (also often called carbon-doped-oxide [CDO] or organosilicate glass) capping material and method for depositing this capping material on ELK films which are used as a dielectric material in integrated circuits. The ELK film may include any ELK film including but not limited to inorganic, organic and hybrid dielectric materials and their respective porous versions. The capping material may be an amorphous, hydrogenated silicon carbide type material such as the commercially available BLOk™ material, or a carbon-doped oxide material such as the commercially available Black Diamond™ both of which are developed by Applied Materials of Santa Clara, Calif. The amorphous silicon carbide (a-SiC) material is, deposited using a plasma process in a non-oxidizing environment and the CDO-type material is deposited using an oxygen-starved plasma process. The CDO material offers the advantageous property of having a lower dielectric constant value of less than 3.5 as opposed to the a-SiC material which has a dielectric constant of approximately 4.5. The CDO material besides, having a lower dielectric constant also has superior adhesion characteristics with respect to the underlying ELK material. Additionally, the non-oxidative or oxygen-starved plasma processes do not significantly degrade the underlying film's chemical and electrical properties. However, experiments have indicated that despite its higher dielectric constant, the a-SiC-type material (e.g. BLOk™) may be used to generate capped ELK films with similar or even reduced dielectric constants relative to lower k capped films, and may provide composite (i.e. ELK+cap) structures exhibiting superior k stability.
In specific embodiments, the ELK film is capped by a hybrid cap including an amorphous silicon carbide layer deposited on the ELK layer and a carbon-doped oxide layer deposited on the amorphous silicon carbide layer. The amorphous silicon carbide layer may be an amorphous, hydrogenated silicon carbide layer having less than about 5 atomic % oxygen, and more preferably no oxygen, which is deposited from a silicon-containing and carbon-containing precursor in a non-oxidizing environment. The carbon-doped oxide layer may be a carbon-doped silicon oxide layer having about 30-50 atomic % oxygen and about 10-30 atomic % carbon.
These and other embodiments of the present invention, as well its advantages and features, are described in more detail in conjunction with the description below and attached figures.
BRIEF DESCRIPTION OF THE DRAWINGS
FIG. 1 is a simplified cross-sectional view of a portion of an integrated circuit having a dual damascene structure.
FIG. 2 is a flowchart of a process in which a capped ELK layer is formed.
DESCRIPTION OF THE SPECIFIC EMBODIMENTS
Embodiments of this invention provide a method to deposit a silicon carbide type low dielectric constant film as a capping layer or a carbon doped silicon oxide film as a capping layer or a combination thereof over an extremely low dielectric constant (“ELK”) film that may contain oxidizable Si—CH3 and/or Si—H groups. The capping layer is deposited using a PECVD process in either a non-oxidizing or an oxygen starved plasma. Both the non-oxidizing and the oxygen starved plasma processes prevent or minimize oxidation of the underlying ELK film.
The lack of an oxidizing plasma in the PECVD deposition process allows the capping layer to be deposited without degrading the ELK film. Using process conditions described below capping layers having a dielectric constant below 5 and preferably below 3 is deposited on an ELK film. Using these process conditions, the deposition regime of the capping layer does not oxidize the Si—CH3 or Si—H bonds in the ELK film, and thus allows for a dielectric constant for the combined ELK film and capping layer stack to be less than 3.0. As used herein a capping layer may be a metal diffusion barrier, an etch stop layer, a CMP stop layer, a photoresist barrier or a final passivation layer.
FIG. 2 is a high-level flowchart of a process for forming a capped ELK layer on a substrate according to an embodiment of the present invention. As shown in FIG. 2, first a substrate is placed in a processing chamber (step 200). Next, an ELK material is formed on the substrate (step 210) and finally the ELK layer is capped by a silicon carbide layer, or alternately by a CDO-type layer, or by a combination of a silicon carbide and a CDO layer (step 220). The chamber used to deposit and the one used to cap the film are not the same chamber since the ELK film is a spin-on film and the cap layer is a CVD film. ELK films are porous and are faced with more challenging downstream wafer processing integration issues. As described above, integration issues encompass many wafer processing steps including those described above for a dual damascene process and which may include photoresist deposition, metallization, etch, and CMP. This means that subsequent processes must not damage the underlying ELK film and subsequently deposited films must be isolated from the underlying ELK. Therefore, a capping layer and its method of deposition on an ELK film must not degrade the underlying film's desired properties. Furthermore, the capping layer should preferably possess a low dielectric constant value to ensure that the gains made in depositing an ELK film are not adversely offset by capping it with a high dielectric constant film and effectively increasing the overall dielectric constant for the capped film stack.
Before describing the capping layer and a method of depositing the capping material according to embodiments of the present invention, an exemplary ELK material and process for its deposition also in accordance with embodiments of the present invention is described below.
Exemplary ELK Material and Methods For Its Deposition
An exemplary process for forming an ELK layer is based on a sol-gel process. In such a particular sol-gel process for forming a porous low dielectric constant film, surfactants act as the template for the film's porosity. The porous film is generally formed by the deposition on a substrate of a sol-gel precursor followed by selective evaporation of solvent components of the sol-gel precursor to form supramolecular assemblies. The assemblies are then formed into ordered porous films by the pyrolysis of the supramolecular surfactant templates at approximately 400° C.
A basic sot-gel process has been previously proposed to deposit ELK films. The first step is the synthesis of the stock precursor solution. The stock precursor solution is prepared, for example, by combining a soluble silicon oxide source, e.g., TEOS (tetraethoxysilane), water, a solvent, e.g. alcohol, and an acid catalyst, e.g. hydrochloric acid, in particular mole ratios at certain prescribed environmental conditions and mixed for certain time periods.
Once the stock solution is obtained, the coating solution is mixed. The general procedure to prepare the coating solution is to add a surfactant to the stock solution. The surfactants are used as templates for the porous silica. In later processes the surfactants are baked out, leaving behind a porous silicon oxide film. Typical surfactants exhibit an amphiphilic nature, meaning that they can be both hydrophilic and hydrophobic at the same time. Amphiphilic surfactants possess a hydrophilic head group or groups which have a strong affinity for water and a long hydrophobic tail which repels water. The long hydrophobic tail acts as the template member which later provides the pores for the porous film. Amphiphiles can aggregate into supramolecular arrays which have the desired structure to be formed as the template for the porous film. Templating oxides around these arrays leads to materials that exhibit controllable pore sizes and shapes. The surfactants can be anionic, cationic, or nonionic, though for the formation of dielectric layers for IC applications, non-ionic surfactants are generally preferred. The acid catalyst is added to accelerate the condensation reaction of the silica around the supramolecular aggregates.
After the coating solution is mixed, it is deposited on the substrate using a spinning process where centrifugal draining ensures that the substrate is uniformly coated with the coating solution. The coated substrate is then pre-baked to complete the hydrolysis of the TEOS precursor, continue the gelation process, and drive off any remaining solvent from the film.
The pre-baked substrate is then further baked to form a hard-baked film. The temperature range chosen for the bake step will ensure that excess water is evaporated out of the spincast film. At this stage the film is comprised of a hard-baked matrix of silica and surfactant with the surfactant possessing an interconnected structure characteristic of the type and amount of surfactant employed. The interconnected structure aids the implementation of the subsequent surfactant extraction phase. The interconnected structure provides continuous pathways for the subsequently ablated surfactant molecules to escape from the, porous oxide matrix.
Typical silica-based films often have hydrophilic pore walls and aggressively absorb moisture from the surrounding environment. If water, which has a dielectric constant (k) of about 78, is absorbed into the porous film, then the low k dielectric properties of the film can be detrimentally affected. Often these hydrophilic films are annealed at elevated temperatures to remove moisture and to ablate and extract the surfactant out of the silica-surfactant matrix. Such an anneal step leaves behind a porousfilm exhibiting interconnected pores. But this is only a temporary solution in a deposition process since the films may still be sensitive to moisture absorption following this procedure.
Some sol-gel processes include further post-deposition treatment steps that are aimed at modifying the surface characteristic of the pores to impart various desired properties, such as hydrophobicity, and increased resistance to certain chemicals. A typical treatment that renders the film more stable is treatment with HMDS (hexamethyldisilizane, [(CH3)3—Si—NH—Si—(CH3)3]), in a dehydroxylating process which will remove the hydroxyl groups, replace them with trimethylsilyl groups, and render the film hydrophobic. Alternatively, or in conjunction with such a silylation step, the porous material may be rendered more hydrophobic by the addition of an alkyl substituted silicon precursor, such as CH3Si(OCH2CH3) methyl triethoxysilane or MTES to the precursor formulation.
A variety of alternatives to the above-described sol-gel process for depositing low k materials have been proposed. Many of these alternatives follow the same basic general approach discussed above but vary the choice of ingredients used in the coating solution, the processing times and/or temperatures; combine certain steps; and/or divide other steps into various substeps.
For example, an alternate process for depositing and forming a hardened and stable ELK film according to an embodiment of the present invention is provided below. Using this alternate process, an ELK film was deposited based on a sol-gel-based process as described above. A precursor solution containing at least a silica precursor composed primarily of a silicon/oxygen compound, water, a solvent, a surfactant and a catalyst was formed. The precursor solution was spun on the wafer and the wafer thermally treated by being baked in a chamber at various temperatures between about 90° C. and 450° C. for between about 30 and 3600 seconds in inert or oxidizing environments having pressures in the range from about 0.1 Torr to atmospheric. The silicon/oxygen compound was selected from the group consisting of tetraethylorthosilicate, tetramethoxysilane, phenlytriethyloxy, methyltriethoxysilane and combinations thereof. The solvent was selected from the group consisting of ethanol, isopropanol, propylene glycol monopropyl ether, n-propanol, n-butanol, t-butanol, ethylene glycol and combinations thereof. The surfactant was a non-ionic surfactant selected for example from the group consisting of polyoxyethylene oxides-propylene oxides-polyethylene oxides triblock copolymers, octaethylene glycol monodecyl ether, octaethylene glycol monohexadecyl ether, Triton™ 100, Triton™ 114 and related compounds and combinations thereof More particularly, the precursor solution used in specific embodiments of this invention has the following composition: tetraethoxysilane (TEOS)—22.5 gms; methyltriethoxysilane (MTES)—22.5 gms; propylene glycol monopropyl ether (PGPE)—100 gms; 0.1N Nitric acid—24 gms; tetraethylammonium hydroxide (TMAH)(2.4% in water)—1.0 gms; and Triton X-114—9.67 gms (Triton 114 is trademark of a mixture of ethoxylated p-tert-octylphenols manufactured by the Union Carbide Corporation).
As can be recognized, numerous alternate embodiments of the ELK film may be deposited depending upon the choice of the spin-on solution ingredients and processing times and parameters. The ELK film deposited according to the embodiments of this process exhibits the following properties:
    • the film is composed essentially of Si—O and Si—CH3 bonds
    • dielectric constant in the range between 1.6 and 2.3
    • a porosity between 20% and 60%
    • a modulus of elasticity of between 1.4 and 10 GPa, and generally between 3 and 6 GPa
    • a hardness value between 0.4 and 2.0 GPa, and generally between 0.5 and 1.2 GPa
    • a refractive index at 633 nm of between 1.1 and 1.5
One apparatus that can be used for the atmospheric deposition of ELK films according to the embodiments of the invention described above is described in commonly assigned and copending U.S. patent application Ser. No. 09/502,126, entitled A PROCESS AND AN INTEGRATED TOOL FOR LOW K DIELECTRIC DEPOSITION INCLUDING A PECVD CAPPING MODULE, which is incorporated herein by reference in its entirety.
Having described examples of particular ELK films, materials and methods of capping such films are described below. While it has become apparent that forming ELK films having k<2.5 is feasible, such films which are porous are faced with more challenging downstream wafer processing integration issues, which require unique methods and materials to isolate these ELK films from other layers. As described above, a capping layer and its method of deposition on an ELK film must not degrade the underlying film's desired properties. Furthermore, the capping layer should preferably possess a low dielectric constant value to ensure that the gains made in depositing an ELK film are not adversely offset by capping it with a high dielectric constant film and effectively increasing the overall dielectric constant for the capped film stack.
SiC and CDO Materials as Capping Layers for ELLK Films
Embodiments of the present invention provide a silicon-carbide-type or alternately a silicon oxycarbide (also often called carbon-doped-oxide [CDO] or organosilicate glass) capping material, formed according to certain process regimes useful as a capping layer for an integrated circuit, and particularly for an integrated circuit using copper as a conductive material and ELK films as dielectrics. The capping material may be an amorphous, hydrogenated silicon carbide type material such as the commercially available BLOk™ material, or a carbon-doped oxide material such as the commercially available Black Diamond™ both of which are developed by Applied Materials of Santa Clara, Calif. The amorphous silicon carbide (a-SiC) material is deposited using a plasma process in a non-oxidizing environment and the CDO-type material is deposited using an oxygen-starved plasma process. As used herein, an oxygen-starved plasma process is one in which there is sufficient oxygen to react with the precursor to form the CDO-type material, but substantially no excess oxygen is available to react with the substrate. The precursor may be a combination of a silicon-containing precursor and a carbon-containing precursor, an organosilane precursor, or the like.
The CDO material offers the advantageous property of having a lower dielectric constant value of less than 3 as opposed to the a-SiC material which has a dielectric constant of approximately 4.5. The CDO material, besides having a lower dielectric constant, also has superior adhesion characteristics with respect to the underlying ELK material. Additionally, the non-oxidative or oxygen-starved plasma processes do not significantly degrade the underlying film's chemical and electrical properties because at these oxygen levels the plasma processes do not result in the complete oxidation of the organosilane or the organic component of the underlying ELK film. However, experiments have indicated that despite its higher dielectric constant, the a-SiC-type material (e.g. BLOk™) may be used to generate capped ELK films with similar or even reduced dielectric constants relative to lower k capped films, and may provide composite (i.e. ELK+cap) structures exhibiting superior k stability. The process conditions and experimental results are described below.
As described above, and used herein, a capping layer can be a barrier layer, an etch stop, a CMP stop, or other barrier layers isolating an underlying ELK film from other materials subsequently deposited or etched. Embodiments of the present invention also provide processing regimes that include using a silane-based compound for a silicon source in some embodiments and an organosilane as a silicon and carbon source and potentially in the absence of a substantial amount of oxygen. The process regimes also include the presence of inert gases, such as helium or nitrogen, and at certain temperatures, pressures, power outputs in a PECVD chamber to produce the capping material according to embodiments of the present invention. This particular capping material is especially useful as a capping layer for an ELK film, and may be especially useful in complex structures, such as a damascene structure.
Table 1 below shows some general requirements for a capping layer using copper as a conductive material, although other conductors may be applicable.
TABLE 1
Desirable Characteristics of
Dielectric Capping Material
DESIRABLE CHARACTERISTICS OF
DIELECTRIC CAPPING LAYER
Good Adhesion Adhesion to Underlying (ELK Film)
and Overlying Layers
Not Degrade Underlying Film Non-oxidizing Deposition Process
Good Barrier Property to Copper No Copper diffusion at 400°-450° C.
Lower Dielectric Constant Overall Low Dielectric Constant (K) in
IMD Damascene Stack
Adjustable (High or Low) Tuned Composition to match or contrast
Etch Selectivity ELK Film to Effect Selectivity
with respect to ELK Film High breakdown Voltage
Good Electrical Properties Low Leakage
Referring to Table 1, adequate adhesion between layers is required to avoid or reduce delamination between them. A measure of adhesion strength is the stud pull test. Using this criterion, an adhesion strength greater than 35 MPa is desired, and tests showed that an adhesion strength of at least 35 MPa was obtained for the capping layer when deposited in accordance with the process described below. The capping material must be such that its deposition process does not degrade the underlying ELK film. This criterion is satisfied by depositing the capping material from a non-oxidizing or oxygen-poor plasma. In case of the oxygen-poor plasma, all or most of the oxygen in the process gas should be consumed in the reaction with the organosilane such that no oxygen is left free to react with the chemical structure of the underlying ELK film. The capping material should also have substantially no diffusion at the substrate annealing temperature of, for example, 400°-450° C. The term “no substantial” diffusion is intended to be a functional term, such that any actual diffusion into the layer is less than would affect the ability of the capping layer to function as such. For instance, the silicon carbide of an embodiment of the present invention limits the diffusion to about 250Å. The copper diffusion may impair the desired current and voltage paths and contribute to cross-talk. Because of the decreasing feature size, as described above, the lower dielectric constant, preferably less than 5, the lower is the probability for cross talk and RC delay which degrades the overall performance of the device. Related to the low dielectric value of the film is the overall stack dielectric value, which corresponds to the overall dielectric value of the combined capped ELK film (i.e. ELK film and capping layer), where a desirable value should be 3.0 or less.
Because the barrier layer may be used in a damascene structure, it would be beneficial to also have suitable etch stop characteristics, such as an etch selectivity ratio of 1:3 or preferably lower with respect to ELK materials. On the other hand, it may be advantageous to tune the composition of the capping layer to match that of the ELK film to have a low etch selectivity and hence allow for single pass etch of the capping layer and the ELK film. A low etch selectivity may be used in which a via pattern is transferred through the capping layer and into the ELK film at the same time the photoresist is removed. Additionally the capping material should have a high breakdown voltage of 2 MV/cm or more. It should also have a low leakage through the layer, i.e., a low stray direct current that capacitively flows through the material. Another desired characteristic from a commercial standpoint is that the material should be compatible with other processes, so the processes may be conducted in-situ. i.e. in a given chamber, such as in an integrated cluster tool arrangement, without exposing the material to a contaminating environment, to produce better throughput and process control. This aspect may be particularly important with ELK films, because of their porosity and susceptibility to moisture absorption.
Table 2 below shows the process parameters used in a chamber that allows the film to be used as a capping layer in accordance with specific embodiments of the present invention. In the embodiments tested, the silicon and carbon were derived from a common compound, such as an organosilane-based compound. However, the carbon could be supplemented with other compounds, such as methane. Without limitation, suitable silane-based compounds could include: methylsilane(CH3SiH3), dimethylsilane((CH3)2SiH2), trimethylsilane((CH3)3SiH), diethylsilane((C2H5)2SiH2), propylsilane(C3H8SiH3), vinyl methylsila(CH2═CH)CH3SiH2), 1,1,2,2-tetramethyl disilane(HSi(CH3)2—Si(CH3)2H), hexamethyl disilane((CH3)3Si—Si(CH3)3), 1,1,2,2,3,3-hexamethyl trisilane(H(CH3)2Si—Si(CH3)2—SiH(CH3)2), 1,1,2,3,3-pentamethyl trisilane(H(CH3)2Si—SiH(CH3)—SiH(CH3)2), and other silane related compounds. In addition to organosilanes exemplified by the above list, organosiloxanes such as tetramethyl cyclotetrasiloxane may be used, with or without the addition of another oxygen source, for the deposition of CDO type caps. For the purpose of this invention, the term “organosilane” as used herein includes any silane-based compound having at least one carbon atom attached, including the preceding list, unless otherwise indicated. In Table 2, the compound used was trimethylsilane (“3MS”). A process gas, such helium, nitrogen, or oxygen was present and might assist in stabilizing the process, although other gases could be used.
TABLE 2
Process Parameters for Capping Layer Deposition
a-SIC + CDO
Dep 1: a-SIC;
Parameter Range CDO a-SIC Dep 2; CDO
Silicon 50- 600 sccm 160 sccm 300 sccm 600 sccm
and Carbon 2400 sccm
(3MS) (6 ×
oxygen
flow)
Oxygen  0- 100 sccm 0 0 100 sccm
400 sccm
Helium  0- 0 400 sccm 0 0
5000 sccm
Nitrogen  0- 0 0 0 0
5000 sccm
RF Power 100- 590 W 560 W 300 W 590 W
900 W
Pressure 1-15 Torr 3.8 Torr 8.7 Torr 3.8 Torr 3.8 Torr
Temperature 350°- 400° C. 400° C. 400° C. 400° C.
450° C.
Spacing 200- 280 mil 280 mil 280 mil 280 mil
600 mil
The inventors have discovered that the process regime described above establishes the suitability of the capping material in meeting the desired criteria of a capping layer for ELK films. As used herein, the capping material includes both the a-SiC and the CDO materials. Using these process regimes, the capping layer can have a low dielectric constant of about 5 or less and can be extended to 3.5 or less by addition of some oxygen. Moreover, the effective dielectric constant of the stack composed of the ELK layer and the cap layer can be less than 2.5 for relatively thin cap layers. This effective dielectric constant meets the needs of a suitable capping layer especially for an ELK and copper-based integrated circuit. The capping material is also suitable as a low k, etch stop material. A low k etch stop material is defined herein as an etch stop material having a dielectric constant equal to or lower than that of silicon nitride (dielectric constant greater than or equal to 7.0) and having a relative oxide to etch selectivity of 2 to 1 or greater. This ratio allows greater control over the etching process and is particularly useful when etching a complex structure, such as a damascene structure. Also by tuning the composition of the capping layer to match that of the ELK film, thus having a low etch selectivity, allows for single pass etch of the capping layer and the ELK film. A low etch selectivity may be used such that a via pattern is transferred through the capping layer and into the ELK film at the same time the photoresist is removed.
To form the capping layer in the preferred process regime, a silicon source such as trimethylsilane may be supplied to a plasma reactor, specifically a reaction zone in a chamber that is typically between the substrate surface and the gas dispersion element, such as a “showerhead,” commonly known to those with ordinary skill in the art. Typical commercial PECVD chambers that may be used to practice embodiments of this invention to cap an ELK film, are the DxZ and Producer chambers produced by Applied Materials, Inc. of Santa Clara, Calif. Alternately, the entire stack (i.e. formation of ELK film and PECVD cap) may be formed in an integrated atmospheric deposition and vacuum cap system as described above. The sequence of operation of a commercial PECVD chamber is well known and needs no explanation for the embodiments of the present invention process regimes. The capping layer may be deposited at a temperature from 100-450° C.
CDO Cap Deposition
Using such a PECVD chamber, a precursor source such as a trimethylsilane may be provided at a flow rate of about 600 standard cubic centimeters per minute (sccm). The reaction may occur without a substantial source of oxygen introduced into the reaction zone; more particularly a flow of 100 sccm of oxygen gas is used. In conjunction with the silicon and carbon sources, a process gas, such as helium or nitrogen, may flow into the chamber at a rate of about 0-5000 sccm each respectively. The chamber pressure is maintained between about 1 and 15 Torr, and more particularly 3.8 Torr. An RF power source may apply between about 100 and 900 watts, and preferably about 590 watts to the anode and cathode to form the plasma in the chamber with the silane-based gas. The substrate surface temperature may be maintained between about 300° and 450° C., and more particularly about 400° C. during the deposition of the capping layer. The gas may be dispersed at a showerhead to substrate spacing distance between about 200 and 600 mils, and more particularly at 280 mil. Using this recipe a deposition rate of approximately 3700 Å/min was achieved. The measured thickness for the combined capped ELK film was approximately 5270 Å, and the dielectric constant for the capped ELK film was 2.38. The ELK film's dielectric constant was measured to be 2.16. Alternately, an ELK film was capped with a layer of a-SiC material as described below.
a-SiC Cap Deposition
Using such a PECVD chamber, a silicon carbide source such as a trimethylsilane may be delivered at a flow rate of about 160 standard cubic centimeters per minute (sccm). The reaction occurs without any source of oxygen introduced into the reaction zone. In conjunction with the trimethylsilane (silicon and carbon sources), a process gas, such as helium or nitrogen, may flow into the chamber at a rate of about 0-5000 sccm each respectively; more particularly, a flow of 400 sccm of helium is used. The chamber pressure is maintained between about 1 and 15 Torr, and more particularly 3.8 Torr. An RF power source may apply between about 100 and 900 watts, and preferably about 560 watts to the anode and cathode to form the plasma in the chamber with the silane-based gas. The substrate surface temperature may be maintained between about 350° and 450° C., and more particularly about 400° C. during the deposition of the capping layer. The gas may be dispersed at a showerhead to substrate spacing distance between about 200 and 600 mils, and more particularly at 280 mil. Using this recipe a deposition rate of approximately 3700 Å/min was achieved. The measured thickness for the combined capped ELK film was approximately 5222 Å, and the dielectric constant for the capped ELK film was 2.22. The ELK film's dielectric constant was measured to be 2.16. Alternately, an ELK film may be capped with a combined capping layer by first depositing an a-SiC cap followed by CDO cap as described below.
Combined a-SiC and CDO Cap Deposition
For the first deposition, namely the a-SiC deposition, a silicon carbide source such as a trimethylsilane may be provided at a flow rate of about 300 standard cubic centimeters per minute (sccm). The reaction occurs without any oxygen introduced into the reaction zone. The chamber pressure is maintained between about 1 and 15 Torr, and more particularly 3.8 Torr. An RF power source may apply between about 100 and 900 watts, and preferably about 300 watts to form the plasma in the chamber with the trimethylsilane. The substrate surface temperature may be maintained between about 350° to 450° C., and more particularly about 400° C. during the deposition of the a-SiC capping layer. The gas may be dispersed at a showerhead to substrate spacing distance between about 200 and 600 mils, and more particularly at 280 mil. Next, a CDO cap is deposited on the a-SiC cap. Using the same PECVD chamber, an organosilane such as trimethylsilane at a flow rate of about 600 standard cubic centimeters per minute (sccm) may be used. The carbon may be derived from the trimethylsilane or methylsilane. The reaction may occur without a substantial source of oxygen introduced into the reaction zone; more particularly a flow of 100 sccm of oxygen gas is used. The chamber pressure is maintained between about 1 and 15 Torr, and more particularly 3.8 Torr. An RF power source may apply between about 100 and 900 watts, and preferably about 600 watts to the anode and cathode to form the plasma in the chamber. The substrate surface temperature may be maintained between about 350° and 450° C., and more particularly about 400° C. during the deposition of the capping layer. The gas may be dispersed at a showerhead to substrate spacing distance between about 200 and 600 mils, and more particularly at 280 mil. Using this recipe a deposition rate of approximately 3700 Å/min was achieved. The measured thickness for the combined capped ELK film was approximately 5107 Å, and the dielectric constant for the capped ELK film was 2.15. The ELK film's dielectric constant was measured to be 2.16.
As described above, The capping layer may be a film such as the commercially available BLOk™ material, or a CDO type film compound such as the commercially available Black Diamond™ both of which are developed by Applied Materials of Santa Clara, Calif. The a-SiC compound is deposited using a plasma process in a non-oxidizing environment and the CDO compound is deposited using an oxygen-starved plasma process.
The advantages of such a capping layer for ELK films as compared to traditional capping layers such as silicon oxide and silicon nitride are numerous. The non-oxidative or alternately the oxygen-starved process regime of embodiments of the present invention does not allow any substantial reaction between the plasma and the Si—C, C—H, and Si—H (if any) bonds present in the underlying ELK film and thus no substantial degradation in the ELK film's desirable dielectric and moisture-repelling properties is observed. Depositing silicon oxide as a capping layer in an oxidizing plasma environment would burn out the carbon in the ELK film, and degrade the ELK film's desirable properties. A set of tests carried out by the inventors, where a 1000 Å SiC capping layer was deposited on a 5000 Å ELK film, showed that overall stack dielectric values of 3.0 or less were achieved, which indicated that the deposition recipe, described below, did not adversely impact the ELK film's properties. The ELK layer alone had a k of 2.0.
Additional tests carried out by the inventors using processes described above, involved the capping of the ELK film with three different capping layers. The first capping layer was the CDO cap; the second was the a-SiC cap, and the third was a two layer cap consisting of first capping the ELK film with an a-SiC cap and then with a CDO layer. The process conditions used for these tests are described above. The results of these experiments were quite unexpected in that the overall dielectric constant of the capped ELK film which was capped with a higher dielectric constant cap was actually lower than the overall dielectric constant of the capped ELK film which was capped with a lower dielectric constant cap.
The CDO material offers the advantageous property of having a lower dielectric constant value of less than 3 as opposed to the a-SiC material which has a dielectric constant of approximately 4.5. Additionally, the oxygen-starved plasma processes do not significantly degrade the underlying film's chemical and electrical properties. However, as stated above, experiments have indicated that despite its higher dielectric constant, the a-SiC-type material (e.g. BLOk™) may be used to generate capped ELK films with similar or even reduced dielectric constants relative to lower k capped films, and may provide composite (i.e. ELK+cap) structures exhibiting superior k stability. These experiments support the unexpected result that films capped with the higher k SiC type film give better overall k values and k stability for the stack than films capped with the lower k SiC material. The inventors believe that this is due to the fact that the lower k cap (CDO) deposition may induce some oxidation of the underlying ELK film during the deposition process. Also because of the oxidation of the ELK film and the lower effectiveness of CDO layers as moisture barriers, the k stability for the ELK-CDO stack is worse than the ELK-a-SiC stack, as shown in Table 3 below.
TABLE 3
Summary Results of SiC Capped ELK Films
Wafer ID Description k k after H2O % k increase
356 Elk 2.16 2.28 5.9
247 Elk, CDO 2.38 2.47 3.6
244 Elk, a-Si-C 2.22 2.25 1.6
354 Elk, a-Sic-CDO 2.15 2.21 2.8
The results summarized in Table 3 above are unexpected in that one would anticipate that a film stack consisting of an ELK film and a capping layer would exhibit a lower overall k when capped with a lower k capping layer. It is known that CDO films have a lower k (approximately 3) as compared to a-SiC films (approximately 4.5). However as the results of experiments show, the ELK film capped with the a-SiC exhibits a lower overall k. As shown above, the ELK film stack capped with an a-SiC material has an overall k of 2.22 as compared to the film stack capped with a CDO material which has a k of 2.38. Moreover, the a-Sic capped ELK film exhibits a better k stability. K stability is a measure of the moisture absorption of the film stack. The test is carried out by soaking the film stack in water and then measuring the k of the stack and comparing the post soak k to the non-soaked k. As can be seen from Table 3, the non-capped film experienced a 5.9% increase in its k value due to moisture intake, and of the capped films, the a-SiC capped film only experienced a 1.6% increase in k as compared to the CDO capped film which showed a 3.6% increase in its k value. The inventors having observed the unexpected advantage of capping the ELK film with an a-SiC material, conducted additional tests to determine whether a hybrid cap could be developed. The hybrid cap is one that takes full advantage of the non-oxidizing effect of the a-SiC deposition and the lower k of the CDO films. The last row in Table 3 above shows the results for such a case. The deposition recipe for this case was also described above. The desire to have a hybrid cap is driven by the demands of future ICs to have the lowest k cap but not at the expense of damaging the k value of the underlying ELK films. The inventors believe that an optimum hybrid cap may use an a-SiC cap deposited in a non-oxidizing environment to seal and cap the ELK film, and a CDO film to achieve a lower cap k value. This hybrid cap provides the additional and improved adhesion properties, since CDO films are known to adhere better to layers that may subsequently be deposited on the capped ELK film stack. As shown on Table 3, the hybrid cap exhibits an even lower k than the other capped films. The inventors believe that the a-SiC cap may actually be improving the k value of the underlying ELK film by an infiltration-type process. These results suggest that ELK films capped with an a-SiC cap in a non-oxidizing environment are not damaged and are adequately capped by being isolated from other layers.
Other capping materials such as the traditional silicon nitride cap has a relatively high dielectric constant. Although the high dielectric value could be addressed by depositing a relatively thin layer, its deposition can involve the use of an ammonia-based (NH3) process gas. Such a process gas also reacts with and is detrimental to the underlying ELK film. Lastly and importantly, the adhesion between silicon-carbide type films as taught by the embodiments of the present invention are superior to other PECVD-based films. For example, the SiC material of the present invention has a high adhesion strength with test data showing an adhesion strength of greater than 5 ksi.
Having fully described several embodiments of the method of the present invention for depositing and capping a particular type of ELK film as described above, many other equivalent or alternative methods of depositing and capping ELK layers according to the present invention will be apparent to those skilled in the art. Additionally, the capping layer and the method of depositing the capping layer as described herein are equally applicable and equally advantageous as capping layers for other low dielectric constant or other ELK films. Low dielectric constant or ELK films other than the hybrid carbon doped porous oxide (“CDPO”) described above can be other hybrid, organic and inorganic films including the porous variants thereof. Examples of organic films are Dow Chemical's SiLK (a spin-on low k material (k between 2.6-2.8)) and FLARE from Allied Signal, and porous versions of these films. Examples of other hybrid films are HSQ and MSQ films and their respective porous variants. These equivalents and alternatives are intended to be included within the scope of the present invention which is set forth in the following claims.

Claims (54)

1. A process for capping an extremely low dielectric constant (“ELK”) film for a semiconductor device, the process comprising:
forming an ELK film on a substrate; and
depositing an amorphous silicon carbide capping layer on said ELK film,
wherein the amorphous silicon carbide capping layer remains in the semiconductor device.
2. The process of claim 1 further comprising forming a carbon-doped silicon oxide capping layer on the amorphous silicon carbide capping layer, wherein the carbon-doped oxide layer has a dielectric constant less than the dielectric constant of the amorphous silicon carbide capping layer.
3. The process of claim 1 wherein said amorphous silicon carbide capping layer is hydrogenated, and has a dielectric constant of approximately 4.5.
4. The process of claim 1 wherein said amorphous silicon carbide capping layer does not adversely react with said ELK film to substantially degrade said ELK film's dielectric property.
5. The process of claim 1 wherein said amorphous silicon carbide capping layer has an adhesion strength to said ELK film of greater than 35 MPa.
6. The process of claim 1 wherein said amorphous silicon carbide capping layer permits no substantial penetration of moisture.
7. The process of claim 4 wherein said ELK film has a dielectric constant of less than 2.5.
8. The process of claim 1 wherein the ELK film comprises Si—O bonds.
9. The process of claim 1 wherein said amorphous silicon carbide capping layer is copper diffusion resistant.
10. The process of claim 9 wherein said ELK film has a dielectric constant of less than 2.5.
11. The process of claim 1 wherein a combined dielectric constant for a stack comprising said ELK film and said silicon carbide capping layer is less than 3.0.
12. The process of claim 11 wherein the combined dielectric constant is less than 2.5.
13. The process of claim 1 wherein said amorphous silicon carbide capping layer is an amorphous, hydrogenated silicon carbide layer deposited by:
introducing a silicon containing precursor, a carbon containing precursor, and a carrier gas into a chamber; and
applying energy to react said silicon containing precursor and said carbon containing precursor to deposit said amorphous, hydrogenated silicon carbide capping layer on said ELK film in a non-oxidizing environment.
14. The process of claim 13 wherein said silicon containing precursor comprises an organosilane compound.
15. The process of claim 13 wherein said silicon containing precursor and carbon containing precursor are derived from a common organosilane precursor.
16. The process of claim 13 wherein said silicon carbide capping layer is deposited at a temperature of between approximately 100° to 450° C.
17. The process of claim 13 wherein applying energy comprises generating a plasma in said chamber.
18. The process of claim 1 further comprising depositing a carbon-doped oxide layer on said amorphous silicon carbide capping layer.
19. The process of claim 18 wherein said carbon-doped oxide layer is a carbon-doped silicon oxide layer formed by:
introducing a silicon containing precursor, a carbon containing precursor, and a process gas into a chamber, said process gas including oxygen; and
providing a plasma in said chamber to react said silicon containing precursor and said carbon containing precursor in the presence of said plasma to deposit said carbon-doped silicon oxide layer on said amorphous silicon carbide capping layer.
20. The process of claim 19 wherein said oxygen is introduced at a rate to produce an oxygen-starved plasma for depositing said carbon-doped silicon oxide layer.
21. The process of claim 19 wherein said silicon containing precursor and carbon containing precursor are derived from a common organosilane precursor.
22. The process of claim 21 wherein said organosilane precursor is provided at a rate approximately six times that of the flow of oxygen gas.
23. The process of claim 1 wherein the ELK film comprises a porous material.
24. The process of claim 23 wherein the porous material comprises silicon oxide.
25. The process of claim 23 wherein the capping layer is within a stack of ELK films.
26. The process of claim 23 wherein the capping layer has a breakdown voltage of 2 MV/cm or more.
27. A process for capping an extremely low dielectric constant (“ELK”) film using a silicon carbide material in a semiconductor device, the process comprising:
forming an ELK film on a substrate; and
depositing a silicon carbide capping layer having a dielectric constant of approximately less than 5 on said ELK film, where said silicon carbide layer is produced by a process providing a silicon containing precursor, a carbon containing precursor and process gases comprising oxygen, helium and nitrogen, and providing said silicon containing precursor and said carbon containing precursor at a rate approximately six times that of the oxygen and further comprising reacting said silicon and said carbon containing precursor in a chamber having a pressure in the range of about 1 to 15 Torr with an RF power source supplying a power at approximately 300-600 watts and a substrate surface temperature between approximately 100° and approximately 450° C. and having a shower head to substrate spacing of approximately 200 to approximately 600 mils, and wherein said capping layer has an adhesion strength of at least about 35 MPa to said ELK film, and wherein the dielectric constant for a stack consisting of said ELK film and said silicon carbide layer is at most approximately 3.0, and
wherein the silicon carbide capping layer remains in the semiconductor device.
28. A stack having a capped extremely low dielectric constant (“ELK”) layer for a semiconductor device, the stack comprising:
a substrate;
an ELK layer formed on said substrate;
an amorphous silicon carbide layer deposited on said ELK layer; and
a carbon-doped oxide layer deposited on said amorphous silicon carbide layer, wherein the amorphous silicon carbide layer and the carbon-doped oxide layer remain in the semiconductor device.
29. The stack of claim 28 wherein said amorphous silicon carbide layer is deposited from a silicon-containing and carbon-containing precursor in a non-oxidizing environment.
30. The stack of claim 28 wherein said carbon-doped oxide layer is a carbon-doped silicon oxide layer.
31. The stack of claim 28 wherein said carbon-doped oxide layer comprises about 30-50 atomic % oxygen.
32. The stack of claim 28 wherein said amorphous silicon carbide layer has an effective dielectric constant of approximately less than 5.
33. The stack of claim 28 wherein said carbon doped oxide layer has an effective dielectric constant of approximately less than 3.5.
34. The stack of claim 28 wherein said amorphous silicon carbide layer has an adhesion strength to said ELK layer of at least about 35 MPa.
35. The stack of claim 28 wherein said amorphous silicon carbide layer is a moisture resistant layer.
36. The stack of claim 28 wherein said carbon-doped oxide layer is produced in an oxygen-starved plasma.
37. The stack of claim 28 wherein the ELK film comprises Si—O bonds.
38. The stack of claim 28 wherein said amorphous silicon carbide layer is an amorphous, hydrogenated silicon carbide layer having less than about 5 atomic % oxygen.
39. The stack of claim 38 wherein said amorphous silicon carbide layer is a hydrogenated amorphous silicon carbide layer that has substantially no oxygen.
40. The stack of claim 28 wherein said carbon-doped oxide layer comprises about 10-30 atomic % carbon.
41. The process of claim 45 wherein the capping layer comprises the amorphous silicon carbide film.
42. The stack of claim 28 wherein said stack has a combined dielectric constant of approximately less than 3.
43. The stack of claim 42 wherein said stack has a combined dielectric constant of approximately less than 2.5.
44. A stack having a capped extremely low dielectric constant (“ELK”) layer, comprising:
a substrate;
an ELK layer formed on said substrate;
an amorphous silicon carbide layer deposited on said ELK layer; and
a carbon-doped oxide layer deposited on said amorphous silicon carbide layer,
wherein said carbon-doped oxide layer is produced by a process providing a silicon containing precursor, a carbon containing precursor and process gases comprising oxygen, helium and nitrogen, and providing said silicon containing precursor and said carbon containing precursor at rate approximately six times that of the oxygen and further comprising reacting said silicon and said carbon containing precursor in a chamber having pressure in the range of about 1 to 15 Torr with an RF power source supplying a power at a rate of approximately 300-600 watts and a substrate surface temperature between approximately 100° and approximately 450° C. and having a shower head to substrate spacing of approximately 200 to approximately 600 mils.
45. A process for capping a low dielectric constant film in a semiconductor device, the method comprising:
forming a porous, low-dielectric constant film on a substrate; and
depositing a capping layer on the low-dielectric constant film, wherein the capping layer comprises a carbon-doped oxide or an amorphous silicon carbide film, and wherein the capping layer has a dielectric constant of about 5.0 or less,
wherein the capping layer remains in the semiconductor device.
46. The process of claim 45 wherein the capping layer has a dielectric constant of about 4.5 or less.
47. The process of claim 45 wherein the capping layer is in direct contact with the porous, low-dielectric constant film.
48. The process of claim 45 wherein the porous, low-electric constant film has a dielectric constant less than about 2.5.
49. The process of claim 45 wherein the porous, low dielectric constant film and the capping layer are in a stack of layers, and wherein the stack of layers has an effective dielectric constant less than about 3.0.
50. The process of claim 45 wherein the porous, low dielectric constant film comprises Si—O bonds.
51. The process of claim 45 wherein the porous, low dielectric constant film comprises silicon oxide.
52. The process of claim 45 wherein the capping layer is within a stack of ELK films.
53. The process of claim 45 wherein the capping layer has a breakdown voltage of 2 MV/cm or more.
54. The process of claim 45 wherein the capping layer comprises the carbon-doped oxide.
US09/692,527 1999-10-18 2000-10-18 Capping layer for extreme low dielectric constant films Expired - Lifetime US6875687B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US09/692,527 US6875687B1 (en) 1999-10-18 2000-10-18 Capping layer for extreme low dielectric constant films

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16005099P 1999-10-18 1999-10-18
US09/692,527 US6875687B1 (en) 1999-10-18 2000-10-18 Capping layer for extreme low dielectric constant films

Publications (1)

Publication Number Publication Date
US6875687B1 true US6875687B1 (en) 2005-04-05

Family

ID=34380632

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/692,527 Expired - Lifetime US6875687B1 (en) 1999-10-18 2000-10-18 Capping layer for extreme low dielectric constant films

Country Status (1)

Country Link
US (1) US6875687B1 (en)

Cited By (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030203110A1 (en) * 2000-10-18 2003-10-30 Arora Pramod K. Composition with film forming alkylsilsesquioxane polymer and method for applying hydrophobic films to surfaces
US20050056835A1 (en) * 2003-09-12 2005-03-17 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US20050056940A1 (en) * 2003-09-12 2005-03-17 Sandhu Gurtej S. Masking structure having multiple layers including an amorphous carbon layer
US20050101154A1 (en) * 1999-06-18 2005-05-12 Judy Huang Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US20050242440A1 (en) * 2004-04-28 2005-11-03 Fujitsu Limited Insulating film forming method capable of enhancing adhesion of silicon carbide film, etc. and semiconductor device
US20060051973A1 (en) * 2004-09-09 2006-03-09 Yi-Lung Cheng Method for forming IMD films
US20060180922A1 (en) * 2001-06-27 2006-08-17 International Business Machines Corporation Dielectric material
US20060204742A1 (en) * 2002-06-03 2006-09-14 Shipley Company, L.L.C. Electronic device manufacture
US20070190777A1 (en) * 2006-02-13 2007-08-16 Ying Bing Jiang Method of making dense, conformal, ultra-thin cap layers for nanoporous low-k ild by plasma assisted atomic layer deposition
US20070298163A1 (en) * 2006-06-27 2007-12-27 Lam Research Corporation Repairing and restoring strength of etch-damaged low-k dielectric materials
US20080081130A1 (en) * 2006-09-29 2008-04-03 Applied Materials, Inc. Treatment of effluent in the deposition of carbon-doped silicon
US20080254641A1 (en) * 2004-01-13 2008-10-16 Tokyo Electron Limited Manufacturing Method Of Semiconductor Device And Film Deposition System
US20090053902A1 (en) * 2001-12-14 2009-02-26 Kang Sub Yim Low dielectric (low k) barrier films with oxygen doping by plasma-enhanced chemical vapor deposition (pecvd)
EP2048699A2 (en) * 2007-10-12 2009-04-15 Air Products and Chemicals, Inc. Antireflective coatings for photovoltaic applications
US20090096106A1 (en) * 2007-10-12 2009-04-16 Air Products And Chemicals, Inc. Antireflective coatings
US20090179357A1 (en) * 2005-08-12 2009-07-16 Mitsui Chemicals, Inc. Method and Apparatus for Producing Porous Silica
US20090179306A1 (en) * 2008-01-10 2009-07-16 International Business Machines Corporation ADVANCED LOW k CAP FILM FORMATION PROCESS FOR NANO ELECTRONIC DEVICES
US20090325384A1 (en) * 2008-06-27 2009-12-31 Noriteru Yamada Method of manufacturing semiconductor device
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US20100136789A1 (en) * 2008-12-01 2010-06-03 Air Products And Chemicals, Inc. Dielectric Barrier Deposition Using Oxygen Containing Precursor
US20100178468A1 (en) * 2006-02-13 2010-07-15 Jiang Ying-Bing Ultra-thin microporous/hybrid materials
US20100200954A1 (en) * 2009-02-06 2010-08-12 Applied Materials, Inc. Ion implanted substrate having capping layer and method
US20100230816A1 (en) * 2005-08-23 2010-09-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for forming the same
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
US20110159703A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US20110217851A1 (en) * 2010-03-05 2011-09-08 Applied Materials, Inc. Conformal layers by radical-component cvd
US20120276752A1 (en) * 2009-12-04 2012-11-01 Vishwanathan Rangarajan Hardmask materials
WO2012166618A2 (en) * 2011-06-03 2012-12-06 Applied Materials, Inc. Capping layer for reduced outgassing
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US20140210085A1 (en) * 2013-01-31 2014-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. Capping Layer for Improved Deposition Selectivity
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
TWI505364B (en) * 2009-12-04 2015-10-21 Novellus Systems Inc Hardmask materials
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US20160071959A1 (en) * 2014-09-04 2016-03-10 Sunedison Semiconductor Limited (Uen201334164H) Method of manufacturing high resistivity silicon-on-insulator substrate
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US9371579B2 (en) 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US20170372967A1 (en) * 2016-06-23 2017-12-28 Commissariat A L'energie Atomique Et Aux Energies Alternatives Process for fabricating a transistor structure including a plugging step
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10074559B1 (en) 2017-03-07 2018-09-11 Applied Materials, Inc. Selective poreseal deposition prevention and residue removal using SAM
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US10214816B2 (en) * 2010-03-25 2019-02-26 Novellus Systems, Inc. PECVD apparatus for in-situ deposition of film stacks
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US11049716B2 (en) 2015-04-21 2021-06-29 Lam Research Corporation Gap fill using carbon-based films
US20220098728A1 (en) * 2020-09-29 2022-03-31 Applied Materials, Inc. Method of in situ ceramic coating deposition

Citations (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4532150A (en) 1982-12-29 1985-07-30 Shin-Etsu Chemical Co., Ltd. Method for providing a coating layer of silicon carbide on the surface of a substrate
EP0405501A2 (en) 1989-06-27 1991-01-02 Kabushiki Kaisha Toshiba Semiconductor device
EP0725440A2 (en) 1995-02-02 1996-08-07 Dow Corning Corporation Silicon carbide metal diffusion barrier layer
US5548159A (en) 1994-05-27 1996-08-20 Texas Instruments Incorporated Porous insulator for line-to-line capacitance reduction
EP0771026A2 (en) 1995-10-23 1997-05-02 Dow Corning Corporation Method of forming air bridges
US5645891A (en) 1994-11-23 1997-07-08 Battelle Memorial Institute Ceramic porous material and method of making same
US5665845A (en) 1990-09-14 1997-09-09 At&T Global Information Solutions Company Electronic device with a spin-on glass dielectric layer
US5736425A (en) 1995-11-16 1998-04-07 Texas Instruments Incorporated Glycol-based method for forming a thin-film nanoporous dielectric
US5747880A (en) 1994-05-20 1998-05-05 Texas Instruments Incorporated Interconnect structure with an integrated low density dielectric
WO1998024724A1 (en) 1996-11-26 1998-06-11 Battelle Memorial Institute Mesoporous-silica films, fibers, and powders by evaporation
EP0851490A2 (en) 1996-12-25 1998-07-01 Nec Corporation Semiconductor device and process for production thereof
US5807607A (en) 1995-11-16 1998-09-15 Texas Instruments Incorporated Polyol-based method for forming thin film aerogels on semiconductor substrates
US5814370A (en) 1996-06-11 1998-09-29 Sandia Corporation Encapsulation of nanoclusters in dried gel materials via an inverse micelle/sol gel synthesis
EP0869515A1 (en) 1997-03-31 1998-10-07 Dow Corning Toray Silicone Company, Limited Composition and process for forming electrically insulating thin films
US5834845A (en) 1995-09-21 1998-11-10 Advanced Micro Devices, Inc. Interconnect scheme for integrated circuits
US5847443A (en) 1994-06-23 1998-12-08 Texas Instruments Incorporated Porous dielectric material with improved pore surface properties for electronics applications
US5858871A (en) 1994-05-27 1999-01-12 Texas Instruments Incorporated Porous insulator for line-to-line capacitance reduction
US5858457A (en) 1997-09-25 1999-01-12 Sandia Corporation Process to form mesostructured films
WO1999003926A1 (en) 1997-07-15 1999-01-28 Asahi Kasei Kogyo Kabushiki Kaisha Alkoxysilane/organic polymer composition for thin insulating film production and use thereof
WO1999023101A1 (en) 1997-10-31 1999-05-14 Alliedsignal Inc. Alcohol-based processors for producing nanoporous silica thin films
EP0917184A2 (en) 1997-10-31 1999-05-19 Dow Corning Corporation Electronic coatings having low dielectric constant
US5914508A (en) 1995-12-21 1999-06-22 The Whitaker Corporation Two layer hermetic-like coating process for on-wafer encapsulation of GaAs MMIC's
US5926740A (en) * 1997-10-27 1999-07-20 Micron Technology, Inc. Graded anti-reflective coating for IC lithography
WO1999037705A1 (en) 1997-12-09 1999-07-29 The Regents Of The University Of California Block polymer processing for mesostructured inorganic oxide materials
WO1999041423A2 (en) 1998-02-11 1999-08-19 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US5948482A (en) 1995-09-19 1999-09-07 University Of New Mexico Ambient pressure process for preparing aerogel thin films reliquified sols useful in preparing aerogel thin films
US5995140A (en) 1995-08-28 1999-11-30 Ultrak, Inc. System and method for synchronization of multiple video cameras
US6011123A (en) 1996-11-20 2000-01-04 Jsr Corporation Curable resin composition and cured products
US6015457A (en) 1997-04-21 2000-01-18 Alliedsignal Inc. Stable inorganic polymers
WO2000008679A1 (en) 1998-08-06 2000-02-17 Alliedsignal Inc. Deposition of nanoporous silica films using a closed cup coater
WO2000013221A1 (en) 1998-08-27 2000-03-09 Alliedsignal Inc. Process for optimizing mechanical strength of nanoporous silica
US6037275A (en) 1998-08-27 2000-03-14 Alliedsignal Inc. Nanoporous silica via combined stream deposition
US6107357A (en) 1999-11-16 2000-08-22 International Business Machines Corporatrion Dielectric compositions and method for their manufacture
US6120891A (en) 1997-10-29 2000-09-19 Board Of Regemts. The University Of Texas System Mesoporous transition metal oxide thin films and methods of making and uses thereof
US6140221A (en) * 1998-07-29 2000-10-31 Philips Electronics North America Corp. Method for forming vias through porous dielectric material and devices formed thereby
US6159295A (en) 1995-11-16 2000-12-12 Texas Instruments Incorporated Limited-volume apparatus for forming thin film aerogels on semiconductor substrates
US6162583A (en) 1998-03-20 2000-12-19 Industrial Technology Research Institute Method for making intermetal dielectrics (IMD) on semiconductor integrated circuits using low dielectric constant spin-on polymers
US6162838A (en) 1998-06-05 2000-12-19 Georgia Tech Research Corporation Porous insulating compounds and method for making same
US6163066A (en) 1997-02-07 2000-12-19 Micron Technology, Inc. Porous silicon dioxide insulator
US6168737B1 (en) 1998-02-23 2001-01-02 The Regents Of The University Of California Method of casting patterned dielectric structures
US6171687B1 (en) 1999-10-18 2001-01-09 Honeywell International Inc. Infiltrated nanoporous materials and methods of producing same
US6172128B1 (en) 1999-04-09 2001-01-09 Honeywell International Inc. Nanoporous polymers crosslinked via cyclic structures
US6184260B1 (en) 1999-12-13 2001-02-06 Dow Corning Corporation Method for making nanoporous silicone resins from alkylhydridosiloxane resins
US6187248B1 (en) 1998-11-19 2001-02-13 Air Products And Chemicals, Inc. Nanoporous polymer films for extreme low and interlayer dielectrics
US6197913B1 (en) 1999-08-26 2001-03-06 Dow Corning Corporation Method for making microporous silicone resins with narrow pore-size distributions
US6204202B1 (en) 1999-04-14 2001-03-20 Alliedsignal, Inc. Low dielectric constant porous films
US6208014B1 (en) 1998-07-07 2001-03-27 Alliedsignal, Inc. Use of multifunctional reagents for the surface modification of nanoporous silica films
US6270846B1 (en) 2000-03-02 2001-08-07 Sandia Corporation Method for making surfactant-templated, high-porosity thin films
US6277765B1 (en) 1999-08-17 2001-08-21 Intel Corporation Low-K Dielectric layer and method of making same
US6297459B1 (en) 1994-05-23 2001-10-02 General Electric Company Processing low dielectric constant materials for high speed electronics

Patent Citations (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4532150A (en) 1982-12-29 1985-07-30 Shin-Etsu Chemical Co., Ltd. Method for providing a coating layer of silicon carbide on the surface of a substrate
EP0405501A2 (en) 1989-06-27 1991-01-02 Kabushiki Kaisha Toshiba Semiconductor device
US5665845A (en) 1990-09-14 1997-09-09 At&T Global Information Solutions Company Electronic device with a spin-on glass dielectric layer
US5747880A (en) 1994-05-20 1998-05-05 Texas Instruments Incorporated Interconnect structure with an integrated low density dielectric
US6297459B1 (en) 1994-05-23 2001-10-02 General Electric Company Processing low dielectric constant materials for high speed electronics
US5858871A (en) 1994-05-27 1999-01-12 Texas Instruments Incorporated Porous insulator for line-to-line capacitance reduction
US5548159A (en) 1994-05-27 1996-08-20 Texas Instruments Incorporated Porous insulator for line-to-line capacitance reduction
US5847443A (en) 1994-06-23 1998-12-08 Texas Instruments Incorporated Porous dielectric material with improved pore surface properties for electronics applications
EP0689235B1 (en) 1994-06-23 2001-12-19 Texas Instruments Incorporated Porous dielectric material with improved pore surface properties for electronics applications
US6140252A (en) 1994-06-23 2000-10-31 Texas Instruments Incorporated Porous dielectric material with improved pore surface properties for electronics applications
US5645891A (en) 1994-11-23 1997-07-08 Battelle Memorial Institute Ceramic porous material and method of making same
EP0725440A2 (en) 1995-02-02 1996-08-07 Dow Corning Corporation Silicon carbide metal diffusion barrier layer
US5995140A (en) 1995-08-28 1999-11-30 Ultrak, Inc. System and method for synchronization of multiple video cameras
US5948482A (en) 1995-09-19 1999-09-07 University Of New Mexico Ambient pressure process for preparing aerogel thin films reliquified sols useful in preparing aerogel thin films
US5834845A (en) 1995-09-21 1998-11-10 Advanced Micro Devices, Inc. Interconnect scheme for integrated circuits
EP0771026A2 (en) 1995-10-23 1997-05-02 Dow Corning Corporation Method of forming air bridges
US5807607A (en) 1995-11-16 1998-09-15 Texas Instruments Incorporated Polyol-based method for forming thin film aerogels on semiconductor substrates
US6159295A (en) 1995-11-16 2000-12-12 Texas Instruments Incorporated Limited-volume apparatus for forming thin film aerogels on semiconductor substrates
US6171645B1 (en) 1995-11-16 2001-01-09 Texas Instruments Incorporated Polyol-based method for forming thin film aerogels on semiconductor substrates
US5736425A (en) 1995-11-16 1998-04-07 Texas Instruments Incorporated Glycol-based method for forming a thin-film nanoporous dielectric
US5914508A (en) 1995-12-21 1999-06-22 The Whitaker Corporation Two layer hermetic-like coating process for on-wafer encapsulation of GaAs MMIC's
US5814370A (en) 1996-06-11 1998-09-29 Sandia Corporation Encapsulation of nanoclusters in dried gel materials via an inverse micelle/sol gel synthesis
US6011123A (en) 1996-11-20 2000-01-04 Jsr Corporation Curable resin composition and cured products
US5922299A (en) 1996-11-26 1999-07-13 Battelle Memorial Institute Mesoporous-silica films, fibers, and powders by evaporation
WO1998024724A1 (en) 1996-11-26 1998-06-11 Battelle Memorial Institute Mesoporous-silica films, fibers, and powders by evaporation
EP0851490A2 (en) 1996-12-25 1998-07-01 Nec Corporation Semiconductor device and process for production thereof
US6163066A (en) 1997-02-07 2000-12-19 Micron Technology, Inc. Porous silicon dioxide insulator
EP0869515A1 (en) 1997-03-31 1998-10-07 Dow Corning Toray Silicone Company, Limited Composition and process for forming electrically insulating thin films
US6015457A (en) 1997-04-21 2000-01-18 Alliedsignal Inc. Stable inorganic polymers
WO1999003926A1 (en) 1997-07-15 1999-01-28 Asahi Kasei Kogyo Kabushiki Kaisha Alkoxysilane/organic polymer composition for thin insulating film production and use thereof
US5858457A (en) 1997-09-25 1999-01-12 Sandia Corporation Process to form mesostructured films
US5926740A (en) * 1997-10-27 1999-07-20 Micron Technology, Inc. Graded anti-reflective coating for IC lithography
US6120891A (en) 1997-10-29 2000-09-19 Board Of Regemts. The University Of Texas System Mesoporous transition metal oxide thin films and methods of making and uses thereof
WO1999023101A1 (en) 1997-10-31 1999-05-14 Alliedsignal Inc. Alcohol-based processors for producing nanoporous silica thin films
EP0917184A2 (en) 1997-10-31 1999-05-19 Dow Corning Corporation Electronic coatings having low dielectric constant
WO1999037705A1 (en) 1997-12-09 1999-07-29 The Regents Of The University Of California Block polymer processing for mesostructured inorganic oxide materials
WO1999041423A2 (en) 1998-02-11 1999-08-19 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6168737B1 (en) 1998-02-23 2001-01-02 The Regents Of The University Of California Method of casting patterned dielectric structures
US6162583A (en) 1998-03-20 2000-12-19 Industrial Technology Research Institute Method for making intermetal dielectrics (IMD) on semiconductor integrated circuits using low dielectric constant spin-on polymers
US6162838A (en) 1998-06-05 2000-12-19 Georgia Tech Research Corporation Porous insulating compounds and method for making same
US6208014B1 (en) 1998-07-07 2001-03-27 Alliedsignal, Inc. Use of multifunctional reagents for the surface modification of nanoporous silica films
US6140221A (en) * 1998-07-29 2000-10-31 Philips Electronics North America Corp. Method for forming vias through porous dielectric material and devices formed thereby
WO2000008679A1 (en) 1998-08-06 2000-02-17 Alliedsignal Inc. Deposition of nanoporous silica films using a closed cup coater
US6037275A (en) 1998-08-27 2000-03-14 Alliedsignal Inc. Nanoporous silica via combined stream deposition
WO2000013221A1 (en) 1998-08-27 2000-03-09 Alliedsignal Inc. Process for optimizing mechanical strength of nanoporous silica
US6187248B1 (en) 1998-11-19 2001-02-13 Air Products And Chemicals, Inc. Nanoporous polymer films for extreme low and interlayer dielectrics
US6172128B1 (en) 1999-04-09 2001-01-09 Honeywell International Inc. Nanoporous polymers crosslinked via cyclic structures
US6204202B1 (en) 1999-04-14 2001-03-20 Alliedsignal, Inc. Low dielectric constant porous films
US6277765B1 (en) 1999-08-17 2001-08-21 Intel Corporation Low-K Dielectric layer and method of making same
US6197913B1 (en) 1999-08-26 2001-03-06 Dow Corning Corporation Method for making microporous silicone resins with narrow pore-size distributions
US6171687B1 (en) 1999-10-18 2001-01-09 Honeywell International Inc. Infiltrated nanoporous materials and methods of producing same
US6107357A (en) 1999-11-16 2000-08-22 International Business Machines Corporatrion Dielectric compositions and method for their manufacture
US6184260B1 (en) 1999-12-13 2001-02-06 Dow Corning Corporation Method for making nanoporous silicone resins from alkylhydridosiloxane resins
US6270846B1 (en) 2000-03-02 2001-08-07 Sandia Corporation Method for making surfactant-templated, high-porosity thin films

Non-Patent Citations (8)

* Cited by examiner, † Cited by third party
Title
Hendricks, N., "Low K Materials for IC International Dielectric Applications: An Updated Status on the Leading Candidates," Low K Dielectric Mat. Tech., SEMICON West, B1-B12 (1999).
Loboda et al., "Deposition of Low-k Dielectric Films Using Trimethylsilane", Proceedings of the Electrochemical Society Symposia on Electrochemical Processing in ULSI Fabrication I and Interconnect and Contact Metallization, vol. 98-6, pp. 145-152, 1998.* *
Loboda et al., "Plasma-enhanced chemical vapor deposition of a SiC:H films from organosilicon precursors", J. Vac. Sci. Technol. A, 12(1), pp. 90-96, Jan./Feb. 1994.* *
M. Matsuura, et al.; A Highly Reliable Self-planarizing Low-k International Dielectric for Sub-quarter Micron Interconnects, Electron Devices Meeting, 1997, Technical Digest, International; Dec. 1997; IEEE (pp. 97-785 to 97-788).
Peters L., "Solving the Integration Challenges pf Low-k Dielectrics," Semiconductor Int'l, 58-64 (1999).
Rust, W., "Using Spin-on Dielectrics to Solve Interconnect Challenges," Channel TechWatch, vol. 10, No. 9 (1997).
Singer, P., "Dual-Damascene Challenges Dielectric Etch," Semiconductor Int'l., 68-72 (1999).
Xu, et al., "BLOk-A low-k Dielectric Barrier/Etch Stop Film for Copper Damascene Applications", Interconnect Technology, 1999, IEEE International Conferecne, pp. 109-111, May 1999.* *

Cited By (114)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050101154A1 (en) * 1999-06-18 2005-05-12 Judy Huang Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US7144606B2 (en) * 1999-06-18 2006-12-05 Applied Materials, Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US20030203110A1 (en) * 2000-10-18 2003-10-30 Arora Pramod K. Composition with film forming alkylsilsesquioxane polymer and method for applying hydrophobic films to surfaces
US20060180922A1 (en) * 2001-06-27 2006-08-17 International Business Machines Corporation Dielectric material
US7485964B2 (en) * 2001-06-27 2009-02-03 International Business Machines Corporation Dielectric material
US20090053902A1 (en) * 2001-12-14 2009-02-26 Kang Sub Yim Low dielectric (low k) barrier films with oxygen doping by plasma-enhanced chemical vapor deposition (pecvd)
US7745328B2 (en) 2001-12-14 2010-06-29 Applied Materials, Inc. Low dielectric (low k) barrier films with oxygen doping by plasma-enhanced chemical vapor deposition (PECVD)
US20060204742A1 (en) * 2002-06-03 2006-09-14 Shipley Company, L.L.C. Electronic device manufacture
US7132201B2 (en) 2003-09-12 2006-11-07 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US7220683B2 (en) 2003-09-12 2007-05-22 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US20060022247A1 (en) * 2003-09-12 2006-02-02 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US20060001175A1 (en) * 2003-09-12 2006-01-05 Micron Technology, Inc. Masking structure having multiple layers including an amorphous carbon layer
US7129180B2 (en) 2003-09-12 2006-10-31 Micron Technology, Inc. Masking structure having multiple layers including an amorphous carbon layer
US20060244086A1 (en) * 2003-09-12 2006-11-02 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US20050059262A1 (en) * 2003-09-12 2005-03-17 Zhiping Yin Transparent amorphous carbon structure in semiconductor devices
US20060003237A1 (en) * 2003-09-12 2006-01-05 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US7341957B2 (en) 2003-09-12 2008-03-11 Micron Technology, Inc. Masking structure having multiple layers including amorphous carbon layer
US20050056940A1 (en) * 2003-09-12 2005-03-17 Sandhu Gurtej S. Masking structure having multiple layers including an amorphous carbon layer
US7298024B2 (en) 2003-09-12 2007-11-20 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US20050056835A1 (en) * 2003-09-12 2005-03-17 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US7803705B2 (en) 2004-01-13 2010-09-28 Tokyo Electron Limited Manufacturing method of semiconductor device and film deposition system
US20080254641A1 (en) * 2004-01-13 2008-10-16 Tokyo Electron Limited Manufacturing Method Of Semiconductor Device And Film Deposition System
US20050242440A1 (en) * 2004-04-28 2005-11-03 Fujitsu Limited Insulating film forming method capable of enhancing adhesion of silicon carbide film, etc. and semiconductor device
US20070173054A1 (en) * 2004-04-28 2007-07-26 Fujitsu Limited Insulating film forming method capable of enhancing adhesion of silicon carbide film, etc. and semiconductor device
US7208405B2 (en) * 2004-04-28 2007-04-24 Fujitsu Limited Insulating film forming method capable of enhancing adhesion of silicon carbide film, etc. and semiconductor device
US7642185B2 (en) 2004-04-28 2010-01-05 Fujitsu Microelectronics Limited Insulating film forming method capable of enhancing adhesion of silicon carbide film, etc. and semiconductor device
US7253121B2 (en) * 2004-09-09 2007-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming IMD films
US20060051973A1 (en) * 2004-09-09 2006-03-09 Yi-Lung Cheng Method for forming IMD films
US20090179357A1 (en) * 2005-08-12 2009-07-16 Mitsui Chemicals, Inc. Method and Apparatus for Producing Porous Silica
US9978681B2 (en) * 2005-08-23 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device
US9123781B2 (en) * 2005-08-23 2015-09-01 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device and method for forming the same
US20150371943A1 (en) * 2005-08-23 2015-12-24 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device
US20100230816A1 (en) * 2005-08-23 2010-09-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for forming the same
US20100178468A1 (en) * 2006-02-13 2010-07-15 Jiang Ying-Bing Ultra-thin microporous/hybrid materials
US20070190777A1 (en) * 2006-02-13 2007-08-16 Ying Bing Jiang Method of making dense, conformal, ultra-thin cap layers for nanoporous low-k ild by plasma assisted atomic layer deposition
US8187678B2 (en) 2006-02-13 2012-05-29 Stc.Unm Ultra-thin microporous/hybrid materials
US20110186971A1 (en) * 2006-02-13 2011-08-04 Stc.Unm. Method of making dense, conformal, ultra-thin cap layers for nanoporous low-k ild by plasma assisted atomic layer deposition
US7947579B2 (en) * 2006-02-13 2011-05-24 Stc.Unm Method of making dense, conformal, ultra-thin cap layers for nanoporous low-k ILD by plasma assisted atomic layer deposition
US7807219B2 (en) 2006-06-27 2010-10-05 Lam Research Corporation Repairing and restoring strength of etch-damaged low-k dielectric materials
US20070298163A1 (en) * 2006-06-27 2007-12-27 Lam Research Corporation Repairing and restoring strength of etch-damaged low-k dielectric materials
US20080081130A1 (en) * 2006-09-29 2008-04-03 Applied Materials, Inc. Treatment of effluent in the deposition of carbon-doped silicon
EP2048699A2 (en) * 2007-10-12 2009-04-15 Air Products and Chemicals, Inc. Antireflective coatings for photovoltaic applications
US20090096106A1 (en) * 2007-10-12 2009-04-16 Air Products And Chemicals, Inc. Antireflective coatings
JP2009099986A (en) * 2007-10-12 2009-05-07 Air Products & Chemicals Inc Antireflection coating for use in photovoltaic cell
US20090095346A1 (en) * 2007-10-12 2009-04-16 Air Products And Chemicals, Inc. Antireflective coatings for photovoltaic applications
EP2048699A3 (en) * 2007-10-12 2010-11-03 Air Products and Chemicals, Inc. Antireflective coatings for photovoltaic applications
US8987039B2 (en) 2007-10-12 2015-03-24 Air Products And Chemicals, Inc. Antireflective coatings for photovoltaic applications
US9040411B2 (en) 2008-01-10 2015-05-26 International Business Machines Corporation Advanced low k cap film formation process for nano electronic devices
US20090179306A1 (en) * 2008-01-10 2009-07-16 International Business Machines Corporation ADVANCED LOW k CAP FILM FORMATION PROCESS FOR NANO ELECTRONIC DEVICES
US8212337B2 (en) 2008-01-10 2012-07-03 International Business Machines Corporation Advanced low k cap film formation process for nano electronic devices
US8664109B2 (en) 2008-01-10 2014-03-04 International Business Machines Corporation Advanced low k cap film formation process for nano electronic devices
US20090325384A1 (en) * 2008-06-27 2009-12-31 Noriteru Yamada Method of manufacturing semiconductor device
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
WO2010065410A1 (en) * 2008-12-01 2010-06-10 Air Products And Chemicals, Inc. Dielectric barrier deposition using oxygen containing precursor
CN102232125B (en) * 2008-12-01 2015-01-28 气体产品与化学公司 Dielectric barrier deposition using oxygen containing precursor
CN102232125A (en) * 2008-12-01 2011-11-02 气体产品与化学公司 Dielectric barrier deposition using oxygen containing precursor
US20100136789A1 (en) * 2008-12-01 2010-06-03 Air Products And Chemicals, Inc. Dielectric Barrier Deposition Using Oxygen Containing Precursor
US8637396B2 (en) 2008-12-01 2014-01-28 Air Products And Chemicals, Inc. Dielectric barrier deposition using oxygen containing precursor
US8198180B2 (en) 2009-02-06 2012-06-12 Applied Materials, Inc. Ion implanted substrate having capping layer and method
US7858503B2 (en) 2009-02-06 2010-12-28 Applied Materials, Inc. Ion implanted substrate having capping layer and method
US20110092058A1 (en) * 2009-02-06 2011-04-21 Applied Materials, Inc. Ion implanted substrate having capping layer and method
US20100200954A1 (en) * 2009-02-06 2010-08-12 Applied Materials, Inc. Ion implanted substrate having capping layer and method
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8536073B2 (en) * 2009-12-04 2013-09-17 Novellus Systems, Inc. Hardmask materials
TWI505364B (en) * 2009-12-04 2015-10-21 Novellus Systems Inc Hardmask materials
US20120276752A1 (en) * 2009-12-04 2012-11-01 Vishwanathan Rangarajan Hardmask materials
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
US8629067B2 (en) 2009-12-30 2014-01-14 Applied Materials, Inc. Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US20110159703A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US20110217851A1 (en) * 2010-03-05 2011-09-08 Applied Materials, Inc. Conformal layers by radical-component cvd
US8563445B2 (en) 2010-03-05 2013-10-22 Applied Materials, Inc. Conformal layers by radical-component CVD
US10214816B2 (en) * 2010-03-25 2019-02-26 Novellus Systems, Inc. PECVD apparatus for in-situ deposition of film stacks
US11746420B2 (en) 2010-03-25 2023-09-05 Novellus Systems, Inc. PECVD apparatus for in-situ deposition of film stacks
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
WO2012166618A2 (en) * 2011-06-03 2012-12-06 Applied Materials, Inc. Capping layer for reduced outgassing
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
WO2012166618A3 (en) * 2011-06-03 2013-02-28 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US11264234B2 (en) 2012-06-12 2022-03-01 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US11264328B2 (en) 2013-01-31 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Capping layer for improved deposition selectivity
US10163794B2 (en) 2013-01-31 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Capping layer for improved deposition selectivity
US9396990B2 (en) * 2013-01-31 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Capping layer for improved deposition selectivity
US20140210085A1 (en) * 2013-01-31 2014-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. Capping Layer for Improved Deposition Selectivity
US10472714B2 (en) 2013-05-31 2019-11-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US9371579B2 (en) 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9853133B2 (en) * 2014-09-04 2017-12-26 Sunedison Semiconductor Limited (Uen201334164H) Method of manufacturing high resistivity silicon-on-insulator substrate
US20160071959A1 (en) * 2014-09-04 2016-03-10 Sunedison Semiconductor Limited (Uen201334164H) Method of manufacturing high resistivity silicon-on-insulator substrate
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US11049716B2 (en) 2015-04-21 2021-06-29 Lam Research Corporation Gap fill using carbon-based films
US10490451B2 (en) * 2016-06-23 2019-11-26 Commissariat A L'energie Atomique Et Aux Energies Alternatives Process for fabricating a transistor structure including a plugging step
US20170372967A1 (en) * 2016-06-23 2017-12-28 Commissariat A L'energie Atomique Et Aux Energies Alternatives Process for fabricating a transistor structure including a plugging step
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10580690B2 (en) 2016-11-23 2020-03-03 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
US10074559B1 (en) 2017-03-07 2018-09-11 Applied Materials, Inc. Selective poreseal deposition prevention and residue removal using SAM
US20220098728A1 (en) * 2020-09-29 2022-03-31 Applied Materials, Inc. Method of in situ ceramic coating deposition
WO2022072158A1 (en) * 2020-09-29 2022-04-07 Applied Materials, Inc. Method of in situ ceramic coating deposition
US11674222B2 (en) * 2020-09-29 2023-06-13 Applied Materials, Inc. Method of in situ ceramic coating deposition

Similar Documents

Publication Publication Date Title
US6875687B1 (en) Capping layer for extreme low dielectric constant films
EP1094506A2 (en) Capping layer for extreme low dielectric constant films
US7948083B2 (en) Reliable BEOL integration process with direct CMP of porous SiCOH dielectric
US6348407B1 (en) Method to improve adhesion of organic dielectrics in dual damascene interconnects
US6656840B2 (en) Method for forming silicon containing layers on a substrate
KR100586133B1 (en) An ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device, a method for fabricating the same, and an electronic device containing the same
US8445377B2 (en) Mechanically robust metal/low-k interconnects
US8158521B2 (en) Two step post-deposition treatment of ILD layer for a lower dielectric constant and improved mechanical properties
US7538353B2 (en) Composite barrier/etch stop layer comprising oxygen doped SiC and SiC for interconnect structures
US20060220251A1 (en) Reducing internal film stress in dielectric film
US20040096593A1 (en) Non-thermal process for forming porous low dielectric constant films
KR100887225B1 (en) Semiconductor device manufacturing method
JP2008117903A (en) Method of manufacturing semiconductor device
KR20020068672A (en) Method for forming inter layer dielectric film
US7557035B1 (en) Method of forming semiconductor devices by microwave curing of low-k dielectric films
JP2008527757A (en) Ultra-low dielectric constant film having controlled biaxial stress and method for producing the same
KR100489456B1 (en) Semiconductor device and its manufacturing method
EP1420439B1 (en) Non-thermal process for forming porous low dielectric constant films
US9177918B2 (en) Apparatus and methods for low k dielectric layers
US20070232046A1 (en) Damascene interconnection having porous low K layer with improved mechanical properties
US9236294B2 (en) Method for forming semiconductor device structure
JP2007180573A (en) Low k dielectric insulator and method for forming semiconductor circuit structure
US20070232047A1 (en) Damage recovery method for low K layer in a damascene interconnection
US20060115980A1 (en) Method for decreasing a dielectric constant of a low-k film
US20060006545A1 (en) [semiconductor structure and fabrication therefor]

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIAL, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:WEIDMAN, TIMOTHY;NAULT, MICHAEL P.;CHANG, JOSEPHINE J.;REEL/FRAME:011225/0574

Effective date: 20001110

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FPAY Fee payment

Year of fee payment: 12