US6983427B2 - Generating a logic design - Google Patents

Generating a logic design Download PDF

Info

Publication number
US6983427B2
US6983427B2 US09/942,102 US94210201A US6983427B2 US 6983427 B2 US6983427 B2 US 6983427B2 US 94210201 A US94210201 A US 94210201A US 6983427 B2 US6983427 B2 US 6983427B2
Authority
US
United States
Prior art keywords
computer instruction
design
instructions
logic design
unified database
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
US09/942,102
Other versions
US20030046640A1 (en
Inventor
William R. Wheeler
Matthew J. Adiletta
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Priority to US09/942,102 priority Critical patent/US6983427B2/en
Assigned to INTEL CORPORATION reassignment INTEL CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ADILETTA, MATTHEW J., WHEELER, WILLIAM R.
Priority to PCT/US2002/027010 priority patent/WO2003021497A2/en
Priority to TW091119566A priority patent/TWI282929B/en
Publication of US20030046640A1 publication Critical patent/US20030046640A1/en
Application granted granted Critical
Publication of US6983427B2 publication Critical patent/US6983427B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3308Design verification, e.g. functional simulation or model checking using simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/323Translation or migration, e.g. logic to logic, hardware description language [HDL] translation or netlist translation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking

Definitions

  • This invention relates to integrated circuit design tools.
  • Logic designs for integrated circuits typically include either schematic design or text design.
  • a schematic design shows a computer chip with logic elements as a two-dimension diagram.
  • Logic elements are either state elements (e.g., flip-flops, latches, etc.) or combinatorial elements (e.g. AND gates, NOR gates, etc.).
  • Various geometric figures represent the logic elements. Lines drawn into or out of the logic elements generally represent input, output, clock, or enabling signals. Lines connecting such geometric shapes indicate a functional logic relationship between the logic elements.
  • a textual representation describes the logic elements of the computer chip using one-dimensional text lines.
  • Textual representations are used in hardware description languages (HDLs) which allow designers to simulate logic designs prior to forming the logic on silicon. Examples of such languages include Verilog and Very High Speed Integrated Circuit (VHSIC) Hardware Description Language (VHDL). Using these languages, a designer can write code to simulate a logic design and execute the code in order to determine if the logic design performs properly.
  • HDLs hardware description languages
  • VHSIC Very High Speed Integrated Circuit
  • Standard computer languages may also be used to simulate a logic design.
  • One example of a standard computer language that may be used is C++.
  • FIG. 1 is a flowchart showing a process for generating a logic design.
  • FIG. 2 is a block diagram of a computer system on which the process of FIG. 1 may be performed.
  • a process 10 for generating a logic design which includes both schematic design and textual design features.
  • Process 10 may be implemented using a computer program running on a computer or other type of machine, as described in more detail below.
  • the process 10 accesses a unified database that represents a complete visual model of an integrated circuit (IC) and which embeds a combinatorial one-dimensional data block.
  • the combinatorial data block allows for a blending of the textual design into a two-dimensional representation.
  • a set of abstractions is used by process 10 to shorten the development time of the unified database.
  • the unified database can be used by both designers and implementers of IC design to create an IC without referring to two separate and disconnected design schemes.
  • RTDs Register Transfer Diagrams
  • RTDs are hierarchical diagrams that illustrate all state elements of an IC design while allowing a user to abstract the combinatorial logic into simple boxes.
  • RTDs concisely convey (1) all state elements, (2) the partitioning of work to be accomplished between the state elements, (3) the flow of data through state elements, (4) the partitioning and logical organization of the design within and between levels of hierarchy, (5) the intent of the design and (6) signal information.
  • process 10 generates the combinatorial data block ( 12 ).
  • the IC designer determines that in a particular portion of the IC design a combinatorial element is required.
  • a textual description is used, to represent the combinatorial element.
  • the textual description is preferable in a simplified form to avoid complexities introduced by complex textual descriptions that otherwise need to be accounted for in the design process.
  • the combinatorial data block includes a textual description that is in a simplified form in order to ease integration into two-dimensional or graphics level scheme.
  • the simplification follows a set of design capture rules.
  • a combinatorial data block is represented in Verilog as follows:
  • the design capture rules used to simplify the combinatorial data block in this example include: (1) avoiding the use of declarations and (2) avoiding entries in a sensitivity list. If declarations and entries were used, these fields would need to be changed if the IC design changes and the combinatorial block were affected. By not allowing declarations or entries in the sensitivity list in the combinatorial data block, process 10 eliminates the need for the IC designer to update these fields during the IC development process. In other words, as changes occur in the IC design, there are no manual updates needed by the IC designer to account for these changes. By setting-up these restrictions, there is less opportunity for human error when design changes occur. Other design capture rules may be implemented to simplify the combinatorial data block and eliminate unnecessary updates as the design develops.
  • Process 10 imports the combinatorial data block ( 14 ). In this embodiment, this is performed on a computer system as described below through an input/output interface (e.g., mouse, keyboard).
  • an input/output interface e.g., mouse, keyboard.
  • process 10 checks to ensure that the design capture rules for generating the combinatorial data block were followed from 12 ( 16 ).
  • Process 10 notifies the designer if an error has occurred ( 18 ). For example, an error message is displayed on the IC designer's computer screen.
  • Process 10 uses a set of abstractions to facilitate the development of the unified database ( 20 ).
  • the set of abstractions are abbreviated representations of various logic components. For example, a comparator has thousands of transistors. The creation of each and every transistor in the IC design or carrying the data associated with each transistor would be cumbersome.
  • the abbreviated representation would be a block diagram with an input and an output. Abstractions can be instantiated from a library so that creating a logical component from an abstraction is fast and easy for a designer to do.
  • the IC design tools employing process 10 reside on a personal computer and the tools operate in a MS-Windows® environment.
  • the designer pulls-down a menu in the application and selects a comparator. Subsequent boxes appear and the designer checks-off blocks as to the parameters (e.g., inputs) needed for the comparator. After the designer chooses the logic component by using the set of abstractions, it is saved in the unified database.
  • Process 10 embeds the combinatorial data block into the two-dimensional schematic presentation to complete the unified data base ( 22 ).
  • the unified data base is a complete representation of the IC and can be represented in RTDs.
  • process 10 ensures configuration management of the IC design by keeping all the design information in one location throughout the design process.
  • this logic design scheme eliminates traditional ambiguities that occur between previous implementation and design models because of the constant iterations of reconciling both the schematic and textual design schemes. Having a unified database, process allows for the generation of C++ and Verilog from one location. It also allows for generation of synthesizable Verilog from textual and visual elements.
  • FIG. 2 shows a computer 40 for generating a logic design using process 10 .
  • Computer 40 includes a processor 42 , a memory 44 , and a storage medium 46 (e.g., a hard disk).
  • Storage medium 46 stores data 52 which defines a logic design, a graphics library 50 for implementing the logic design, and machine-executable instructions 48 , which are executed by processor 42 out of memory 44 to perform process 10 on data 52 .
  • Process 10 is not limited to use with the hardware and software of FIG. 2 ; it may find applicability in any computing or processing environment.
  • Process 10 may be implemented in hardware, software, or a combination of the two.
  • Process 10 may be implemented in computer programs executing on programmable computers or other machines that each includes a processor, a storage medium readable by the processor (including volatile and non-volatile memory and/or storage elements), at least one input device, and one or more output devices.
  • Program code may be applied to data entered using an input device, such as a mouse or a keyboard, to perform process 10 and to generate a simulation.
  • Each such program may be implemented in a high level procedural or object-oriented programming language to communicate with a computer system.
  • the programs can be implemented in assembly or machine language.
  • the language may be a compiled or an interpreted language.
  • Each computer program may be stored on an article of manufacture, such as a storage medium or device (e.g., CD-ROM, hard disk, or magnetic diskette), that is readable by a general or special purpose programmable machine for configuring and operating the machine when the storage medium or device is read by the machine to perform process 10 .
  • a storage medium or device e.g., CD-ROM, hard disk, or magnetic diskette
  • Process 10 may also be implemented as a machine-readable storage medium, configured with a computer program, where, upon execution, instructions in the computer program cause the machine to operate in accordance with process 10 .
  • process 10 is not limited to embedding one-dimensional design into a two-dimensional design.
  • Process can be any n-dimensional design embedded into a (n+m)-dimensional design, where n ⁇ 1 and m ⁇ 1.
  • Process 10 is not limited to the computer languages set forth above, e.g., Verilog, C++, and VHDL. It may be implemented using any appropriate computer language.
  • Process 10 is also not limited to the order set forth in FIG. 1 . That is, the blocks of process 10 may be executed in a different order than that shown to produce an acceptable result.

Abstract

A technique to generate a logic design for use in designing an integrated circuit (IC). The technique includes embedding a combinatorial one-dimensional logic block within a two-dimensional schematic presentation to form a unified database. The technique also includes following a set of design capture rules, importing the combinatorial one-dimensional logic block, and notifying a designer when importing the combinatorial data block violates the set of design capture rules.

Description

TECHNICAL FIELD
This invention relates to integrated circuit design tools.
BACKGROUND
Logic designs for integrated circuits (IC) typically include either schematic design or text design. A schematic design shows a computer chip with logic elements as a two-dimension diagram. Logic elements are either state elements (e.g., flip-flops, latches, etc.) or combinatorial elements (e.g. AND gates, NOR gates, etc.). Various geometric figures represent the logic elements. Lines drawn into or out of the logic elements generally represent input, output, clock, or enabling signals. Lines connecting such geometric shapes indicate a functional logic relationship between the logic elements.
A textual representation describes the logic elements of the computer chip using one-dimensional text lines. Textual representations are used in hardware description languages (HDLs) which allow designers to simulate logic designs prior to forming the logic on silicon. Examples of such languages include Verilog and Very High Speed Integrated Circuit (VHSIC) Hardware Description Language (VHDL). Using these languages, a designer can write code to simulate a logic design and execute the code in order to determine if the logic design performs properly.
Standard computer languages may also be used to simulate a logic design. One example of a standard computer language that may be used is C++.
DESCRIPTION OF THE DRAWINGS
FIG. 1 is a flowchart showing a process for generating a logic design.
FIG. 2 is a block diagram of a computer system on which the process of FIG. 1 may be performed.
DESCRIPTION
Referring to FIG. 1, a process 10 is shown for generating a logic design which includes both schematic design and textual design features. Process 10 may be implemented using a computer program running on a computer or other type of machine, as described in more detail below. The process 10 accesses a unified database that represents a complete visual model of an integrated circuit (IC) and which embeds a combinatorial one-dimensional data block. The combinatorial data block allows for a blending of the textual design into a two-dimensional representation. In addition, a set of abstractions is used by process 10 to shorten the development time of the unified database. The unified database can be used by both designers and implementers of IC design to create an IC without referring to two separate and disconnected design schemes.
The unified database is represented in Register Transfer Diagrams (RTDs), which are two-dimensional representations of the IC design. RTDs are hierarchical diagrams that illustrate all state elements of an IC design while allowing a user to abstract the combinatorial logic into simple boxes. RTDs concisely convey (1) all state elements, (2) the partitioning of work to be accomplished between the state elements, (3) the flow of data through state elements, (4) the partitioning and logical organization of the design within and between levels of hierarchy, (5) the intent of the design and (6) signal information.
In operation, process 10 generates the combinatorial data block (12). The IC designer determines that in a particular portion of the IC design a combinatorial element is required. A textual description is used, to represent the combinatorial element. The textual description is preferable in a simplified form to avoid complexities introduced by complex textual descriptions that otherwise need to be accounted for in the design process. Thus, the combinatorial data block includes a textual description that is in a simplified form in order to ease integration into two-dimensional or graphics level scheme. The simplification follows a set of design capture rules. For an example, a combinatorial data block is represented in Verilog as follows:
always @ ( )
begin
case (f2ctxw) // synopsys parallelcase
3′b000: nextseqthdw = 3′b001;
3′b001: nextseqthdw = 3′b010;
3′b010: nextseqthdw = 3′b011;
3′b011: nextseqthdw = 3′b100;
3′b100: nextseqthdw = 3′b101;
3′b101: nextseqthdw = 3′b110;
3′b110: nextseqthdw = 3′b111;
3′b111: nextseqthdw = 3′b000;
endcase
end
The design capture rules used to simplify the combinatorial data block in this example include: (1) avoiding the use of declarations and (2) avoiding entries in a sensitivity list. If declarations and entries were used, these fields would need to be changed if the IC design changes and the combinatorial block were affected. By not allowing declarations or entries in the sensitivity list in the combinatorial data block, process 10 eliminates the need for the IC designer to update these fields during the IC development process. In other words, as changes occur in the IC design, there are no manual updates needed by the IC designer to account for these changes. By setting-up these restrictions, there is less opportunity for human error when design changes occur. Other design capture rules may be implemented to simplify the combinatorial data block and eliminate unnecessary updates as the design develops.
Process 10 imports the combinatorial data block (14). In this embodiment, this is performed on a computer system as described below through an input/output interface (e.g., mouse, keyboard). When the combinatorial data block is imported to the logic design system, process 10 checks to ensure that the design capture rules for generating the combinatorial data block were followed from 12 (16). Process 10 notifies the designer if an error has occurred (18). For example, an error message is displayed on the IC designer's computer screen.
Process 10 uses a set of abstractions to facilitate the development of the unified database (20). The set of abstractions are abbreviated representations of various logic components. For example, a comparator has thousands of transistors. The creation of each and every transistor in the IC design or carrying the data associated with each transistor would be cumbersome. The abbreviated representation would be a block diagram with an input and an output. Abstractions can be instantiated from a library so that creating a logical component from an abstraction is fast and easy for a designer to do. For example, the IC design tools employing process 10 reside on a personal computer and the tools operate in a MS-Windows® environment. If the IC designer determines a comparator is needed in the design, the designer pulls-down a menu in the application and selects a comparator. Subsequent boxes appear and the designer checks-off blocks as to the parameters (e.g., inputs) needed for the comparator. After the designer chooses the logic component by using the set of abstractions, it is saved in the unified database.
Process 10 embeds the combinatorial data block into the two-dimensional schematic presentation to complete the unified data base (22). Thus, the unified data base is a complete representation of the IC and can be represented in RTDs.
Normally, during an IC design process, designers implement block diagrams at the start of the design process and develop the design using RTL code, a one-dimensional text description. Often the block diagrams are not kept up-to-date because the designer makes all the changes to the RTL so that the RTL becomes the design code. The unified database generated by process 10 ensures configuration management of the IC design by keeping all the design information in one location throughout the design process. Thus, this logic design scheme eliminates traditional ambiguities that occur between previous implementation and design models because of the constant iterations of reconciling both the schematic and textual design schemes. Having a unified database, process allows for the generation of C++ and Verilog from one location. It also allows for generation of synthesizable Verilog from textual and visual elements.
FIG. 2 shows a computer 40 for generating a logic design using process 10. Computer 40 includes a processor 42, a memory 44, and a storage medium 46 (e.g., a hard disk). Storage medium 46 stores data 52 which defines a logic design, a graphics library 50 for implementing the logic design, and machine-executable instructions 48, which are executed by processor 42 out of memory 44 to perform process 10 on data 52.
Process 10, however, is not limited to use with the hardware and software of FIG. 2; it may find applicability in any computing or processing environment. Process 10 may be implemented in hardware, software, or a combination of the two. Process 10 may be implemented in computer programs executing on programmable computers or other machines that each includes a processor, a storage medium readable by the processor (including volatile and non-volatile memory and/or storage elements), at least one input device, and one or more output devices. Program code may be applied to data entered using an input device, such as a mouse or a keyboard, to perform process 10 and to generate a simulation.
Each such program may be implemented in a high level procedural or object-oriented programming language to communicate with a computer system. However, the programs can be implemented in assembly or machine language. The language may be a compiled or an interpreted language.
Each computer program may be stored on an article of manufacture, such as a storage medium or device (e.g., CD-ROM, hard disk, or magnetic diskette), that is readable by a general or special purpose programmable machine for configuring and operating the machine when the storage medium or device is read by the machine to perform process 10. Process 10 may also be implemented as a machine-readable storage medium, configured with a computer program, where, upon execution, instructions in the computer program cause the machine to operate in accordance with process 10.
The invention is not limited to the specific embodiments set forth above. For example, process 10 is not limited to embedding one-dimensional design into a two-dimensional design. Process can be any n-dimensional design embedded into a (n+m)-dimensional design, where n≧1 and m≧1. Process 10 is not limited to the computer languages set forth above, e.g., Verilog, C++, and VHDL. It may be implemented using any appropriate computer language. Process 10 is also not limited to the order set forth in FIG. 1. That is, the blocks of process 10 may be executed in a different order than that shown to produce an acceptable result.
Other embodiments not described herein are also within the scope of the following claims.

Claims (20)

1. A method of generating a logic design for use in designing an integrated circuit (IC), comprising:
generating a computer instruction;
importing the computer instruction from memory; and
embedding the computer instruction within a two-dimensional schematic representation of the logic design to produce a unified database representation of the logic design, the computer instruction being devoid of declarations and entries to a sensitivity list;
wherein the two-dimensional schematic representation includes a set of Register Transfer Diagrams (RTD).
2. The method of claim 1, further comprising notifying a designer when capturing data using the computer instruction violates a set of design capture rules.
3. The method of claim 1, further comprising generating C++ from the unified database.
4. The method of claim 3, further comprising generating Verilog from the unified database.
5. The method of claim 1, further comprising generating synthesizable Verilog from the unified database.
6. The method of claim 1, further comprising enabling a user to change the logic design by amending the computer instruction.
7. An article comprising a machine-readable medium which stores executable instructions to generate a logic design for use in designing an integrated circuit (IC), the instructions causing a machine to:
generate a computer instruction;
embed the computer instruction within a two-dimensional schematic representation of the logic design to produce a unified database representation of the logic design, the computer instruction being devoid of declarations and entries to a sensitivity list;
wherein the two-dimensional schematic representation includes a set of Register Transfer Diagrams (RTD).
8. The article of claim 7, further comprising instructions causing a machine to import the computer instruction.
9. The article of claim 7, further comprising instructions causing a machine to notify a designer when capturing data violates a set of design capture rules.
10. The article of claim 7, further comprising instructions causing a machine to generate C++ from the unified database.
11. The article of claim 7, further comprising instructions causing a machine to generate Verilog from the unified database.
12. The article of claim 7, further comprising instructions causing a machine to generate synthesizable Verilog from the unified database.
13. The article of claim 7, further comprising instructions causing a machine to enable a user to change the logic design by amending the computer instruction.
14. An apparatus for generating a logic design for use in designing an integrated circuit (IC), comprising:
a memory that stores executable instructions; and
a processor that executes the instructions to:
generate a computer instruction; and
embed the computer instruction within a two-dimensional schematic representation of the logic design to produce a unified database representation of the logic design, the computer instruction being devoid of declarations and entries to a sensitivity list;
wherein the two-dimensional schematic representation includes a set of Register Transfer Diagrams (RTD).
15. The apparatus of claim 14, further comprising instructions to import the computer instruction.
16. The apparatus of claim 14, further comprising instructions to notify a designer when capturing data violates a set of design capture rules.
17. The apparatus of claim 14, further comprising instructions to generate C++ from the unified database.
18. The apparatus of claim 17, further comprising instructions to generate Verilog from the unified database.
19. The apparatus of claim 14, further comprising instructions to generate synthesizable Verilog from the unified database.
20. The apparatus of claim 14, further comprising instructions to enable a user to change the logic design by amending the computer instruction.
US09/942,102 2001-08-29 2001-08-29 Generating a logic design Expired - Fee Related US6983427B2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US09/942,102 US6983427B2 (en) 2001-08-29 2001-08-29 Generating a logic design
PCT/US2002/027010 WO2003021497A2 (en) 2001-08-29 2002-08-23 Generating a logic design
TW091119566A TWI282929B (en) 2001-08-29 2002-08-28 Generating a logic design

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/942,102 US6983427B2 (en) 2001-08-29 2001-08-29 Generating a logic design

Publications (2)

Publication Number Publication Date
US20030046640A1 US20030046640A1 (en) 2003-03-06
US6983427B2 true US6983427B2 (en) 2006-01-03

Family

ID=25477578

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/942,102 Expired - Fee Related US6983427B2 (en) 2001-08-29 2001-08-29 Generating a logic design

Country Status (3)

Country Link
US (1) US6983427B2 (en)
TW (1) TWI282929B (en)
WO (1) WO2003021497A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090013307A1 (en) * 2005-08-23 2009-01-08 The Mathworks, Inc. Multi-rate hierarchical state diagrams

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7107201B2 (en) * 2001-08-29 2006-09-12 Intel Corporation Simulating a logic design
US20150112646A1 (en) * 2013-10-23 2015-04-23 Qualcomm Incorporated METHODS OF DESIGNING THREE DIMENSIONAL (3D) INTEGRATED CIRCUITS (ICs) (3DICs) AND RELATED SYSTEMS AND COMPONENTS

Citations (118)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4703435A (en) 1984-07-16 1987-10-27 International Business Machines Corporation Logic Synthesizer
US4970664A (en) 1988-06-10 1990-11-13 Kaiser Richard R Critical path analyzer with path context window
US5128871A (en) 1990-03-07 1992-07-07 Advanced Micro Devices, Inc. Apparatus and method for allocation of resoures in programmable logic devices
US5164911A (en) * 1989-12-15 1992-11-17 Hewlett-Packard Company Schematic capture method having different model couplers for model types for changing the definition of the schematic based upon model type selection
US5212650A (en) 1986-09-12 1993-05-18 Digital Equipment Corporation Procedure and data structure for synthesis and transformation of logic circuit designs
US5220512A (en) 1990-04-19 1993-06-15 Lsi Logic Corporation System for simultaneous, interactive presentation of electronic circuit diagrams and simulation data
US5258919A (en) 1990-06-28 1993-11-02 National Semiconductor Corporation Structured logic design method using figures of merit and a flowchart methodology
US5267175A (en) 1986-09-12 1993-11-30 Digital Equipment Corporation Data base access mechanism for rules utilized by a synthesis procedure for logic circuit design
US5278769A (en) * 1991-04-12 1994-01-11 Lsi Logic Corporation Automatic logic model generation from schematic data base
US5287289A (en) 1990-04-13 1994-02-15 Hitachi, Ltd. Logic synthesis method
US5297053A (en) 1991-06-04 1994-03-22 Computervision Corporation Method and apparatus for deferred package assignment for components of an electronic circuit for a printed circuit board
US5301318A (en) * 1988-05-13 1994-04-05 Silicon Systems, Inc. Hierarchical netlist extraction tool
US5384710A (en) * 1990-03-13 1995-01-24 National Semiconductor Corporation Circuit level netlist generation
US5475605A (en) 1994-05-26 1995-12-12 Cadence Design Systems, Inc. Timing analysis for logic optimization using target library delay values
US5491640A (en) * 1992-05-01 1996-02-13 Vlsi Technology, Inc. Method and apparatus for synthesizing datapaths for integrated circuit design and fabrication
US5493507A (en) 1993-04-19 1996-02-20 Pfu Limited Digital circuit design assist system for designing hardware units and software units in a desired digital circuit, and method thereof
US5506788A (en) 1994-01-13 1996-04-09 Lsi Logic Corporation Similarity-extraction force-oriented floor planner
US5513119A (en) 1993-08-10 1996-04-30 Mitsubishi Semiconductor America, Inc. Hierarchical floorplanner for gate array design layout
US5544067A (en) * 1990-04-06 1996-08-06 Lsi Logic Corporation Method and system for creating, deriving and validating structural description of electronic system from higher level, behavior-oriented description, including interactive schematic design and simulation
US5553002A (en) 1990-04-06 1996-09-03 Lsi Logic Corporation Method and system for creating and validating low level description of electronic design from higher level, behavior-oriented description, using milestone matrix incorporated into user-interface
US5555201A (en) * 1990-04-06 1996-09-10 Lsi Logic Corporation Method and system for creating and validating low level description of electronic design from higher level, behavior-oriented description, including interactive system for hierarchical display of control and dataflow information
US5568397A (en) 1993-07-08 1996-10-22 Hitachi, Ltd. Logic circuit diagram editor system
US5598347A (en) 1992-04-27 1997-01-28 Nec Corporation Layout method for designing an integrated circuit device by using standard cells
US5603015A (en) 1993-06-07 1997-02-11 Kabushiki Kaisha Toshiba Logic simulation apparatus for executing simulation of a circuit
US5604894A (en) 1994-06-15 1997-02-18 Texas Instruments Incorporated Memory management system for checkpointed logic simulator with increased locality of data
US5629857A (en) 1994-11-15 1997-05-13 International Business Machines Corporation Method and system for indicating a status of a circuit design
US5663662A (en) 1994-12-27 1997-09-02 Nec Corporation Library group and semiconductor integrated circuit structured thereof
US5666289A (en) 1992-10-07 1997-09-09 Lsi Logic Corporation Flexible design system
US5673198A (en) * 1996-03-29 1997-09-30 Xilinx, Inc. Concurrent electronic circuit design and implementation
US5685006A (en) 1993-12-28 1997-11-04 Kabushiki Kaisha Toshiba Application specific integrated circuit having hierarchical structure and method of organizing such circuit using inheritance information
US5694579A (en) 1993-02-18 1997-12-02 Digital Equipment Corporation Using pre-analysis and a 2-state optimistic model to reduce computation in transistor circuit simulation
US5706476A (en) 1995-06-05 1998-01-06 Synopsys, Inc. Method and apparatus for use of the undefined logic state and mixed multiple-state abstractions in digital logic simulation
US5717928A (en) * 1990-11-07 1998-02-10 Matra Hachette Sa System and a method for obtaining a mask programmable device using a logic description and a field programmable device implementing the logic description
US5724250A (en) 1996-02-07 1998-03-03 Unisys Corporation Method and apparatus for performing drive strength adjust optimization in a circuit design
US5757655A (en) 1996-08-26 1998-05-26 Micron Technology, Inc. Method and system for producing dynamic property forms and compacting property databases
US5809283A (en) 1995-09-29 1998-09-15 Synopsys, Inc. Simulator for simulating systems including mixed triggers
US5828581A (en) 1995-04-14 1998-10-27 Nec Corporation Automatic layout system
US5831869A (en) * 1995-12-15 1998-11-03 Unisys Corporation Method of compacting data representations of hierarchical logic designs used for static timing analysis
US5841663A (en) 1995-09-14 1998-11-24 Vlsi Technology, Inc. Apparatus and method for synthesizing integrated circuits using parameterized HDL modules
US5852564A (en) 1992-04-21 1998-12-22 Cpu Technology, Inc. Method and apparatus for interactively displaying signal information during computer simulation of an electrical circuit
US5883814A (en) 1997-03-13 1999-03-16 International Business Machines Corporation System-on-chip layout compilation
US5889677A (en) 1995-04-07 1999-03-30 Fujitsu Limited Circuit designing apparatus of an interactive type
US5892682A (en) 1996-06-17 1999-04-06 Motorola, Inc. Method and apparatus for generating a hierarchical interconnection description of an integrated circuit design and using the description to edit the integrated circuit design
US5892678A (en) 1994-03-24 1999-04-06 Matsushita Electric Industrial Co., Ltd. LSI design automation system
US5903469A (en) 1994-11-08 1999-05-11 Synopsys, Inc. Method of extracting layout parasitics for nets of an integrated circuit using a connectivity-based approach
US5933356A (en) 1990-04-06 1999-08-03 Lsi Logic Corporation Method and system for creating and verifying structural logic model of electronic design from behavioral description, including generation of logic and timing models
US5937190A (en) 1994-04-12 1999-08-10 Synopsys, Inc. Architecture and methods for a hardware description language source level analysis and debugging system
US5963724A (en) 1996-02-16 1999-10-05 Analogy, Inc. Component-based analog and mixed-signal simulation model development
US5974242A (en) 1997-09-25 1999-10-26 The United States Of America As Represented By The Secretary Of The Army Methods and computer programs for minimizing logic circuit design using identity cells
US6044211A (en) 1994-03-14 2000-03-28 C.A.E. Plus, Inc. Method for graphically representing a digital device as a behavioral description with data and control flow elements, and for converting the behavioral description to a structural description
US6053947A (en) 1997-05-31 2000-04-25 Lucent Technologies, Inc. Simulation model using object-oriented programming
US6066179A (en) 1997-06-13 2000-05-23 University Of Edinburgh Property estimation of an integrated circuit
US6077304A (en) 1996-04-15 2000-06-20 Sun Microsystems, Inc. Verification system for simulator
US6106568A (en) 1996-08-28 2000-08-22 Synopsys, Inc. Hierarchical scan architecture for design for test applications
US6117183A (en) 1996-01-08 2000-09-12 Fujitsu Limited Interactive CAD apparatus for designing packaging of logic circuit design
US6120549A (en) 1997-01-06 2000-09-19 Xilinx, Inc. Method and apparatus for generating optimized functional macros
US6132109A (en) 1994-04-12 2000-10-17 Synopsys, Inc. Architecture and methods for a hardware description language source level debugging system
US6135647A (en) 1997-10-23 2000-10-24 Lsi Logic Corporation System and method for representing a system level RTL design using HDL independent objects and translation to synthesizable RTL code
US6152612A (en) 1997-06-09 2000-11-28 Synopsys, Inc. System and method for system level and circuit level modeling and design simulation using C++
US6161211A (en) * 1996-10-28 2000-12-12 Altera Corporation Method and apparatus for automated circuit design
US6178541B1 (en) * 1998-03-30 2001-01-23 Lsi Logic Corporation PLD/ASIC hybrid integrated circuit
US6205573B1 (en) 1997-10-22 2001-03-20 Nec Corporation Delay analysis result display device
US6208954B1 (en) 1994-09-16 2001-03-27 Wind River Systems, Inc. Method for scheduling event sequences
US6216256B1 (en) 1997-05-22 2001-04-10 Sony Corporation Semiconductor integrated circuit and method of designing the same
US6219822B1 (en) 1998-08-05 2001-04-17 International Business Machines Corporation Method and system for tuning of components for integrated circuits
US6226780B1 (en) * 1998-08-31 2001-05-01 Mentor Graphics Corporation Circuit design method and apparatus supporting a plurality of hardware design languages
US6233723B1 (en) 1997-08-28 2001-05-15 Vlsi Technology, Inc. Circuit behavioral information analysis apparatus and a method of analyzing behavioral information of a circuit
US6233540B1 (en) 1997-03-14 2001-05-15 Interuniversitair Micro-Elektronica Centrum Design environment and a method for generating an implementable description of a digital system
US6234658B1 (en) 1996-06-07 2001-05-22 Duality Semiconductor, Inc. Method and apparatus for producing signal processing circuits in the delta sigma domain
US6236956B1 (en) 1996-02-16 2001-05-22 Avant! Corporation Component-based analog and mixed-signal simulation model development including newton step manager
US6260179B1 (en) 1997-10-23 2001-07-10 Fujitsu Limited Cell arrangement evaluating method, storage medium storing cell arrangement evaluating program, cell arranging apparatus and method, and storage medium storing cell arranging program
US6272671B1 (en) 1998-09-11 2001-08-07 Lsi Logic Corporation Extractor and schematic viewer for a design representation, and associated method
US6275973B1 (en) 1998-10-30 2001-08-14 Lsi Logic Corporation Integrated circuit design with delayed cell selection
US20010018758A1 (en) 2000-02-29 2001-08-30 Matsushita Electric Industrial Co., Ltd. Method of physical design for integrated circuit
US6292931B1 (en) 1998-02-20 2001-09-18 Lsi Logic Corporation RTL analysis tool
US6298468B1 (en) 1999-05-04 2001-10-02 Prosper Design Systems Pte. Ltd. Placement-based pin optimization method and apparatus for computer-aided circuit design
US6327693B1 (en) 1999-04-08 2001-12-04 Chung-Kuan Cheng Interconnect delay driven placement and routing of an integrated circuit design
US20020023256A1 (en) 1998-01-09 2002-02-21 James Andrew Garrard Seawright Method and apparatus for optimized partitioning of finite state machines synthesized from hierarchical high-level descriptions
US6353915B1 (en) 1999-04-01 2002-03-05 Unisys Corporation Methods for evaluating systems of electronic components
US6353806B1 (en) 1998-11-23 2002-03-05 Lucent Technologies Inc. System level hardware simulator and its automation
US6360356B1 (en) * 1998-01-30 2002-03-19 Tera Systems, Inc. Creating optimized physical implementations from high-level descriptions of electronic design using placement-based information
US6360355B1 (en) * 1998-02-26 2002-03-19 Sharp Kabushiki Kaisha Hardware synthesis method, hardware synthesis device, and recording medium containing a hardware synthesis program recorded thereon
US20020038447A1 (en) 1999-04-30 2002-03-28 Won Sub Kim Method and apparatus for adaptive verification of circuit designs
US6367064B1 (en) * 1998-05-22 2002-04-02 Micron Technology, Inc. Verification of sensitivity list integrity in a hardware description language file
US6366874B1 (en) 1999-05-24 2002-04-02 Novas Software, Inc. System and method for browsing graphically an electronic design based on a hardware description language specification
US20020042904A1 (en) 2000-10-03 2002-04-11 Noriyuki Ito Placement/net wiring processing system
US20020046386A1 (en) 2000-10-18 2002-04-18 Chipworks Design analysis workstation for analyzing integrated circuits
US6378115B1 (en) 1998-06-19 2002-04-23 Fujitsu Limited LSI manufacturing method and recording medium for storing layout software
US20020049957A1 (en) 2000-10-05 2002-04-25 Toshikatsu Hosono Method of designing semiconductor integrated circuit device, and apparatus for designing the same
US6381563B1 (en) 1999-01-22 2002-04-30 Cadence Design Systems, Inc. System and method for simulating circuits using inline subcircuits
US6381565B1 (en) 1998-08-21 2002-04-30 Nec Corporation Functional logic circuit verification device
US6401230B1 (en) 1998-12-04 2002-06-04 Altera Corporation Method of generating customized megafunctions
US6421816B1 (en) 1998-04-07 2002-07-16 Matsushita Electric Industrial Co., Ltd. Semiconductor device, semiconductor device design method, semiconductor device design method recording medium, and semiconductor device design support system
US6438731B1 (en) 1999-09-13 2002-08-20 Synopsys, Inc. Integrated circuit models having associated timing exception information therewith for use in circuit design optimizations
US6440780B1 (en) 1999-07-12 2002-08-27 Matsushita Electric Industrial Co., Ltd. Method of layout for LSI
US6449762B1 (en) 1999-10-07 2002-09-10 Synplicity, Inc. Maintaining correspondence between text and schematic representations of circuit elements in circuit synthesis
US6457164B1 (en) 1998-03-27 2002-09-24 Xilinx, Inc. Hetergeneous method for determining module placement in FPGAs
US6473885B1 (en) 1998-07-17 2002-10-29 Mentor Graphics Corporation Digital circuit layout techniques using circuit decomposition and pin swapping
US6477689B1 (en) 2001-06-13 2002-11-05 The Boeing Company Architectural structure of a process netlist design tool
US6477688B1 (en) 1998-07-17 2002-11-05 David E. Wallace Logic equivalence leveraged placement and routing of an IC design
US6477683B1 (en) 1999-02-05 2002-11-05 Tensilica, Inc. Automated processor generation system for designing a configurable processor and method for the same
US6480985B1 (en) * 1998-08-26 2002-11-12 Mentor Graphics Corporation Method and apparatus for graphically presenting an integrated circuit design
US6487698B1 (en) 2001-05-04 2002-11-26 Lsi Logic Corporation Process, apparatus and program for transforming program language description of an IC to an RTL description
US6490545B1 (en) 2000-03-06 2002-12-03 Sony Corporation Method and apparatus for adaptive co-verification of software and hardware designs
US6505341B1 (en) 1998-11-10 2003-01-07 Scientronix, Inc. System and method for programming a logic control unit
US6505328B1 (en) * 1999-04-27 2003-01-07 Magma Design Automation, Inc. Method for storing multiple levels of design data in a common database
US6516456B1 (en) * 1997-01-27 2003-02-04 Unisys Corporation Method and apparatus for selectively viewing nets within a database editor tool
US6519755B1 (en) 1999-08-16 2003-02-11 Sequence Design, Inc. Method and apparatus for logic synthesis with elaboration
US6519742B1 (en) 2000-03-06 2003-02-11 Synplicity, Inc. Local naming for HDL compilation
US6523156B2 (en) 2001-06-08 2003-02-18 Library Technologies, Inc. Apparatus and methods for wire load independent logic synthesis and timing closure with constant replacement delay cell libraries
US6539536B1 (en) 2000-02-02 2003-03-25 Synopsys, Inc. Electronic design automation system and methods utilizing groups of multiple cells having loop-back connections for modeling port electrical characteristics
USRE38059E1 (en) 1993-11-08 2003-04-01 Hitachi, Ltd. Semiconductor integrated logic circuit device using a pass transistor
US6546528B1 (en) 1999-04-21 2003-04-08 Nec Corporation System and method for evaluation of electric characteristics of printed-circuit boards
US6574787B1 (en) 1999-08-16 2003-06-03 Sequence Design, Inc. Method and apparatus for logic synthesis (word oriented netlist)
US6591407B1 (en) 2000-03-01 2003-07-08 Sequence Design, Inc. Method and apparatus for interconnect-driven optimization of integrated circuit design
US6675359B2 (en) * 1998-08-26 2004-01-06 Michael E.J. Gilford Recognition of a state machine in high-level integrated circuit description language code
US6728945B1 (en) * 2001-02-26 2004-04-27 Cadence Design Systems, Inc. Behavioral level observability analysis and its applications
US6862563B1 (en) * 1998-10-14 2005-03-01 Arc International Method and apparatus for managing the configuration and functionality of a semiconductor design

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR960014434B1 (en) * 1987-12-09 1996-10-15 후세 노보루 Plasma processing apparatus
EP1065611A3 (en) * 1995-10-23 2006-05-10 Interuniversitair Microelektronica Centrum Vzw A design environment for hardware/software co-design
WO1998037475A2 (en) * 1997-02-07 1998-08-27 Morphologic, Inc. System and method for designing electronic circuits
US20020138244A1 (en) * 1999-09-30 2002-09-26 Meyer Steven J. Simulator independent object code HDL simulation using PLI
US7613599B2 (en) * 2000-06-02 2009-11-03 Synopsys, Inc. Method and system for virtual prototyping
US6801884B2 (en) * 2001-02-09 2004-10-05 Hewlett-Packard Development Company, L.P. Method and apparatus for traversing net connectivity through design hierarchy
US6516452B2 (en) * 2001-05-01 2003-02-04 Chipdata, Inc. Method and apparatus for verifying design data
US20030004699A1 (en) * 2001-06-04 2003-01-02 Choi Charles Y. Method and apparatus for evaluating an integrated circuit model
US7043393B2 (en) * 2001-08-15 2006-05-09 National Instruments Corporation System and method for online specification of measurement hardware

Patent Citations (121)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4703435A (en) 1984-07-16 1987-10-27 International Business Machines Corporation Logic Synthesizer
US5212650A (en) 1986-09-12 1993-05-18 Digital Equipment Corporation Procedure and data structure for synthesis and transformation of logic circuit designs
US5267175A (en) 1986-09-12 1993-11-30 Digital Equipment Corporation Data base access mechanism for rules utilized by a synthesis procedure for logic circuit design
US5301318A (en) * 1988-05-13 1994-04-05 Silicon Systems, Inc. Hierarchical netlist extraction tool
US4970664A (en) 1988-06-10 1990-11-13 Kaiser Richard R Critical path analyzer with path context window
US5164911A (en) * 1989-12-15 1992-11-17 Hewlett-Packard Company Schematic capture method having different model couplers for model types for changing the definition of the schematic based upon model type selection
US5128871A (en) 1990-03-07 1992-07-07 Advanced Micro Devices, Inc. Apparatus and method for allocation of resoures in programmable logic devices
US5384710A (en) * 1990-03-13 1995-01-24 National Semiconductor Corporation Circuit level netlist generation
US5933356A (en) 1990-04-06 1999-08-03 Lsi Logic Corporation Method and system for creating and verifying structural logic model of electronic design from behavioral description, including generation of logic and timing models
US5555201A (en) * 1990-04-06 1996-09-10 Lsi Logic Corporation Method and system for creating and validating low level description of electronic design from higher level, behavior-oriented description, including interactive system for hierarchical display of control and dataflow information
US5553002A (en) 1990-04-06 1996-09-03 Lsi Logic Corporation Method and system for creating and validating low level description of electronic design from higher level, behavior-oriented description, using milestone matrix incorporated into user-interface
US6324678B1 (en) 1990-04-06 2001-11-27 Lsi Logic Corporation Method and system for creating and validating low level description of electronic design
US5544067A (en) * 1990-04-06 1996-08-06 Lsi Logic Corporation Method and system for creating, deriving and validating structural description of electronic system from higher level, behavior-oriented description, including interactive schematic design and simulation
US5287289A (en) 1990-04-13 1994-02-15 Hitachi, Ltd. Logic synthesis method
US5220512A (en) 1990-04-19 1993-06-15 Lsi Logic Corporation System for simultaneous, interactive presentation of electronic circuit diagrams and simulation data
US5258919A (en) 1990-06-28 1993-11-02 National Semiconductor Corporation Structured logic design method using figures of merit and a flowchart methodology
US5717928A (en) * 1990-11-07 1998-02-10 Matra Hachette Sa System and a method for obtaining a mask programmable device using a logic description and a field programmable device implementing the logic description
US5278769A (en) * 1991-04-12 1994-01-11 Lsi Logic Corporation Automatic logic model generation from schematic data base
US5297053A (en) 1991-06-04 1994-03-22 Computervision Corporation Method and apparatus for deferred package assignment for components of an electronic circuit for a printed circuit board
US5852564A (en) 1992-04-21 1998-12-22 Cpu Technology, Inc. Method and apparatus for interactively displaying signal information during computer simulation of an electrical circuit
US5598347A (en) 1992-04-27 1997-01-28 Nec Corporation Layout method for designing an integrated circuit device by using standard cells
US5491640A (en) * 1992-05-01 1996-02-13 Vlsi Technology, Inc. Method and apparatus for synthesizing datapaths for integrated circuit design and fabrication
US5666289A (en) 1992-10-07 1997-09-09 Lsi Logic Corporation Flexible design system
US5694579A (en) 1993-02-18 1997-12-02 Digital Equipment Corporation Using pre-analysis and a 2-state optimistic model to reduce computation in transistor circuit simulation
US5493507A (en) 1993-04-19 1996-02-20 Pfu Limited Digital circuit design assist system for designing hardware units and software units in a desired digital circuit, and method thereof
US5603015A (en) 1993-06-07 1997-02-11 Kabushiki Kaisha Toshiba Logic simulation apparatus for executing simulation of a circuit
US5568397A (en) 1993-07-08 1996-10-22 Hitachi, Ltd. Logic circuit diagram editor system
US5513119A (en) 1993-08-10 1996-04-30 Mitsubishi Semiconductor America, Inc. Hierarchical floorplanner for gate array design layout
USRE38059E1 (en) 1993-11-08 2003-04-01 Hitachi, Ltd. Semiconductor integrated logic circuit device using a pass transistor
US5685006A (en) 1993-12-28 1997-11-04 Kabushiki Kaisha Toshiba Application specific integrated circuit having hierarchical structure and method of organizing such circuit using inheritance information
US5506788A (en) 1994-01-13 1996-04-09 Lsi Logic Corporation Similarity-extraction force-oriented floor planner
US6044211A (en) 1994-03-14 2000-03-28 C.A.E. Plus, Inc. Method for graphically representing a digital device as a behavioral description with data and control flow elements, and for converting the behavioral description to a structural description
US5892678A (en) 1994-03-24 1999-04-06 Matsushita Electric Industrial Co., Ltd. LSI design automation system
US5937190A (en) 1994-04-12 1999-08-10 Synopsys, Inc. Architecture and methods for a hardware description language source level analysis and debugging system
US6132109A (en) 1994-04-12 2000-10-17 Synopsys, Inc. Architecture and methods for a hardware description language source level debugging system
US5475605A (en) 1994-05-26 1995-12-12 Cadence Design Systems, Inc. Timing analysis for logic optimization using target library delay values
US5604894A (en) 1994-06-15 1997-02-18 Texas Instruments Incorporated Memory management system for checkpointed logic simulator with increased locality of data
US6208954B1 (en) 1994-09-16 2001-03-27 Wind River Systems, Inc. Method for scheduling event sequences
US6438729B1 (en) 1994-11-08 2002-08-20 Synopsys, Inc. Connectivity-based approach for extracting layout parasitics
US5903469A (en) 1994-11-08 1999-05-11 Synopsys, Inc. Method of extracting layout parasitics for nets of an integrated circuit using a connectivity-based approach
US5629857A (en) 1994-11-15 1997-05-13 International Business Machines Corporation Method and system for indicating a status of a circuit design
US5663662A (en) 1994-12-27 1997-09-02 Nec Corporation Library group and semiconductor integrated circuit structured thereof
US5889677A (en) 1995-04-07 1999-03-30 Fujitsu Limited Circuit designing apparatus of an interactive type
US5828581A (en) 1995-04-14 1998-10-27 Nec Corporation Automatic layout system
US5706476A (en) 1995-06-05 1998-01-06 Synopsys, Inc. Method and apparatus for use of the undefined logic state and mixed multiple-state abstractions in digital logic simulation
US5841663A (en) 1995-09-14 1998-11-24 Vlsi Technology, Inc. Apparatus and method for synthesizing integrated circuits using parameterized HDL modules
US5809283A (en) 1995-09-29 1998-09-15 Synopsys, Inc. Simulator for simulating systems including mixed triggers
US5831869A (en) * 1995-12-15 1998-11-03 Unisys Corporation Method of compacting data representations of hierarchical logic designs used for static timing analysis
US6117183A (en) 1996-01-08 2000-09-12 Fujitsu Limited Interactive CAD apparatus for designing packaging of logic circuit design
US5724250A (en) 1996-02-07 1998-03-03 Unisys Corporation Method and apparatus for performing drive strength adjust optimization in a circuit design
US6236956B1 (en) 1996-02-16 2001-05-22 Avant! Corporation Component-based analog and mixed-signal simulation model development including newton step manager
US5963724A (en) 1996-02-16 1999-10-05 Analogy, Inc. Component-based analog and mixed-signal simulation model development
US5673198A (en) * 1996-03-29 1997-09-30 Xilinx, Inc. Concurrent electronic circuit design and implementation
US6077304A (en) 1996-04-15 2000-06-20 Sun Microsystems, Inc. Verification system for simulator
US6234658B1 (en) 1996-06-07 2001-05-22 Duality Semiconductor, Inc. Method and apparatus for producing signal processing circuits in the delta sigma domain
US5892682A (en) 1996-06-17 1999-04-06 Motorola, Inc. Method and apparatus for generating a hierarchical interconnection description of an integrated circuit design and using the description to edit the integrated circuit design
US5757655A (en) 1996-08-26 1998-05-26 Micron Technology, Inc. Method and system for producing dynamic property forms and compacting property databases
US6106568A (en) 1996-08-28 2000-08-22 Synopsys, Inc. Hierarchical scan architecture for design for test applications
US6311309B1 (en) 1996-10-28 2001-10-30 Altera Corporation Methods and apparatus for simulating a portion of a circuit design
US6161211A (en) * 1996-10-28 2000-12-12 Altera Corporation Method and apparatus for automated circuit design
US6120549A (en) 1997-01-06 2000-09-19 Xilinx, Inc. Method and apparatus for generating optimized functional macros
US6516456B1 (en) * 1997-01-27 2003-02-04 Unisys Corporation Method and apparatus for selectively viewing nets within a database editor tool
US5883814A (en) 1997-03-13 1999-03-16 International Business Machines Corporation System-on-chip layout compilation
US6233540B1 (en) 1997-03-14 2001-05-15 Interuniversitair Micro-Elektronica Centrum Design environment and a method for generating an implementable description of a digital system
US6216256B1 (en) 1997-05-22 2001-04-10 Sony Corporation Semiconductor integrated circuit and method of designing the same
US6053947A (en) 1997-05-31 2000-04-25 Lucent Technologies, Inc. Simulation model using object-oriented programming
US6152612A (en) 1997-06-09 2000-11-28 Synopsys, Inc. System and method for system level and circuit level modeling and design simulation using C++
US6066179A (en) 1997-06-13 2000-05-23 University Of Edinburgh Property estimation of an integrated circuit
US6233723B1 (en) 1997-08-28 2001-05-15 Vlsi Technology, Inc. Circuit behavioral information analysis apparatus and a method of analyzing behavioral information of a circuit
US5974242A (en) 1997-09-25 1999-10-26 The United States Of America As Represented By The Secretary Of The Army Methods and computer programs for minimizing logic circuit design using identity cells
US6205573B1 (en) 1997-10-22 2001-03-20 Nec Corporation Delay analysis result display device
US6135647A (en) 1997-10-23 2000-10-24 Lsi Logic Corporation System and method for representing a system level RTL design using HDL independent objects and translation to synthesizable RTL code
US6260179B1 (en) 1997-10-23 2001-07-10 Fujitsu Limited Cell arrangement evaluating method, storage medium storing cell arrangement evaluating program, cell arranging apparatus and method, and storage medium storing cell arranging program
US20020023256A1 (en) 1998-01-09 2002-02-21 James Andrew Garrard Seawright Method and apparatus for optimized partitioning of finite state machines synthesized from hierarchical high-level descriptions
US6360356B1 (en) * 1998-01-30 2002-03-19 Tera Systems, Inc. Creating optimized physical implementations from high-level descriptions of electronic design using placement-based information
US6292931B1 (en) 1998-02-20 2001-09-18 Lsi Logic Corporation RTL analysis tool
US6360355B1 (en) * 1998-02-26 2002-03-19 Sharp Kabushiki Kaisha Hardware synthesis method, hardware synthesis device, and recording medium containing a hardware synthesis program recorded thereon
US6457164B1 (en) 1998-03-27 2002-09-24 Xilinx, Inc. Hetergeneous method for determining module placement in FPGAs
US6178541B1 (en) * 1998-03-30 2001-01-23 Lsi Logic Corporation PLD/ASIC hybrid integrated circuit
US6421816B1 (en) 1998-04-07 2002-07-16 Matsushita Electric Industrial Co., Ltd. Semiconductor device, semiconductor device design method, semiconductor device design method recording medium, and semiconductor device design support system
US6367064B1 (en) * 1998-05-22 2002-04-02 Micron Technology, Inc. Verification of sensitivity list integrity in a hardware description language file
US6378115B1 (en) 1998-06-19 2002-04-23 Fujitsu Limited LSI manufacturing method and recording medium for storing layout software
US6473885B1 (en) 1998-07-17 2002-10-29 Mentor Graphics Corporation Digital circuit layout techniques using circuit decomposition and pin swapping
US6477688B1 (en) 1998-07-17 2002-11-05 David E. Wallace Logic equivalence leveraged placement and routing of an IC design
US6219822B1 (en) 1998-08-05 2001-04-17 International Business Machines Corporation Method and system for tuning of components for integrated circuits
US6381565B1 (en) 1998-08-21 2002-04-30 Nec Corporation Functional logic circuit verification device
US6675359B2 (en) * 1998-08-26 2004-01-06 Michael E.J. Gilford Recognition of a state machine in high-level integrated circuit description language code
US6480985B1 (en) * 1998-08-26 2002-11-12 Mentor Graphics Corporation Method and apparatus for graphically presenting an integrated circuit design
US6226780B1 (en) * 1998-08-31 2001-05-01 Mentor Graphics Corporation Circuit design method and apparatus supporting a plurality of hardware design languages
US6272671B1 (en) 1998-09-11 2001-08-07 Lsi Logic Corporation Extractor and schematic viewer for a design representation, and associated method
US6862563B1 (en) * 1998-10-14 2005-03-01 Arc International Method and apparatus for managing the configuration and functionality of a semiconductor design
US6275973B1 (en) 1998-10-30 2001-08-14 Lsi Logic Corporation Integrated circuit design with delayed cell selection
US6505341B1 (en) 1998-11-10 2003-01-07 Scientronix, Inc. System and method for programming a logic control unit
US6353806B1 (en) 1998-11-23 2002-03-05 Lucent Technologies Inc. System level hardware simulator and its automation
US6401230B1 (en) 1998-12-04 2002-06-04 Altera Corporation Method of generating customized megafunctions
US6381563B1 (en) 1999-01-22 2002-04-30 Cadence Design Systems, Inc. System and method for simulating circuits using inline subcircuits
US6477683B1 (en) 1999-02-05 2002-11-05 Tensilica, Inc. Automated processor generation system for designing a configurable processor and method for the same
US6353915B1 (en) 1999-04-01 2002-03-05 Unisys Corporation Methods for evaluating systems of electronic components
US6327693B1 (en) 1999-04-08 2001-12-04 Chung-Kuan Cheng Interconnect delay driven placement and routing of an integrated circuit design
US6546528B1 (en) 1999-04-21 2003-04-08 Nec Corporation System and method for evaluation of electric characteristics of printed-circuit boards
US6505328B1 (en) * 1999-04-27 2003-01-07 Magma Design Automation, Inc. Method for storing multiple levels of design data in a common database
US20020038447A1 (en) 1999-04-30 2002-03-28 Won Sub Kim Method and apparatus for adaptive verification of circuit designs
US6298468B1 (en) 1999-05-04 2001-10-02 Prosper Design Systems Pte. Ltd. Placement-based pin optimization method and apparatus for computer-aided circuit design
US6366874B1 (en) 1999-05-24 2002-04-02 Novas Software, Inc. System and method for browsing graphically an electronic design based on a hardware description language specification
US6440780B1 (en) 1999-07-12 2002-08-27 Matsushita Electric Industrial Co., Ltd. Method of layout for LSI
US6574787B1 (en) 1999-08-16 2003-06-03 Sequence Design, Inc. Method and apparatus for logic synthesis (word oriented netlist)
US6519755B1 (en) 1999-08-16 2003-02-11 Sequence Design, Inc. Method and apparatus for logic synthesis with elaboration
US6438731B1 (en) 1999-09-13 2002-08-20 Synopsys, Inc. Integrated circuit models having associated timing exception information therewith for use in circuit design optimizations
US6449762B1 (en) 1999-10-07 2002-09-10 Synplicity, Inc. Maintaining correspondence between text and schematic representations of circuit elements in circuit synthesis
US6539536B1 (en) 2000-02-02 2003-03-25 Synopsys, Inc. Electronic design automation system and methods utilizing groups of multiple cells having loop-back connections for modeling port electrical characteristics
US20010018758A1 (en) 2000-02-29 2001-08-30 Matsushita Electric Industrial Co., Ltd. Method of physical design for integrated circuit
US6591407B1 (en) 2000-03-01 2003-07-08 Sequence Design, Inc. Method and apparatus for interconnect-driven optimization of integrated circuit design
US6519742B1 (en) 2000-03-06 2003-02-11 Synplicity, Inc. Local naming for HDL compilation
US6490545B1 (en) 2000-03-06 2002-12-03 Sony Corporation Method and apparatus for adaptive co-verification of software and hardware designs
US20020042904A1 (en) 2000-10-03 2002-04-11 Noriyuki Ito Placement/net wiring processing system
US20020049957A1 (en) 2000-10-05 2002-04-25 Toshikatsu Hosono Method of designing semiconductor integrated circuit device, and apparatus for designing the same
US20020046386A1 (en) 2000-10-18 2002-04-18 Chipworks Design analysis workstation for analyzing integrated circuits
US6728945B1 (en) * 2001-02-26 2004-04-27 Cadence Design Systems, Inc. Behavioral level observability analysis and its applications
US6487698B1 (en) 2001-05-04 2002-11-26 Lsi Logic Corporation Process, apparatus and program for transforming program language description of an IC to an RTL description
US6523156B2 (en) 2001-06-08 2003-02-18 Library Technologies, Inc. Apparatus and methods for wire load independent logic synthesis and timing closure with constant replacement delay cell libraries
US6477689B1 (en) 2001-06-13 2002-11-05 The Boeing Company Architectural structure of a process netlist design tool

Non-Patent Citations (25)

* Cited by examiner, † Cited by third party
Title
Computer Design, "After Hard Knocks, Cycle-Based Simulators Stand Their Ground". http://www.computer-design.com/Editorial/1996/10/ASIC/after.html, accessed on Aug. 23, 2001, pp. 1-5.
D. Fischer et al., NETHDL: Abstraction of Schematics to High-Level HDL, Proceedings of the European Design Automation Conference, pp. 90-96, Mar. 1990. *
Foley et al., "An Object Based Graphical User Interface for Power Systems", IEEE Transactions on Power Systems, vol. 8, No. 1, Feb. 1993, pp. 97-104.
G. Odawara et al., A Symbolic Functional Description Language, 21st Proceedings of the Design Automation COnference on Design Automation, pp. 73-80, Jun. 1984. *
Gassenfeit, E. H., "Control System Design Realization via VHDL-A: Requirements", Proceedings of the 1996 IEEE International Symposium on Computer-Aided Control System Design, Sep. 15, 1996, pp. 282-285.
Kutzschebauch, "Efficient logic optimization using regularity extraction", Proceedings of 2000 International Conference on Computer Design, Sep. 17, 2000, pp. 487-493.
Lahti, et al., "SADE: a Graphical Toll for VHDL-Based System Analysis", 1991 IEEE International Conference on Computer-Aided Design, Nov. 11, 1991, pp. 262-265.
Lin, et al., "A Goal Tree Based High-Level Test Planning System for DSP Real Number Models", 1998 Proceedings of International Test Conference, Oct. 18, 1998, pp. 1000-1009.
Maxfield, C., "Digital Logic Simulation: Event-Driven, Cycle-Based, and Home-Brewed", Electrical Design News, 41(14):129-136 (1996).
Mentor Graphics Corporation, Renoir HDL Design Datasheet, 1999, Oregon.
Mentor Graphics Corporation, Renoir HDL Design Datasheet, pp. 1-2, 1999, Oregon.
Mentor Graphics Corporation, Renoir(TM) with HDL2Graphics(TM), 1998, Oregon.
Mentor Graphics Corporation, Renoir(TM), pp. 1-6, 1998, Oregon.
NB84035598, "Binary Relational Schema to Model Structured LSI Design", IBM Technical Disclosure Bulletin, vol. 26, No. 10B, Mar. 1984, pp. 5598-5601.
NN7807629, "Functional Oriented Symbolic Macromodeling Algorithm", IBM Technical Disclosure Bulletin, vol. 21, No. 2, Jul. 1978, pp. 629-631.
NN8006341, "Macro Physical-To-Logical Checking LSI Chip Design", IBM Technical Disclosure Bulletin, vol. 23, No. 1, Jun. 1980, pp. 341-345.
NN9407481, "Functional Modeling using object Collaboration Diagram", IBM Technical Disclosure Bulletin, vol. 37, No. 7, Jul. 1994, pp. 481-486.
P. Eles et al., Compiling VHDL into a High-Level Synthesis Representation, Proceedings of the Conference on European Design Automation, pp. 604-609, Nov. 1992. *
P. Jain, A Comprehensive Pre-RTL IC Design Methodology, 1995 IEEE International Verilog HDL Conference, pp. 119-126, Mar. 1995. *
Parlakbilek, et al., "A Multiple-Strength Multiple-Delay Compiled-Code Logic Simulator", IEEE Transactins on Computer-Aided Design of Integrated Circuits and Systems, 12(12):1937-1946 (1993).
Pedram et al., "Floorplanning with Pin assignment", 1990 IEEE International Conference on Computer-Aided Design, Nov. 11, 1990, pp. 98-101.
Renoir, HDL Design Datasheet, Mentor Graphics, 1-8, 1999.
Stephen Y. H. Su, An Interactive Design Automation System, Proceedings of the 10th Workshop on Design automation pp. 253-261, Jun. 1973. *
Stephen Y.H. Su, An Interactive Design Automation System, Proceedings of the 10<SUP>th </SUP>Design Automation Workshop on Design Automation, pp. 253-261, Jun. 1973. *
Yli-Pietila, et al., "The Design and Simulation of Complex Multitechnology Systems", IEEE International Conference on Systems Engineering, Aug. 9, 1990, pp. 474-477.

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090013307A1 (en) * 2005-08-23 2009-01-08 The Mathworks, Inc. Multi-rate hierarchical state diagrams
US8418097B2 (en) * 2005-08-23 2013-04-09 The Mathworks, Inc. Multi-rate hierarchical state diagrams
US8464188B1 (en) 2005-08-23 2013-06-11 The Mathworks, Inc. Multi-rate hierarchical state diagrams

Also Published As

Publication number Publication date
WO2003021497A2 (en) 2003-03-13
TWI282929B (en) 2007-06-21
US20030046640A1 (en) 2003-03-06
WO2003021497A3 (en) 2004-02-05

Similar Documents

Publication Publication Date Title
CN1885295B (en) Building integrated circuits using logical units
Corno et al. RT-level ITC'99 benchmarks and first ATPG results
Bening et al. Principles of verifiable RTL design
US7665059B2 (en) System and method for designing multiple clock domain circuits
US6920418B2 (en) Detecting events within simulation models
US7949987B1 (en) Method and system for implementing abstract layout structures with parameterized cells
EP1964266B1 (en) A method for multi-cycle clock gating
Parsan et al. Gate mapping automation for asynchronous NULL convention logic circuits
US20100275168A1 (en) Design method of semiconductor integrated circuit device and program
Ataei et al. An open-source eda flow for asynchronous logic
US6643836B2 (en) Displaying information relating to a logic design
Naylor et al. VHDL: A Logic synthesis approach
US9672315B2 (en) Optimization for circuit migration
US20020123875A1 (en) Hierarchical processing of simulation model events
US6983427B2 (en) Generating a logic design
US7552043B2 (en) Method, system and program product for selectively removing instrumentation logic from a simulation model
US7092864B2 (en) Signal override for simulation models
US6708321B2 (en) Generating a function within a logic design using a dialog box
US9547735B2 (en) System and method for viewing and modifying configurable RTL modules
US7197724B2 (en) Modeling a logic design
US10878164B1 (en) Methods, systems, and computer program product for interactively probing a multi-fabric electronic design
US7107201B2 (en) Simulating a logic design
Karatsu VLSI design language standardization effort in Japan
US11429773B1 (en) Methods, systems, and computer program product for implementing an electronic design using connect modules with dynamic and interactive control
US11816409B1 (en) Strongly connected component (SCC) graph representation for interactive analysis of overlapping loops in emulation and prototyping

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTEL CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:WHEELER, WILLIAM R.;ADILETTA, MATTHEW J.;REEL/FRAME:012514/0668

Effective date: 20020103

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees

Free format text: PATENT EXPIRED FOR FAILURE TO PAY MAINTENANCE FEES (ORIGINAL EVENT CODE: EXP.)

STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20180103