US7432189B2 - Device with self aligned gaps for capacitance reduction - Google Patents

Device with self aligned gaps for capacitance reduction Download PDF

Info

Publication number
US7432189B2
US7432189B2 US11/291,672 US29167205A US7432189B2 US 7432189 B2 US7432189 B2 US 7432189B2 US 29167205 A US29167205 A US 29167205A US 7432189 B2 US7432189 B2 US 7432189B2
Authority
US
United States
Prior art keywords
shrink
deposition
filler material
spaces
recited
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related, expires
Application number
US11/291,672
Other versions
US20070123017A1 (en
Inventor
S. M. Reza Sadjadi
Zhi-Song Huang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Assigned to LAM RESEARCH CORPORATION reassignment LAM RESEARCH CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HUANG, ZHI-SONG, SADJADI, S. M. REZA
Priority to US11/291,672 priority Critical patent/US7432189B2/en
Priority to KR1020087015991A priority patent/KR101233430B1/en
Priority to CN2006800443287A priority patent/CN101317260B/en
Priority to PCT/US2006/044521 priority patent/WO2007064488A1/en
Priority to MYPI20081814A priority patent/MY148017A/en
Priority to TW095143227A priority patent/TWI416664B/en
Publication of US20070123017A1 publication Critical patent/US20070123017A1/en
Priority to US12/202,043 priority patent/US8172980B2/en
Publication of US7432189B2 publication Critical patent/US7432189B2/en
Application granted granted Critical
Expired - Fee Related legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Definitions

  • the present invention relates to the formation of semiconductor devices. More particularly, the invention relates to the formation of semiconductor devices with gaps for reducing capacitance.
  • dual damascene structures may be used in conjunction with copper conductor material to reduce the RC delays associated with signal propagation in aluminum based materials used in previous generation technologies.
  • dual damascene instead of etching the conductor material, vias, and trenches may be etched into the dielectric material and filled with copper. The excess copper may be removed by chemical mechanical polishing (CMP) leaving copper lines connected by vias for signal transmission.
  • CMP chemical mechanical polishing
  • porous and non-porous low-k dielectric constant materials may be used. In the specification and claims low-k is defined as k ⁇ 3.0.
  • a method for reducing capacitances between semiconductor device wirings is provided.
  • a sacrificial layer is formed over a dielectric layer.
  • a plurality of features are etched into the sacrificial layer and dielectric layer.
  • the features are filled with a filler material.
  • the sacrificial layer is removed, so that parts of the filler material remain exposed above a surface of the dielectric layer, where spaces are between the exposed parts of the filler material, where the spaces are in an area formerly occupied by the sacrificial layer. Widths of the spaces between the parts of the filler material are shrunk with a shrink sidewall deposition. Gaps are etched into the dielectric layer through the shrink sidewall deposition. The filler material and shrink sidewall deposition are removed.
  • a method for reducing capacitances between semiconductor device wirings is provided.
  • a sacrificial layer is formed over a dielectric layer.
  • a plurality of features are etched into the sacrificial layer and dielectric layer.
  • the features are filled with a filler material.
  • the sacrificial layer is removed, so that parts of the filler material remain exposed above a surface of the dielectric layer, where spaces are between the exposed parts of the filler material, where the spaces are in an area formerly occupied by the sacrificial layer. Widths of the spaces between the parts of the filler material are shrunk with a shrink sidewall deposition. Gaps are etched into the dielectric layer through the shrink sidewall deposition.
  • the filler material and shrink sidewall deposition are removed.
  • the gaps are closed to form pockets from the gaps.
  • the closing the gap comprises a plurality of cycles, where each cycle comprises a bread loaf deposition phase and a bread loaf profile shaping phase.
  • the features are filled with a conductive material.
  • a plasma processing chamber comprising a chamber wall forming a plasma processing chamber enclosure, a substrate support for supporting a substrate within the plasma processing chamber enclosure.
  • a pressure regulator for regulating the pressure in the plasma processing chamber enclosure, at least one electrode for providing power to the plasma processing chamber enclosure for sustaining a plasma, a gas inlet for providing gas into the plasma processing chamber enclosure and, a gas outlet for exhausting gas from the plasma processing chamber enclosure is provided.
  • a gas source is in fluid connection with the gas inlet.
  • the gas source comprises a sacrificial layer etchant source, a dielectric layer etchant source, a shrink deposition gas source, and a shrink profile shaping gas source.
  • a controller is controllably connected to the gas source and the at least one electrode.
  • the controller comprises at least one processor and computer readable media.
  • the computer readable media comprises computer readable code for etching features into the sacrificial layer and dielectric layer, wherein the features are subsequently filled with a filler material, computer readable code for removing the sacrificial layer, so that parts of the filler layer remain exposed above a surface of the dielectric layer, wherein spaces are between the exposed parts of the filler material, computer readable code for shrinking widths of the spaces between parts of the filler material with a shrink comprising at least one cycle, wherein each cycle comprises computer readable code for providing a shrink deposition gas from the shrink deposition gas source, computer readable code for generating a plasma from the shrink deposition gas, computer readable code for stopping the shrink deposition gas from the shrink deposition gas source, computer readable code for providing a shrink profile shaping gas from the shrink profile shaping gas source, computer readable code for generating a plasma from the shrink profile shaping gas, and computer readable code for stopping the shrink profile shaping gas from the shrink profile shaping gas source, computer readable code for etching gaps into the etch layer
  • FIG. 1 is a high level flow chart of a process that may be used in an embodiment of the invention.
  • FIGS. 2A-K are schematic cross-sectional and top views of a stack processed according to an embodiment of the invention.
  • FIG. 3 is a more detailed flow of a step of shrinking spaces.
  • FIG. 4 is a schematic view of a plasma processing chamber that may be used in practicing the invention.
  • FIGS. 5A-B illustrate a computer system, which is suitable for implementing a controller used in embodiments of the present invention.
  • FIG. 6 is a more detailed flow chart of the one or more steps that are used to remove the filler material and shrink sidewalls and to form pockets from the gaps, form conductive contacts in the etched features, and form an interlayer dielectric layer.
  • FIG. 7 is a more detailed view of a multiphase cyclical process for the step of forming the bread loaf closures while removing the shrink sidewalls.
  • FIGS. 8A-D are schematic cross-sectional views of a stack with wide and narrow spaces.
  • FIGS. 9A-B are schematic cross-sectional views of a stack with wide and narrow spaces in another embodiment of the invention.
  • FIG. 10 is a multiphase cyclical process filling wide gaps but not narrow gaps.
  • FIGS. 11A-D are schematic cross-sectional views of a stack with wide and narrow spaces in another embodiment of the invention.
  • FIGS. 12A-B are schematic cross-sectional views of a stack with wide and narrow spaces in another embodiment of the invention.
  • FIG. 1 is a high level flow chart of a process that may be used in an embodiment of the invention.
  • a sacrificial layer is formed over a dielectric layer (step 104 ).
  • a mask is formed over the sacrificial layer (step 108 ).
  • Features are etched into the sacrificial layer and dielectric layer (step 112 ).
  • the etched features are dual damascene features comprising vias and trenches.
  • one mask is used to form vias and another mask is used to form trenches.
  • the features are filled with a filler material (step 116 ).
  • the sacrificial layer is removed (step 120 ).
  • part of the filler material extends above the surface of the dielectric layer with spaces between the parts of the filler material extending about the surface of the dielectric layer, wherein the spaces are in the areas formerly occupied by the sacrificial layer.
  • Spaces between the filler material are shrunk (step 124 ) by forming sidewall depositions on the sides of the filler material, which form shrink sidewalls.
  • Gaps are etched into the dielectric layer (step 128 ) through the shrunk spaces. The gaps are spaced between the etched features.
  • One or more steps are then used to remove the filler material and shrink sidewalls and to form pockets from the gaps, form conductive contacts in the etched features, and form an interlayer dielectric layer (step 132 ).
  • FIG. 2A is a cross-sectional view of a sacrificial layer 212 formed over a dielectric layer 208 , which is over a substrate 204 .
  • the substrate 204 is a silicon wafer.
  • the dielectric layer 208 is a low-k dielectric, such as organosilicate glass.
  • the sacrificial layer is silicon carbide.
  • the sacrificial layer is at least one of SiC, SiN, SiOC, H doped SiOC, TiN, TaN, Ti, Ta, Si, and SiO2. More generally, the sacrificial layer is of any material, which may be selectively etched with respect to a filler material and the dielectric material and not to be removed when stripping the mask materials used for forming the said contact structures as described below.
  • a mask 214 is formed over the sacrificial layer (step 108 ), as shown in FIG. 2B .
  • Features 216 are etched into the sacrificial layer 212 and the dielectric layer 208 (step 112 ), as shown in FIG. 2C .
  • the features 216 are dual damascene features with vias and self aligned trenches, as shown.
  • the mask 214 shown in FIG. 2B is a via mask. After etching vias the mask 214 is removed and a trench mask is provided for etching trenches.
  • the etched features are filled with a filler material 218 (step 116 ), as shown in FIG. 2D .
  • the filler material may be photoresist or some other polymer or filler material.
  • the filler material is selected from at least one of a hydrocarbon, or doped hydrocarbon such as fluorinated hydrocarbons, amorphous Carbon, diamond-like carbon. More generally, the filler material is any material of the form H x C y , H x C y F z , H x C y Si z or any combination of C, H, F, Si with various impurities.
  • the sacrificial layer is then removed (step 120 ), as shown in FIG. 2E .
  • parts of the filler material 218 extend above the surface of the dielectric layer 208 , where spaces 217 are formed between the parts of the filler material 218 that extend above the surface of the dielectric layer 208 , where the spaces 217 are in the area formerly occupied by the sacrificial layer.
  • the spaces 217 have widths “w 1 ”, as shown in FIG. 2E .
  • the sacrificial layer must be a material that may be removed without removing the filler material 218 or the dielectric layer 208 .
  • the sacrificial layer may be silicon carbide, while the dielectric layer is an organosilicate glass.
  • the spaces between the filler material 218 are shrunk (step 112 ), as shown in FIG. 2F , by forming shrink sidewalls 215 on the sides walls of the exposed filler material 218 to form reduced spaces 220 with reduced widths “w 2 ”.
  • the forming the shrink sidewalls 215 to form reduced spaces may be performed by placing the substrate in a processing chamber.
  • FIG. 4 is a schematic view of a processing chamber 400 that may be used to form the shrink sidewalls.
  • the plasma processing chamber 400 comprises confinement rings 402 , an upper electrode 404 , a lower electrode 408 , a gas source 410 , and an exhaust pump 420 .
  • the gas source 410 comprises a shrink deposition gas source 412 and a shrink profile gas source 416 .
  • the gas source may comprise additional gas sources such as an etch gas source 418 and a gap closure gas source 422 to allow etching, stripping, and gap closing to be done in situ in the same chamber.
  • the substrate 204 is positioned upon the lower electrode 408 .
  • the lower electrode 408 incorporates a suitable substrate chucking mechanism (e.g., electrostatic, mechanical clamping, or the like) for holding the substrate 204 .
  • the reactor top 428 incorporates the upper electrode 404 disposed immediately opposite the lower electrode 408 .
  • the upper electrode 404 , lower electrode 408 , and confinement rings 402 define the confined plasma volume. Gas is supplied to the confined plasma volume by the gas source 410 and is exhausted from the confined plasma volume through the confinement rings 402 and an exhaust port by the exhaust pump 420 .
  • a first RF source 444 is electrically connected to the upper electrode 404 .
  • a second RF source 448 is electrically connected to the lower electrode 408 .
  • Chamber walls 452 surround the confinement rings 402 , the upper electrode 404 , and the lower electrode 408 .
  • Both the first RF source 444 and the second RF source 448 may comprise a 27 MHz power source and a 2 MHz power source. Different combinations of connecting RF power to the electrode are possible.
  • both the 27 MHz and 2 MHz power sources make up the second RF power source 448 connected to the lower electrode, and the upper electrode is grounded.
  • the RF power source may have a frequency up to 300 MHz.
  • a controller 435 is controllably connected to the RF sources 444 , 448 , exhaust pump 420 , and the gas source 410 .
  • the DFC System would be used when the layer to be etched 208 is a dielectric layer, such as silicon oxide or organo silicate glass.
  • FIGS. 5A and 5B illustrate a computer system 1300 , which is suitable for implementing a controller 435 used in embodiments of the present invention.
  • FIG. 5A shows one possible physical form of the computer system.
  • the computer system may have many physical forms ranging from an integrated circuit, a printed circuit board, and a small handheld device up to a huge super computer.
  • Computer system 1300 includes a monitor 1302 , a display 1304 , a housing 1306 , a disk drive 1308 , a keyboard 1310 , and a mouse 1312 .
  • Disk 1314 is a computer-readable medium used to transfer data to and from computer system 1300 .
  • FIG. 5B is an example of a block diagram for computer system 1300 . Attached to system bus 1320 is a wide variety of subsystems.
  • Processor(s) 1322 also referred to as central processing units, or CPUs
  • Memory 1324 includes random access memory (RAM) and read-only memory (ROM).
  • RAM random access memory
  • ROM read-only memory
  • RAM random access memory
  • ROM read-only memory
  • RAM random access memory
  • ROM read-only memory
  • a fixed disk 1326 is also coupled bi-directionally to CPU 1322 ; it provides additional data storage capacity and may also include any of the computer-readable media described below.
  • Fixed disk 1326 may be used to store programs, data, and the like and is typically a secondary storage medium (such as a hard disk) that is slower than primary storage. It will be appreciated that the information retained within fixed disk 1326 may, in appropriate cases, be incorporated in standard fashion as virtual memory in memory 1324 .
  • Removable disk 1314 may take the form of any of the computer-readable media described below.
  • CPU 1322 is also coupled to a variety of input/output devices, such as display 1304 , keyboard 1310 , mouse 1312 , and speakers 1330 .
  • an input/output device may be any of: video displays, track balls, mice, keyboards, microphones, touch-sensitive displays, transducer card readers, magnetic or paper tape readers, tablets, styluses, voice or handwriting recognizers, biometrics readers, or other computers.
  • CPU 1322 optionally may be coupled to another computer or telecommunications network using network interface 1340 . With such a network interface, it is contemplated that the CPU might receive information from the network, or might output information to the network in the course of performing the above-described method steps.
  • method embodiments of the present invention may execute solely upon CPU 1322 or may execute over a network such as the Internet in conjunction with a remote CPU that shares a portion of the processing.
  • embodiments of the present invention further relate to computer storage products with a computer-readable medium that have computer code thereon for performing various computer-implemented operations.
  • the media and computer code may be those specially designed and constructed for the purposes of the present invention, or they may be of the kind well known and available to those having skill in the computer software arts.
  • Examples of computer-readable media include, but are not limited to: magnetic media such as hard disks, floppy disks, and magnetic tape; optical media such as CD-ROMs and holographic devices; magneto-optical media such as floptical disks; and hardware devices that are specially configured to store and execute program code, such as application-specific integrated circuits (ASICs), programmable logic devices (PLDs) and ROM and RAM devices.
  • ASICs application-specific integrated circuits
  • PLDs programmable logic devices
  • Computer code examples include machine code, such as produced by a compiler, and files containing higher level code that are executed by a computer using an interpreter.
  • Computer readable media may also be computer code transmitted by a computer data signal embodied in a carrier wave and representing a sequence of instructions that are executable by a processor.
  • FIG. 3 is a more detailed flow chart of the step of shrinking the spaces between the filler material 218 (step 124 ).
  • the shrinking the spaces comprises a plurality of cycles of a cyclic process comprising a shrink deposition phase (step 304 ) and profile shaping phase (step 308 ).
  • the shrink deposition phase uses a deposition gas comprising at least one of a combination of CF 4 and H 2 or a combination of CH 3 F and N 2 or C x F y or C x H y F z or C x H y with an oxidizing or reducing additive such as hydrogen, nitrogen, or oxygen, and carrier gases such as He, Ar, Ne, Kr, Xe etc.
  • the deposition gas comprises at least one of hydrocarbon, fluorocarbon, and hydrofluorocarbon.
  • the deposition gas further comprises a carrier gas, such as argon or xenon.
  • the deposition gas further comprises at least one of an oxidizing additive and a reducing additive, such as O 2 , H 2 , or NH 3 .
  • An example of a shrink deposition phase (step 304 ) provides a flow of 150 sccm CH 3 F, 75 sccm N 2 , and 100 sccm Ar.
  • the pressure is set to 80 mTorr.
  • the substrate is maintained at a temperature of 20° C.
  • the second RF source 448 provides 400 Watts at a frequency of 27 MHz and 0 Watts a frequency of 2 MHz.
  • the deposition gas is provided, the deposition gas is transformed into a plasma, and then the deposition gas is stopped.
  • the shrink profile shaping stage uses a profile shaping gas comprising at least one of C x F y and NF 3 and C x H y and C x H y F z .
  • the profile shaping gas further comprises a carrier gas, such as argon or xenon.
  • the profile shaping gas further comprises at least one of an oxidizing additive and a reducing additive, such as O 2 , H 2 , or NH 3 .
  • the profile shaping gas is different than the deposition gas.
  • An example of the shrink profile shaping phase (step 308 ) provides a halogen (i.e. fluorine, bromine, chlorine) containing hydrocarbon gas, such as 100 sccm CF 4 .
  • CF 4 is the only gas provided during the profile shaping.
  • a pressure of 20 mTorr is provided to the chamber.
  • the second RF source 448 provides 600 Watts at a frequency of 27 MHz and 0 Watts a frequency of 2 MHz.
  • the profile shaping gas is provided, the profile shaping gas is transformed into a plasma, and then the profile shaping gas is stopped.
  • the process is performed for between 2 to 20 cycles. More preferably, the process is performed between 3 to 10 cycles.
  • the combination of deposition and profile shaping over a plurality of cycles allows for the formation of vertical sidewalls for the shrink.
  • the vertical sidewalls are sidewalls that from bottom to top make an angle between 88° to 90° with the bottom of the space.
  • the shrink sidewalls cause widths of the spaces to be reduced by between 5-80%. More preferably, the shrink sidewalls cause the widths of the spaces to be reduced by between 5-50%.
  • the cyclical cycle may have additional deposition and/or shaping phases or may have other additional phases.
  • Gaps are etched into the dielectric layer 208 through the reduced spaces between the shrink sidewalls 215 to form gaps 224 , as shown in FIG. 2G .
  • a conventional etch recipe for etching the dielectric layer 208 is used.
  • FIG. 6 is a more detailed flow chart of the one or more steps that are then used to remove the filler material and shrink sidewalls and to form pockets from the gaps, form conductive contacts in the etched features, and form an interlayer dielectric layer (step 132 ).
  • closures 228 are formed and the deposited sidewalls are removed (step 604 ), as shown in FIG. 2H to form pockets 232 .
  • the pockets are filled with air to lower the dielectric constant.
  • the pockets 232 may be filled with various gases so that they are gas filled, which are called gas pockets. More generally, the pockets may be filled with a fluid, such as a gas or liquid.
  • the volume of each pocket 232 is almost equal to the volume of the gap in which the pocket is located, and at least half the volume of the gap in which the pocket is located.
  • FIG. 7 is a more detailed view of a multiphase cyclical process for the step of forming the bread loaf closures while removing the shrink sidewalls (step 604 ).
  • a bread loaf deposition phase (step 704 ) is performed. This phase provides a deposition on sidewalls of the gaps.
  • a deposition gas is provided, a plasma is formed from the deposition gas, and then the deposition gas is stopped.
  • a bread loaf profile shaping phase (step 708 ) is then provided. This phase shapes the profile of the deposition to form bread loaf closures.
  • a bread loaf profile shaping gas is provided, a plasma is formed from the bread loaf profile shaping gas, then the bread loaf profile shaping gas is stopped.
  • this phase is used to remove the shrink sidewalls.
  • this cycle is repeated from 3 to 20 times.
  • the multiphase and multiple cycle process is able to provide a bread loaf closure in the gap below the top surface of the dielectric layer.
  • the deposited sidewalls 215 are removed when the closures 228 are formed.
  • the advantage of forming the bread loaf closures while removing the shrink sidewalls avoids a subsequent shrink sidewall removal, which could damage the bread loaf closures.
  • other embodiments may remove the shrink sidewalls separately through a process that does not damage the bread loaf closures, such as using a CMP process.
  • the filler material is then removed (step 608 ), as shown in FIG. 21 .
  • a conventional ashing process may be used to remove the filler material.
  • the etched features are filled with a metallic material 236 (step 612 ), such as copper, to form metal contacts, using conventional metal filling processes, as shown in FIG. 2J .
  • the bread loaf closures prevent the metallic material from filling the pockets 232 .
  • the table below provides various combinations of sacrificial layer materials and filler materials.
  • Duo is a hydrocarbon material with silicon.
  • the organic polymer may be amorphous carbon, photoresist, or bottom antireflective coating (BARC).
  • BARC bottom antireflective coating
  • Sacrificial materials Filler materials SiN Organic Polymer or TEOS a-Si Organic Polymer TEOS Organic Polymer Duo Organic Polymer SiC Organic Polymer a-Si Duo TiN Organic Polymer TaN Organic Polymer
  • An interlayer dielectric (ILD) 240 is formed over the dielectric layer 208 , contacts, and bread loaf closures 228 (step 616 ), as shown in FIG. 2K .
  • ILD interlayer dielectric
  • Conventional methods of forming the ILD, such as spin on or CVD may be used.
  • the feature shrink process allows the formation of pockets that have critical dimensions that are smaller than critical dimensions of the lithography process used.
  • the trench width is the minimum critical dimension possible for the lithographic process used.
  • the shrink process allows for a further reduction of the critical dimensions of the feature size. Without the shrink, the etching of the gap may allow the contact structure to be exposed to the etching of the gap, which would damage the contact structure.
  • this process allows the dielectric to be one of many different dielectric materials, wherein the process disclosed in U.S. Pat. No. 6,297,125 is limited regarding the dielectric materials that can be used and requires a barrier layer to protect the contact, which may increase the dielectric constant.
  • FIG. 8A is a cross-sectional view of a stack 800 with sacrificial layer 812 formed over a dielectric layer 808 , which is over a substrate 804 , into which dual damascene features have been etched.
  • a thin space 818 is between a first dual damascene feature 816 a and a second dual damascene feature 816 b .
  • a wide space 820 is between the second dual damascene feature 816 b and a third dual damascene feature 816 c.
  • FIG. 8B is a cross-sectional view of the stack 800 after the dual damascene features have been filled with a filler material 824 , the sacrificial layer has been removed, shrink sidewalls 815 have been formed, and gaps have been etched. In the areas of the regular spaces, narrow gaps 826 have been formed. In the area where there was a wide space a wide gap 828 has been formed.
  • FIG. 8C is a cross-sectional view of the stack 800 after closures 832 are formed and the shrink sidewalls are removed. Because the wide gap 828 is so wide, the closures 832 do not close the wide gap 820 .
  • FIG. 8D is a cross-sectional view of the stack 800 after the filler material is removed and the dual damascene features are filled with a conductive material 836 . Because a the wide gap has not been closed, in the conductive material fills the wide gap, which is not desired.
  • FIG. 9A is a cross-sectional view of the stack 900 after the dual damascene features have been filled with a filler material 924 , the sacrificial layer has been removed, shrink sidewalls 915 have been formed, and gaps have been etched into the dielectric layer 908 over the substrate 904 . In the areas of the regular spaces, narrow gaps 926 have been formed. In the area where there was a wide space a wide gap 928 has been formed.
  • FIG. 10 is a flow chart of a cyclical deposition process that is used to fill the wide gaps 928 without filling the narrow gaps 926 .
  • a gap deposition phase 1004 deposits material in the gaps (step 1004 ).
  • a gap deposition shaping phase shapes the deposit so that no net deposit occurs in the narrow gap while a deposition remains in the wide gap (step 1008 ).
  • the gap deposition phase uses a deposition gas comprising at least one of a combination of CF 4 and H 2 or a combination of CH 3 F and N 2 or C x F y or C x H y F z with an oxidizing or reducing additive such as hydrogen, nitrogen, or oxygen, and carrier gases such as He, Ar, Ne, Kr, Xe etc.
  • the deposition gas comprises at least one of hydrocarbon, fluorocarbon, and hydrofluorocarbon.
  • the deposition gas further comprises a carrier gas, such as argon or xenon.
  • the deposition gas further comprises at least one of an oxidizing additive and a reducing additive, such as O 2 , H 2 , or NH 3 .
  • the gap deposition shaping phase uses a deposition shaping gas comprising at least one of C x F y and NF 3 and C x H y F z .
  • the deposition shaping gas further comprises a carrier gas, such as argon or xenon.
  • the profile shaping gas further comprises at least one of an oxidizing additive and a reducing additive, such as O 2 , H 2 , or NH 3 .
  • FIG. 9B is a cross sectional view of the stack after the cyclical deposition process is complete.
  • the wide gap has been filled with a deposition 932 , while the narrower gaps have not been filled.
  • the filler material 924 is removed and the dual damascene features are filled with a conductive material.
  • the deposition 932 keeps the conductive material out of the wide gap.
  • the deposition 932 may be left in the wide gap to act as a dielectric in the final product. The deposition would then be chosen to be low-K material. In another embodiment, the deposition 932 may be removed and the wide gap closed by the subsequent ILD layer to form a wide pocket.
  • a mask 1124 is formed over the features, which covers the narrow spaces while exposing the wide spaces 1120 , as shown in FIG. 11A .
  • the sacrificial material in the wide spaces is completely exposed, as shown in FIG. 11A .
  • the dielectric layer 1108 is part of a stack formed by a sacrificial layer 1112 over the dielectric layer 1108 over a substrate 1104 .
  • the part of the sacrificial layer 1112 exposed by the opening in the mask 1124 is removed, as shown in FIG. 11B .
  • the mask is removed and the filler material 1124 is provided, which fills the features and the part of the sacrificial layer that was removed.
  • the remaining sacrificial layer is then removed, as shown in FIG. 11C .
  • the spaces between the filler material are then shrunk (step 124 ) and gaps 1126 are etched into the dielectric layer (step 128 ), as shown in FIG. 11D .
  • the filler material 1124 over the wide space prevents gaps from being etched into the dielectric layer 1108 at the wide space.
  • a mask 1236 is formed over the wide space 1228 of a stack 1200 but not over the narrow spaces, as shown in FIG. 12A .
  • the gaps are etched into the dielectric layer 1208 over the substrate 1204 of the stack between sidewall shrink 1215 adjacent to filler material 1224 , as shown in FIG. 12B .
  • the mask 1236 prevents etching of the wide spaces, while gaps are etched in the narrow spaces.

Abstract

A method for reducing capacitances between semiconductor device wirings is provided. A sacrificial layer is formed over a dielectric layer. A plurality of features are etched into the sacrificial layer and dielectric layer. The features are filled with a filler material. The sacrificial layer is removed, so that parts of the filler material remain exposed above a surface of the dielectric layer, where spaces are between the exposed parts of the filler material, where the spaces are in an area formerly occupied by the sacrificial layer. Widths of the spaces between the parts of the filler material are shrunk with a shrink sidewall deposition. Gaps are etched into the dielectric layer through the shrink sidewall deposition. The filler material and shrink sidewall deposition are removed.

Description

BACKGROUND OF THE INVENTION
The present invention relates to the formation of semiconductor devices. More particularly, the invention relates to the formation of semiconductor devices with gaps for reducing capacitance.
In semiconductor-based device (e.g., integrated circuits or flat panel displays) manufacturing, dual damascene structures may be used in conjunction with copper conductor material to reduce the RC delays associated with signal propagation in aluminum based materials used in previous generation technologies. In dual damascene, instead of etching the conductor material, vias, and trenches may be etched into the dielectric material and filled with copper. The excess copper may be removed by chemical mechanical polishing (CMP) leaving copper lines connected by vias for signal transmission. To reduce the RC delays even further, porous and non-porous low-k dielectric constant materials may be used. In the specification and claims low-k is defined as k<3.0.
U.S. Pat. No. 6,297,125 discloses the use of air gaps to reduce capacitance.
SUMMARY OF THE INVENTION
To achieve the foregoing and in accordance with the purpose of the present invention a method for reducing capacitances between semiconductor device wirings is provided. A sacrificial layer is formed over a dielectric layer. A plurality of features are etched into the sacrificial layer and dielectric layer. The features are filled with a filler material. The sacrificial layer is removed, so that parts of the filler material remain exposed above a surface of the dielectric layer, where spaces are between the exposed parts of the filler material, where the spaces are in an area formerly occupied by the sacrificial layer. Widths of the spaces between the parts of the filler material are shrunk with a shrink sidewall deposition. Gaps are etched into the dielectric layer through the shrink sidewall deposition. The filler material and shrink sidewall deposition are removed.
In another manifestation of the invention a method for reducing capacitances between semiconductor device wirings is provided. A sacrificial layer is formed over a dielectric layer. A plurality of features are etched into the sacrificial layer and dielectric layer. The features are filled with a filler material. The sacrificial layer is removed, so that parts of the filler material remain exposed above a surface of the dielectric layer, where spaces are between the exposed parts of the filler material, where the spaces are in an area formerly occupied by the sacrificial layer. Widths of the spaces between the parts of the filler material are shrunk with a shrink sidewall deposition. Gaps are etched into the dielectric layer through the shrink sidewall deposition. The filler material and shrink sidewall deposition are removed. The gaps are closed to form pockets from the gaps. The closing the gap comprises a plurality of cycles, where each cycle comprises a bread loaf deposition phase and a bread loaf profile shaping phase. The features are filled with a conductive material.
In another manifestation of the invention an apparatus for reducing capacitances between semiconductor devices for a dielectric layer over which a sacrificial layer has been placed is provided. A plasma processing chamber, comprising a chamber wall forming a plasma processing chamber enclosure, a substrate support for supporting a substrate within the plasma processing chamber enclosure. a pressure regulator for regulating the pressure in the plasma processing chamber enclosure, at least one electrode for providing power to the plasma processing chamber enclosure for sustaining a plasma, a gas inlet for providing gas into the plasma processing chamber enclosure and, a gas outlet for exhausting gas from the plasma processing chamber enclosure is provided. A gas source is in fluid connection with the gas inlet. The gas source comprises a sacrificial layer etchant source, a dielectric layer etchant source, a shrink deposition gas source, and a shrink profile shaping gas source. A controller is controllably connected to the gas source and the at least one electrode. The controller comprises at least one processor and computer readable media. The computer readable media comprises computer readable code for etching features into the sacrificial layer and dielectric layer, wherein the features are subsequently filled with a filler material, computer readable code for removing the sacrificial layer, so that parts of the filler layer remain exposed above a surface of the dielectric layer, wherein spaces are between the exposed parts of the filler material, computer readable code for shrinking widths of the spaces between parts of the filler material with a shrink comprising at least one cycle, wherein each cycle comprises computer readable code for providing a shrink deposition gas from the shrink deposition gas source, computer readable code for generating a plasma from the shrink deposition gas, computer readable code for stopping the shrink deposition gas from the shrink deposition gas source, computer readable code for providing a shrink profile shaping gas from the shrink profile shaping gas source, computer readable code for generating a plasma from the shrink profile shaping gas, and computer readable code for stopping the shrink profile shaping gas from the shrink profile shaping gas source, computer readable code for etching gaps into the etch layer between contact structures through the sidewall deposition, and computer readable code for closing the gaps to form pockets in the gaps.
These and other features of the present invention will be described in more detail below in the detailed description of the invention and in conjunction with the following figures.
BRIEF DESCRIPTION OF THE DRAWINGS
The present invention is illustrated by way of example, and not by way of limitation, in the figures of the accompanying drawings and in which like reference numerals refer to similar elements and in which:
FIG. 1 is a high level flow chart of a process that may be used in an embodiment of the invention.
FIGS. 2A-K are schematic cross-sectional and top views of a stack processed according to an embodiment of the invention.
FIG. 3 is a more detailed flow of a step of shrinking spaces.
FIG. 4 is a schematic view of a plasma processing chamber that may be used in practicing the invention.
FIGS. 5A-B illustrate a computer system, which is suitable for implementing a controller used in embodiments of the present invention.
FIG. 6 is a more detailed flow chart of the one or more steps that are used to remove the filler material and shrink sidewalls and to form pockets from the gaps, form conductive contacts in the etched features, and form an interlayer dielectric layer.
FIG. 7 is a more detailed view of a multiphase cyclical process for the step of forming the bread loaf closures while removing the shrink sidewalls.
FIGS. 8A-D are schematic cross-sectional views of a stack with wide and narrow spaces.
FIGS. 9A-B are schematic cross-sectional views of a stack with wide and narrow spaces in another embodiment of the invention.
FIG. 10 is a multiphase cyclical process filling wide gaps but not narrow gaps.
FIGS. 11A-D are schematic cross-sectional views of a stack with wide and narrow spaces in another embodiment of the invention.
FIGS. 12A-B are schematic cross-sectional views of a stack with wide and narrow spaces in another embodiment of the invention.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
The present invention will now be described in detail with reference to a few preferred embodiments thereof as illustrated in the accompanying drawings. In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. It will be apparent, however, to one skilled in the art, that the present invention may be practiced without some or all of these specific details. In other instances, well known process steps and/or structures have not been described in detail in order to not unnecessarily obscure the present invention.
To facilitate understanding, FIG. 1 is a high level flow chart of a process that may be used in an embodiment of the invention. A sacrificial layer is formed over a dielectric layer (step 104). A mask is formed over the sacrificial layer (step 108). Features are etched into the sacrificial layer and dielectric layer (step 112). Preferably, the etched features are dual damascene features comprising vias and trenches. Preferably, one mask is used to form vias and another mask is used to form trenches. The features are filled with a filler material (step 116). The sacrificial layer is removed (step 120). As a result, part of the filler material extends above the surface of the dielectric layer with spaces between the parts of the filler material extending about the surface of the dielectric layer, wherein the spaces are in the areas formerly occupied by the sacrificial layer. Spaces between the filler material are shrunk (step 124) by forming sidewall depositions on the sides of the filler material, which form shrink sidewalls. Gaps are etched into the dielectric layer (step 128) through the shrunk spaces. The gaps are spaced between the etched features. One or more steps are then used to remove the filler material and shrink sidewalls and to form pockets from the gaps, form conductive contacts in the etched features, and form an interlayer dielectric layer (step 132).
EXAMPLE
In an example of an embodiment of the invention, a sacrificial layer is formed over a dielectric layer (step 104). FIG. 2A is a cross-sectional view of a sacrificial layer 212 formed over a dielectric layer 208, which is over a substrate 204. In this example, the substrate 204 is a silicon wafer. The dielectric layer 208 is a low-k dielectric, such as organosilicate glass. The sacrificial layer is silicon carbide. In other embodiments, the sacrificial layer is at least one of SiC, SiN, SiOC, H doped SiOC, TiN, TaN, Ti, Ta, Si, and SiO2. More generally, the sacrificial layer is of any material, which may be selectively etched with respect to a filler material and the dielectric material and not to be removed when stripping the mask materials used for forming the said contact structures as described below.
A mask 214 is formed over the sacrificial layer (step 108), as shown in FIG. 2B. Features 216 are etched into the sacrificial layer 212 and the dielectric layer 208 (step 112), as shown in FIG. 2C. In this example, the features 216 are dual damascene features with vias and self aligned trenches, as shown. In one example of forming the dual damascene features the mask 214, shown in FIG. 2B is a via mask. After etching vias the mask 214 is removed and a trench mask is provided for etching trenches.
The etched features are filled with a filler material 218 (step 116), as shown in FIG. 2D. The filler material may be photoresist or some other polymer or filler material. In the preferred embodiment, the filler material is selected from at least one of a hydrocarbon, or doped hydrocarbon such as fluorinated hydrocarbons, amorphous Carbon, diamond-like carbon. More generally, the filler material is any material of the form HxCy, HxCyFz, HxCySiz or any combination of C, H, F, Si with various impurities.
The sacrificial layer is then removed (step 120), as shown in FIG. 2E. As a result of the removal of the sacrificial layer, parts of the filler material 218 extend above the surface of the dielectric layer 208, where spaces 217 are formed between the parts of the filler material 218 that extend above the surface of the dielectric layer 208, where the spaces 217 are in the area formerly occupied by the sacrificial layer. The spaces 217 have widths “w1”, as shown in FIG. 2E. To remove the sacrificial layer without removing the filler material 218 or dielectric layer 208, the sacrificial layer must be a material that may be removed without removing the filler material 218 or the dielectric layer 208. For example, the sacrificial layer may be silicon carbide, while the dielectric layer is an organosilicate glass.
The spaces between the filler material 218 are shrunk (step 112), as shown in FIG. 2F, by forming shrink sidewalls 215 on the sides walls of the exposed filler material 218 to form reduced spaces 220 with reduced widths “w2”. The forming the shrink sidewalls 215 to form reduced spaces may be performed by placing the substrate in a processing chamber.
FIG. 4 is a schematic view of a processing chamber 400 that may be used to form the shrink sidewalls. The plasma processing chamber 400 comprises confinement rings 402, an upper electrode 404, a lower electrode 408, a gas source 410, and an exhaust pump 420. The gas source 410 comprises a shrink deposition gas source 412 and a shrink profile gas source 416. The gas source may comprise additional gas sources such as an etch gas source 418 and a gap closure gas source 422 to allow etching, stripping, and gap closing to be done in situ in the same chamber. Within plasma processing chamber 400, the substrate 204 is positioned upon the lower electrode 408. The lower electrode 408 incorporates a suitable substrate chucking mechanism (e.g., electrostatic, mechanical clamping, or the like) for holding the substrate 204. The reactor top 428 incorporates the upper electrode 404 disposed immediately opposite the lower electrode 408. The upper electrode 404, lower electrode 408, and confinement rings 402 define the confined plasma volume. Gas is supplied to the confined plasma volume by the gas source 410 and is exhausted from the confined plasma volume through the confinement rings 402 and an exhaust port by the exhaust pump 420. A first RF source 444 is electrically connected to the upper electrode 404. A second RF source 448 is electrically connected to the lower electrode 408. Chamber walls 452 surround the confinement rings 402, the upper electrode 404, and the lower electrode 408. Both the first RF source 444 and the second RF source 448 may comprise a 27 MHz power source and a 2 MHz power source. Different combinations of connecting RF power to the electrode are possible. In the case of Lam Research Corporation's Dual Frequency Capacitive (DFC) System, made by LAM Research Corporation™ of Fremont, Calif., which may be used in a preferred embodiment of the invention, both the 27 MHz and 2 MHz power sources make up the second RF power source 448 connected to the lower electrode, and the upper electrode is grounded. In other embodiments, the RF power source may have a frequency up to 300 MHz. A controller 435 is controllably connected to the RF sources 444, 448, exhaust pump 420, and the gas source 410. The DFC System would be used when the layer to be etched 208 is a dielectric layer, such as silicon oxide or organo silicate glass.
FIGS. 5A and 5B illustrate a computer system 1300, which is suitable for implementing a controller 435 used in embodiments of the present invention. FIG. 5A shows one possible physical form of the computer system. Of course, the computer system may have many physical forms ranging from an integrated circuit, a printed circuit board, and a small handheld device up to a huge super computer. Computer system 1300 includes a monitor 1302, a display 1304, a housing 1306, a disk drive 1308, a keyboard 1310, and a mouse 1312. Disk 1314 is a computer-readable medium used to transfer data to and from computer system 1300.
FIG. 5B is an example of a block diagram for computer system 1300. Attached to system bus 1320 is a wide variety of subsystems. Processor(s) 1322 (also referred to as central processing units, or CPUs) are coupled to storage devices, including memory 1324. Memory 1324 includes random access memory (RAM) and read-only memory (ROM). As is well known in the art, ROM acts to transfer data and instructions uni-directionally to the CPU and RAM is used typically to transfer data and instructions in a bi-directional manner. Both of these types of memories may include any suitable of the computer-readable media described below. A fixed disk 1326 is also coupled bi-directionally to CPU 1322; it provides additional data storage capacity and may also include any of the computer-readable media described below. Fixed disk 1326 may be used to store programs, data, and the like and is typically a secondary storage medium (such as a hard disk) that is slower than primary storage. It will be appreciated that the information retained within fixed disk 1326 may, in appropriate cases, be incorporated in standard fashion as virtual memory in memory 1324. Removable disk 1314 may take the form of any of the computer-readable media described below.
CPU 1322 is also coupled to a variety of input/output devices, such as display 1304, keyboard 1310, mouse 1312, and speakers 1330. In general, an input/output device may be any of: video displays, track balls, mice, keyboards, microphones, touch-sensitive displays, transducer card readers, magnetic or paper tape readers, tablets, styluses, voice or handwriting recognizers, biometrics readers, or other computers. CPU 1322 optionally may be coupled to another computer or telecommunications network using network interface 1340. With such a network interface, it is contemplated that the CPU might receive information from the network, or might output information to the network in the course of performing the above-described method steps. Furthermore, method embodiments of the present invention may execute solely upon CPU 1322 or may execute over a network such as the Internet in conjunction with a remote CPU that shares a portion of the processing.
In addition, embodiments of the present invention further relate to computer storage products with a computer-readable medium that have computer code thereon for performing various computer-implemented operations. The media and computer code may be those specially designed and constructed for the purposes of the present invention, or they may be of the kind well known and available to those having skill in the computer software arts. Examples of computer-readable media include, but are not limited to: magnetic media such as hard disks, floppy disks, and magnetic tape; optical media such as CD-ROMs and holographic devices; magneto-optical media such as floptical disks; and hardware devices that are specially configured to store and execute program code, such as application-specific integrated circuits (ASICs), programmable logic devices (PLDs) and ROM and RAM devices. Examples of computer code include machine code, such as produced by a compiler, and files containing higher level code that are executed by a computer using an interpreter. Computer readable media may also be computer code transmitted by a computer data signal embodied in a carrier wave and representing a sequence of instructions that are executable by a processor.
FIG. 3 is a more detailed flow chart of the step of shrinking the spaces between the filler material 218 (step 124). As shown in FIG. 3, the shrinking the spaces comprises a plurality of cycles of a cyclic process comprising a shrink deposition phase (step 304) and profile shaping phase (step 308).
Preferably, the shrink deposition phase (step 304) uses a deposition gas comprising at least one of a combination of CF4 and H2 or a combination of CH3F and N2 or CxFy or CxHyFz or CxHy with an oxidizing or reducing additive such as hydrogen, nitrogen, or oxygen, and carrier gases such as He, Ar, Ne, Kr, Xe etc. More generally, the deposition gas comprises at least one of hydrocarbon, fluorocarbon, and hydrofluorocarbon. More preferably, the deposition gas further comprises a carrier gas, such as argon or xenon. More preferably, the deposition gas further comprises at least one of an oxidizing additive and a reducing additive, such as O2, H2, or NH3.
An example of a shrink deposition phase (step 304) provides a flow of 150 sccm CH3F, 75 sccm N2, and 100 sccm Ar. The pressure is set to 80 mTorr. The substrate is maintained at a temperature of 20° C. The second RF source 448 provides 400 Watts at a frequency of 27 MHz and 0 Watts a frequency of 2 MHz. During the deposition phase the deposition gas is provided, the deposition gas is transformed into a plasma, and then the deposition gas is stopped.
Preferably, the shrink profile shaping stage uses a profile shaping gas comprising at least one of CxFy and NF3 and CxHy and CxHyFz. More preferably, the profile shaping gas further comprises a carrier gas, such as argon or xenon. More preferably, the profile shaping gas further comprises at least one of an oxidizing additive and a reducing additive, such as O2, H2, or NH3. As a result, the profile shaping gas is different than the deposition gas.
An example of the shrink profile shaping phase (step 308) provides a halogen (i.e. fluorine, bromine, chlorine) containing hydrocarbon gas, such as 100 sccm CF4. In this example, CF4 is the only gas provided during the profile shaping. A pressure of 20 mTorr is provided to the chamber. The second RF source 448 provides 600 Watts at a frequency of 27 MHz and 0 Watts a frequency of 2 MHz. During the profile shaping phase the profile shaping gas is provided, the profile shaping gas is transformed into a plasma, and then the profile shaping gas is stopped.
Preferably, the process is performed for between 2 to 20 cycles. More preferably, the process is performed between 3 to 10 cycles. The combination of deposition and profile shaping over a plurality of cycles allows for the formation of vertical sidewalls for the shrink. Preferably, the vertical sidewalls are sidewalls that from bottom to top make an angle between 88° to 90° with the bottom of the space.
Preferably, the shrink sidewalls cause widths of the spaces to be reduced by between 5-80%. More preferably, the shrink sidewalls cause the widths of the spaces to be reduced by between 5-50%. The cyclical cycle may have additional deposition and/or shaping phases or may have other additional phases.
Gaps are etched into the dielectric layer 208 through the reduced spaces between the shrink sidewalls 215 to form gaps 224, as shown in FIG. 2G. A conventional etch recipe for etching the dielectric layer 208 is used.
One or more steps are then used to remove the filler material and shrink sidewalls and to form pockets from the gaps, form conductive contacts in the etched features, and form an interlayer dielectric layer (step 132). FIG. 6 is a more detailed flow chart of the one or more steps that are then used to remove the filler material and shrink sidewalls and to form pockets from the gaps, form conductive contacts in the etched features, and form an interlayer dielectric layer (step 132).
In this example, closures 228 are formed and the deposited sidewalls are removed (step 604), as shown in FIG. 2H to form pockets 232. In this example, the pockets are filled with air to lower the dielectric constant. The pockets 232 may be filled with various gases so that they are gas filled, which are called gas pockets. More generally, the pockets may be filled with a fluid, such as a gas or liquid. The volume of each pocket 232 is almost equal to the volume of the gap in which the pocket is located, and at least half the volume of the gap in which the pocket is located.
FIG. 7 is a more detailed view of a multiphase cyclical process for the step of forming the bread loaf closures while removing the shrink sidewalls (step 604). A bread loaf deposition phase (step 704) is performed. This phase provides a deposition on sidewalls of the gaps. During the deposition phase a deposition gas is provided, a plasma is formed from the deposition gas, and then the deposition gas is stopped. A bread loaf profile shaping phase (step 708) is then provided. This phase shapes the profile of the deposition to form bread loaf closures. During the bread loaf profile shaping phase a bread loaf profile shaping gas is provided, a plasma is formed from the bread loaf profile shaping gas, then the bread loaf profile shaping gas is stopped. In addition, this phase is used to remove the shrink sidewalls. Preferably, this cycle is repeated from 3 to 20 times. The multiphase and multiple cycle process is able to provide a bread loaf closure in the gap below the top surface of the dielectric layer.
In this embodiment, the deposited sidewalls 215 are removed when the closures 228 are formed. The advantage of forming the bread loaf closures while removing the shrink sidewalls avoids a subsequent shrink sidewall removal, which could damage the bread loaf closures. However, other embodiments may remove the shrink sidewalls separately through a process that does not damage the bread loaf closures, such as using a CMP process.
It is desirable to form the bread loaf closure in the gap, so that the closure is below the top surface of the etch layer. One advantage of this is that a subsequent CMP process will not damage such closures. It is believed that a multiphase and/or multiple cycle process is an advantageous process for forming such closures in the gap.
The filler material is then removed (step 608), as shown in FIG. 21. A conventional ashing process may be used to remove the filler material. The etched features are filled with a metallic material 236 (step 612), such as copper, to form metal contacts, using conventional metal filling processes, as shown in FIG. 2J. The bread loaf closures prevent the metallic material from filling the pockets 232.
The table below provides various combinations of sacrificial layer materials and filler materials. Duo is a hydrocarbon material with silicon. The organic polymer may be amorphous carbon, photoresist, or bottom antireflective coating (BARC). The combinations allow the sacrificial layer to be selectively removed with respect to the filler material and dielectric layer using either a plasma etch or wet strip and the filler material to be selectively removed with respect to the dielectric layer using an oxidizing, reducing, or wet strip.
Sacrificial
materials Filler materials
SiN Organic Polymer or TEOS
a-Si Organic Polymer
TEOS Organic Polymer
Duo Organic Polymer
SiC Organic Polymer
a-Si Duo
TiN Organic Polymer
TaN Organic Polymer
An interlayer dielectric (ILD) 240 is formed over the dielectric layer 208, contacts, and bread loaf closures 228 (step 616), as shown in FIG. 2K. Conventional methods of forming the ILD, such as spin on or CVD may be used.
This process allows for alignment of the pockets with the features. The feature shrink process allows the formation of pockets that have critical dimensions that are smaller than critical dimensions of the lithography process used. In this example, the trench width is the minimum critical dimension possible for the lithographic process used. The shrink process allows for a further reduction of the critical dimensions of the feature size. Without the shrink, the etching of the gap may allow the contact structure to be exposed to the etching of the gap, which would damage the contact structure.
In addition, this process allows the dielectric to be one of many different dielectric materials, wherein the process disclosed in U.S. Pat. No. 6,297,125 is limited regarding the dielectric materials that can be used and requires a barrier layer to protect the contact, which may increase the dielectric constant.
In processes where the contacts are separated by a large distance, additional steps may be required. FIG. 8A is a cross-sectional view of a stack 800 with sacrificial layer 812 formed over a dielectric layer 808, which is over a substrate 804, into which dual damascene features have been etched. A thin space 818 is between a first dual damascene feature 816 a and a second dual damascene feature 816 b. A wide space 820 is between the second dual damascene feature 816 b and a third dual damascene feature 816 c.
FIG. 8B is a cross-sectional view of the stack 800 after the dual damascene features have been filled with a filler material 824, the sacrificial layer has been removed, shrink sidewalls 815 have been formed, and gaps have been etched. In the areas of the regular spaces, narrow gaps 826 have been formed. In the area where there was a wide space a wide gap 828 has been formed.
FIG. 8C is a cross-sectional view of the stack 800 after closures 832 are formed and the shrink sidewalls are removed. Because the wide gap 828 is so wide, the closures 832 do not close the wide gap 820.
FIG. 8D is a cross-sectional view of the stack 800 after the filler material is removed and the dual damascene features are filled with a conductive material 836. Because a the wide gap has not been closed, in the conductive material fills the wide gap, which is not desired.
Various additional steps may be used to prevent the formation of a wide gap filled with conductive material.
FIG. 9A is a cross-sectional view of the stack 900 after the dual damascene features have been filled with a filler material 924, the sacrificial layer has been removed, shrink sidewalls 915 have been formed, and gaps have been etched into the dielectric layer 908 over the substrate 904. In the areas of the regular spaces, narrow gaps 926 have been formed. In the area where there was a wide space a wide gap 928 has been formed.
A cyclical deposition process is used that selectively fills wide gaps 928, while not filling narrow gaps 926. FIG. 10 is a flow chart of a cyclical deposition process that is used to fill the wide gaps 928 without filling the narrow gaps 926. A gap deposition phase 1004 deposits material in the gaps (step 1004). A gap deposition shaping phase shapes the deposit so that no net deposit occurs in the narrow gap while a deposition remains in the wide gap (step 1008).
Preferably, the gap deposition phase (step 1004) uses a deposition gas comprising at least one of a combination of CF4 and H2 or a combination of CH3F and N2 or CxFy or CxHyFz with an oxidizing or reducing additive such as hydrogen, nitrogen, or oxygen, and carrier gases such as He, Ar, Ne, Kr, Xe etc. More generally, the deposition gas comprises at least one of hydrocarbon, fluorocarbon, and hydrofluorocarbon. More preferably, the deposition gas further comprises a carrier gas, such as argon or xenon. More preferably, the deposition gas further comprises at least one of an oxidizing additive and a reducing additive, such as O2, H2, or NH3.
Preferably, the gap deposition shaping phase (step 1008) uses a deposition shaping gas comprising at least one of CxFy and NF3 and CxHyFz. More preferably, the deposition shaping gas further comprises a carrier gas, such as argon or xenon. More preferably, the profile shaping gas further comprises at least one of an oxidizing additive and a reducing additive, such as O2, H2, or NH3.
FIG. 9B is a cross sectional view of the stack after the cyclical deposition process is complete. The wide gap has been filled with a deposition 932, while the narrower gaps have not been filled. The filler material 924 is removed and the dual damascene features are filled with a conductive material. The deposition 932 keeps the conductive material out of the wide gap.
In one embodiment the deposition 932 may be left in the wide gap to act as a dielectric in the final product. The deposition would then be chosen to be low-K material. In another embodiment, the deposition 932 may be removed and the wide gap closed by the subsequent ILD layer to form a wide pocket.
In another embodiment, after the features are etched into the dielectric layer 1108 (step 112), a mask 1124 is formed over the features, which covers the narrow spaces while exposing the wide spaces 1120, as shown in FIG. 11A. Preferably, the sacrificial material in the wide spaces is completely exposed, as shown in FIG. 11A. The dielectric layer 1108 is part of a stack formed by a sacrificial layer 1112 over the dielectric layer 1108 over a substrate 1104. The part of the sacrificial layer 1112 exposed by the opening in the mask 1124 is removed, as shown in FIG. 11B. The mask is removed and the filler material 1124 is provided, which fills the features and the part of the sacrificial layer that was removed. The remaining sacrificial layer is then removed, as shown in FIG. 11C. The spaces between the filler material are then shrunk (step 124) and gaps 1126 are etched into the dielectric layer (step 128), as shown in FIG. 11D. The filler material 1124 over the wide space prevents gaps from being etched into the dielectric layer 1108 at the wide space.
In another embodiment, after the spaces are shrunk (step 124) a mask 1236 is formed over the wide space 1228 of a stack 1200 but not over the narrow spaces, as shown in FIG. 12A. The gaps are etched into the dielectric layer 1208 over the substrate 1204 of the stack between sidewall shrink 1215 adjacent to filler material 1224, as shown in FIG. 12B. The mask 1236 prevents etching of the wide spaces, while gaps are etched in the narrow spaces.
While this invention has been described in terms of several preferred embodiments, there are alterations, modifications, permutations, and various substitute equivalents, which fall within the scope of this invention. It should also be noted that there are many alternative ways of implementing the methods and apparatuses of the present invention. It is therefore intended that the following appended claims be interpreted as including all such alterations, modifications, permutations, and various substitute equivalents as fall within the true spirit and scope of the present invention.

Claims (19)

1. A method for reducing capacitances between semiconductor device wirings, comprising:
forming a sacrificial layer over a dielectric layer;
etching a plurality of features into the sacrificial layer and dielectric layer;
filling the features with a filler material;
removing the sacrificial layer, so that parts of the filler material remain exposed above a surface of the dielectric layer, wherein spaces are between the exposed parts of the filler material, where the spaces are in an area formerly occupied by the sacrificial layer, wherein the spaces have widths;
shrinking the widths of the spaces between the parts of the filler material with a shrink sidewall deposition;
etching gaps into the dielectric layer through the shrink sidewall deposition; and
removing the filler material and shrink sidewall deposition.
2. The method, as recited in claim 1, further comprising closing the gaps to form pockets from the gaps.
3. The method, as recited in claim 2, further comprising filling the features with a conductive material.
4. The method, as recited in claim 3, wherein each gap has a volume and each pocket has a volume, wherein the volume of each pocket is at least half the volume of the gap in which the pocket is located.
5. The method, as recited in claim 4, wherein the shrinking the widths of the spaces comprises at least one shrink cycle, wherein each shrink cycle comprises:
a shrink deposition phase, which forms deposits on sidewalls of the filler material to shrink the spaces; and
a shrink profile shaping phase, which shapes the deposition on the sidewalls of the filler material.
6. The method, as recited in claim 5, wherein the shrink deposition phase comprises:
providing a deposition gas;
forming a plasma from the deposition gas; and
stopping the flow of the deposition gas.
7. The method, as recited in claim 6, wherein the shrink profile shaping phase comprises:
providing a profile shaping gas different than the deposition gas;
forming a plasma from the profile shaping gas; and
stopping the flow of the profile shaping gas.
8. The method, as recited in claim 7, wherein the deposition gas comprises at least one of a hydrocarbon, fluorocarbon, and hydrofluorocarbon and the profile shaping gas comprises at least one of CxFy, NF3, CxHyand CxHyFz.
9. The method, as recited in claim 8, wherein the closing the gaps comprises a plurality of cycles, wherein each cycle comprises:
a bread loaf deposition phase; and
a bread loaf profile shaping phase.
10. The method, as recited in claim 9, wherein closing the gaps also removes the shrink sidewall deposition.
11. The method, as recited in claim 10, wherein the shrinking the widths of the spaces shrinks the widths of the spaces by 5-80% and wherein the profile shaping phase shapes the shrink sidewall deposition to form vertical sidewalls.
12. The method, as recited in claim 9, wherein the removing the filler material occurs after closing the gap.
13. The method, as recited in claim 2, wherein each gap has a volume and each pocket has a volume, wherein the volume of each pocket is at least half the volume of the gap in which the pocket is located.
14. The method, as recited in claim 2, wherein the closing the gaps comprises a plurality of cycles, wherein each cycle comprises:
a bread loaf deposition phase; and
a bread loaf profile shaping phase.
15. The method, as recited in claim 1, further comprising filling the features with a conductive material.
16. The method, as recited in claim 1, wherein the shrinking the widths of the spaces comprises at least one shrink cycle, wherein each shrink cycle comprises:
a shrink deposition phase, which forms deposits on sidewalls of the filler material to shrink the spaces; and
a shrink profile shaping phase, which shapes the deposition on the sidewalls of the filler material.
17. The method, as recited in claim 16, wherein the shrink deposition phase comprises:
providing a deposition gas;
forming a plasma from the deposition gas; and
stopping the flow of the deposition gas.
18. The method, as recited in claim 16, wherein the shrink profile shaping phase comprises:
providing a profile shaping gas different than the deposition gas;
forming a plasma from the profile shaping gas; and
stopping the flow of the profile shaping gas.
19. A method for reducing capacitances between semiconductor device wirings, comprising:
forming a sacrificial layer over a dielectric layer;
etching a plurality of features into the sacrificial layer and dielectric layer;
filling the features with a filler material;
removing the sacrificial layer, so that parts of the filler material remain exposed above a surface of the dielectric layer, wherein spaces are between the exposed parts of the filler material, where the spaces are in an area formerly occupied by the sacrificial layer, wherein the spaces have widths;
shrinking the widths of the spaces between the parts of the filler material with a shrink sidewall deposition;
etching gaps into the dielectric layer through the shrink sidewall deposition;
closing the gaps to form pockets from the gaps, wherein the closing comprises a plurality of cycles, wherein each cycle comprises:
a bread loaf deposition phase; and
a bread loaf profile shaping phase; and
filling the features with a conductive material.
US11/291,672 2005-11-30 2005-11-30 Device with self aligned gaps for capacitance reduction Expired - Fee Related US7432189B2 (en)

Priority Applications (7)

Application Number Priority Date Filing Date Title
US11/291,672 US7432189B2 (en) 2005-11-30 2005-11-30 Device with self aligned gaps for capacitance reduction
MYPI20081814A MY148017A (en) 2005-11-30 2006-11-17 Device with self aligned gaps for capacitance reduction
CN2006800443287A CN101317260B (en) 2005-11-30 2006-11-17 Device with self aligned gaps for capacitance reduction
PCT/US2006/044521 WO2007064488A1 (en) 2005-11-30 2006-11-17 Device with self aligned gaps for capacitance reduction
KR1020087015991A KR101233430B1 (en) 2005-11-30 2006-11-17 Device with self aligned gaps for capacitance reduction
TW095143227A TWI416664B (en) 2005-11-30 2006-11-22 Device with self aligned gaps for capacitance reduction
US12/202,043 US8172980B2 (en) 2005-11-30 2008-08-29 Device with self aligned gaps for capacitance reduction

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/291,672 US7432189B2 (en) 2005-11-30 2005-11-30 Device with self aligned gaps for capacitance reduction

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/202,043 Division US8172980B2 (en) 2005-11-30 2008-08-29 Device with self aligned gaps for capacitance reduction

Publications (2)

Publication Number Publication Date
US20070123017A1 US20070123017A1 (en) 2007-05-31
US7432189B2 true US7432189B2 (en) 2008-10-07

Family

ID=37806745

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/291,672 Expired - Fee Related US7432189B2 (en) 2005-11-30 2005-11-30 Device with self aligned gaps for capacitance reduction
US12/202,043 Active 2028-05-26 US8172980B2 (en) 2005-11-30 2008-08-29 Device with self aligned gaps for capacitance reduction

Family Applications After (1)

Application Number Title Priority Date Filing Date
US12/202,043 Active 2028-05-26 US8172980B2 (en) 2005-11-30 2008-08-29 Device with self aligned gaps for capacitance reduction

Country Status (6)

Country Link
US (2) US7432189B2 (en)
KR (1) KR101233430B1 (en)
CN (1) CN101317260B (en)
MY (1) MY148017A (en)
TW (1) TWI416664B (en)
WO (1) WO2007064488A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060266478A1 (en) * 2005-05-31 2006-11-30 Lam Research Corporation Critical dimension reduction and roughness control
US8866202B2 (en) 2005-11-30 2014-10-21 Lam Research Corporation Device with gaps for capacitance reduction

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7390749B2 (en) * 2005-11-30 2008-06-24 Lam Research Corporation Self-aligned pitch reduction
US7432189B2 (en) 2005-11-30 2008-10-07 Lam Research Corporation Device with self aligned gaps for capacitance reduction
US7560388B2 (en) * 2005-11-30 2009-07-14 Lam Research Corporation Self-aligned pitch reduction
JP4231055B2 (en) * 2006-02-06 2009-02-25 株式会社東芝 Semiconductor device and manufacturing method thereof
US8283255B2 (en) * 2007-05-24 2012-10-09 Lam Research Corporation In-situ photoresist strip during plasma etching of active hard mask
US9490261B2 (en) * 2010-10-21 2016-11-08 Cypress Semiconductor Ltd. Minimizing disturbs in dense non volatile memory arrays
JP5807344B2 (en) * 2011-02-25 2015-11-10 ソニー株式会社 Angular velocity sensor and electronic device
US8735283B2 (en) 2011-06-23 2014-05-27 International Business Machines Corporation Method for forming small dimension openings in the organic masking layer of tri-layer lithography
US10978553B2 (en) * 2019-01-28 2021-04-13 Micron Technology, Inc. Formation of a capacitor using a hard mask
US10964475B2 (en) * 2019-01-28 2021-03-30 Micron Technology, Inc. Formation of a capacitor using a sacrificial layer

Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5510645A (en) 1993-06-02 1996-04-23 Motorola, Inc. Semiconductor structure having an air region and method of forming the semiconductor structure
US6232214B1 (en) 1999-04-19 2001-05-15 United Microelectronics Corp. Method for fabricating inter-metal dielectric layer
US6297125B1 (en) 1998-01-23 2001-10-02 Texas Instruments Incorporated Air-bridge integration scheme for reducing interconnect delay
US6605541B1 (en) 1998-05-07 2003-08-12 Advanced Micro Devices, Inc. Pitch reduction using a set of offset masks
US20030219988A1 (en) 2002-05-22 2003-11-27 Applied Materials, Inc. Ashable layers for reducing critical dimensions of integrated circuit features
US20030232474A1 (en) 2002-06-12 2003-12-18 Jiun-Ren Lai Pitch reduction in semiconductor fabrication
US20030232509A1 (en) 2002-06-12 2003-12-18 Chia-Chi Chung Method for reducing pitch
US20040002217A1 (en) 2002-06-27 2004-01-01 Martin Mazur Method of defining the dimensions of circuit elements by using spacer deposition techniques
US20040072430A1 (en) 2002-10-11 2004-04-15 Zhisong Huang Method for forming a dual damascene structure
US20040126705A1 (en) 2002-12-30 2004-07-01 Zhijian Lu Pattern transfer in device fabrication
US6781192B2 (en) 2000-02-14 2004-08-24 Micron Technology, Inc. Low dielectric constant shallow trench isolation
US6780753B2 (en) 2002-05-31 2004-08-24 Applied Materials Inc. Airgap for semiconductor devices
US6846741B2 (en) * 2002-07-24 2005-01-25 International Business Machines Corporation Sacrificial metal spacer damascene process
US6858153B2 (en) 1998-02-11 2005-02-22 Applied Materials Inc. Integrated low K dielectrics and etch stops
US20050110145A1 (en) 2003-11-20 2005-05-26 Kai-Erik Elers Multilayer metallization
US6911397B2 (en) 2002-04-17 2005-06-28 Samsung Electronics, Co., Ltd. Method of forming dual damascene interconnection using low-k dielectric
US7008878B2 (en) * 2003-12-17 2006-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma treatment and etching process for ultra-thin dielectric films
US20060160353A1 (en) 2003-09-30 2006-07-20 Interuniversitair Microelektronica Centrum (Imec Vzw) Methods for selective integration of airgaps and devices made by such methods
US20070122977A1 (en) 2005-11-30 2007-05-31 Lam Research Corporation Self-aligned pitch reduction

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4871630A (en) * 1986-10-28 1989-10-03 International Business Machines Corporation Mask using lithographic image size reduction
CN1152424C (en) * 2000-08-02 2004-06-02 联华电子股份有限公司 Method of reducing capacitance across inner leads
US6809027B2 (en) * 2002-06-06 2004-10-26 International Business Machines Corporation Self-aligned borderless contacts
US7405147B2 (en) * 2004-01-30 2008-07-29 International Business Machines Corporation Device and methodology for reducing effective dielectric constant in semiconductor devices
KR100745986B1 (en) * 2004-12-08 2007-08-06 삼성전자주식회사 Fabrication method of dual damascene interconnections of microelectronics device using filler having porogen
US20070049017A1 (en) * 2005-08-29 2007-03-01 Chao-Ching Hsieh Plug fabricating method for dielectric layer
US7485581B2 (en) * 2005-11-30 2009-02-03 Lam Research Corporation Device with gaps for capacitance reduction
US7432189B2 (en) 2005-11-30 2008-10-07 Lam Research Corporation Device with self aligned gaps for capacitance reduction

Patent Citations (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5510645A (en) 1993-06-02 1996-04-23 Motorola, Inc. Semiconductor structure having an air region and method of forming the semiconductor structure
US6297125B1 (en) 1998-01-23 2001-10-02 Texas Instruments Incorporated Air-bridge integration scheme for reducing interconnect delay
US6858153B2 (en) 1998-02-11 2005-02-22 Applied Materials Inc. Integrated low K dielectrics and etch stops
US6605541B1 (en) 1998-05-07 2003-08-12 Advanced Micro Devices, Inc. Pitch reduction using a set of offset masks
US6232214B1 (en) 1999-04-19 2001-05-15 United Microelectronics Corp. Method for fabricating inter-metal dielectric layer
US6781192B2 (en) 2000-02-14 2004-08-24 Micron Technology, Inc. Low dielectric constant shallow trench isolation
US6911397B2 (en) 2002-04-17 2005-06-28 Samsung Electronics, Co., Ltd. Method of forming dual damascene interconnection using low-k dielectric
US20030219988A1 (en) 2002-05-22 2003-11-27 Applied Materials, Inc. Ashable layers for reducing critical dimensions of integrated circuit features
US6780753B2 (en) 2002-05-31 2004-08-24 Applied Materials Inc. Airgap for semiconductor devices
US20030232474A1 (en) 2002-06-12 2003-12-18 Jiun-Ren Lai Pitch reduction in semiconductor fabrication
US20030232509A1 (en) 2002-06-12 2003-12-18 Chia-Chi Chung Method for reducing pitch
US20040002217A1 (en) 2002-06-27 2004-01-01 Martin Mazur Method of defining the dimensions of circuit elements by using spacer deposition techniques
US6846741B2 (en) * 2002-07-24 2005-01-25 International Business Machines Corporation Sacrificial metal spacer damascene process
US20040072430A1 (en) 2002-10-11 2004-04-15 Zhisong Huang Method for forming a dual damascene structure
US20040126705A1 (en) 2002-12-30 2004-07-01 Zhijian Lu Pattern transfer in device fabrication
US20060160353A1 (en) 2003-09-30 2006-07-20 Interuniversitair Microelektronica Centrum (Imec Vzw) Methods for selective integration of airgaps and devices made by such methods
US20050110145A1 (en) 2003-11-20 2005-05-26 Kai-Erik Elers Multilayer metallization
US7008878B2 (en) * 2003-12-17 2006-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma treatment and etching process for ultra-thin dielectric films
US20070122977A1 (en) 2005-11-30 2007-05-31 Lam Research Corporation Self-aligned pitch reduction

Non-Patent Citations (9)

* Cited by examiner, † Cited by third party
Title
International Search Report dated Apr. 24, 2007 from related International Application No. PCT/US2006/044708.
International Search Report dated Mar. 20, 2007 from related International Application No. PCT/US2006/044719.
International Search Report dated Mar. 27, 2007 from corresponding International Application No. PCT/US2006/044521.
Notice of Allowance dated Feb. 19, 2008 for related U.S. Appl. No. 11/558,238.
U.S. Appl. No. <U STYLE="SINGLE"> , entitled "Device with Gaps for Capacitance Reduction", by inventors: Sadjadi et al., filed Nov. 30, 2005.
U.S. Appl. No. <U STYLE="SINGLE"> , entitled "Self-Aligned Pitch Reduction", by inventors: Kim et al., filed Nov. 30, 2005.
Written Opinion dated Apr. 24, 2007 from related International Application No. PCT/US2006/044708.
Written Opinion dated Mar. 20, 2007 from related International Application No. PCT/US2006/044719.
Written Opinion dated Mar. 27, 2007 from corresponding International Application No. PCT/US2006/044521.

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060266478A1 (en) * 2005-05-31 2006-11-30 Lam Research Corporation Critical dimension reduction and roughness control
US8866202B2 (en) 2005-11-30 2014-10-21 Lam Research Corporation Device with gaps for capacitance reduction

Also Published As

Publication number Publication date
CN101317260B (en) 2010-06-23
WO2007064488A1 (en) 2007-06-07
TWI416664B (en) 2013-11-21
US20070123017A1 (en) 2007-05-31
KR101233430B1 (en) 2013-02-14
TW200802702A (en) 2008-01-01
KR20080072096A (en) 2008-08-05
US20080314521A1 (en) 2008-12-25
MY148017A (en) 2013-02-28
CN101317260A (en) 2008-12-03
US8172980B2 (en) 2012-05-08

Similar Documents

Publication Publication Date Title
US7432189B2 (en) Device with self aligned gaps for capacitance reduction
US7560388B2 (en) Self-aligned pitch reduction
US7390749B2 (en) Self-aligned pitch reduction
US7081407B2 (en) Method of preventing damage to porous low-k materials during resist stripping
US8614149B2 (en) Critical dimension reduction and roughness control
US8866202B2 (en) Device with gaps for capacitance reduction
US7264743B2 (en) Fin structure formation
US7682516B2 (en) Vertical profile fixing
US20070193973A1 (en) Infinitely selective photoresist mask etch
WO2006007355A1 (en) Method for providing uniform removal of organic material
US20070181530A1 (en) Reducing line edge roughness

Legal Events

Date Code Title Description
AS Assignment

Owner name: LAM RESEARCH CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SADJADI, S. M. REZA;HUANG, ZHI-SONG;REEL/FRAME:017322/0110

Effective date: 20051128

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

FEPP Fee payment procedure

Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

LAPS Lapse for failure to pay maintenance fees

Free format text: PATENT EXPIRED FOR FAILURE TO PAY MAINTENANCE FEES (ORIGINAL EVENT CODE: EXP.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20201007