US7617464B2 - Verifying an IC layout in individual regions and combining results - Google Patents

Verifying an IC layout in individual regions and combining results Download PDF

Info

Publication number
US7617464B2
US7617464B2 US11/134,721 US13472105A US7617464B2 US 7617464 B2 US7617464 B2 US 7617464B2 US 13472105 A US13472105 A US 13472105A US 7617464 B2 US7617464 B2 US 7617464B2
Authority
US
United States
Prior art keywords
rule
data
regions
region
layout
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active, expires
Application number
US11/134,721
Other versions
US20060265675A1 (en
Inventor
Yulan Wang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Synopsys Inc
Original Assignee
Synopsys Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Synopsys Inc filed Critical Synopsys Inc
Priority to US11/134,721 priority Critical patent/US7617464B2/en
Assigned to SYNOPSYS, INC. reassignment SYNOPSYS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: WANG, YULAN
Publication of US20060265675A1 publication Critical patent/US20060265675A1/en
Priority to US12/559,478 priority patent/US7945872B2/en
Application granted granted Critical
Publication of US7617464B2 publication Critical patent/US7617464B2/en
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]

Definitions

  • the invention relates to the design of semiconductor chips. More specifically, the invention relates to a method and an apparatus for verifying a large design of an integrated circuit (IC) layout, by verification of small regions of the layout, and combination of the results.
  • IC integrated circuit
  • layout verification tool A computer programmed with appropriate software (called layout verification tool) is normally used to verify that an overall design of an integrated circuit (IC) chip conforms to certain predetermined tolerances that are required by a process to be used in fabricating the chip.
  • layout verification tool include (1) HERCULES software available from Synopsys, Inc. 700 East Middlefield Road, Mountain View, Calif. 94043, and web site www.synopsys.com, (2) VAMPIRE software available from Cadence Design Systems, Inc, 555 River Oaks Parkway, San Jose, Calif. 95134, and web site www.cadence.com, and (3) CALIBRE software available from Mentor Graphics Corporation, 8005 SW Boeckman Road, Wilsonville, Oreg., 97070, and web site www.mentor.com.
  • Tolerances for the process that is to be used to fabricate the IC chip are often specified by IC chip designers in the form of “rules” that are used by the layout verification tool to confirm that a chip's design can be manufactured by an IC fabrication process (in an operation called “design rule check” (DRC) or “mask rule check” (MRC), depending on whether or not the design has been Optical Proximity Corrected).
  • rules also called “verification rules” to be used in checking an IC design include: (1) minimum width of a trace (i.e. line) or other feature, (2) minimum spacing between elements of a circuit (e.g. between adjacent lines), (3) minimum width of notches and (4) checks for acute angles.
  • Verification rules are normally stored in a predetermined sequence (e.g. in a computer file) commonly called a “runset” (also called “rule set,” “rule file,” “rule deck,” or “rule scripts”).
  • the runset is supplied as input to the layout verification tool, for use in checking if a design conforms to rules in the runset.
  • the rules may be applied to the entire layout of the IC design, if the design is sufficiently small and the layout verification tool is executing in a computer that has sufficient resources (e.g. memory and computation power).
  • FIG. 1A Illustrated in FIG. 1A is partitioned into multiple regions (two regions are illustrated in FIG. 1B ) with the layout verification tool being applied to each region independent of another region (as illustrated in FIGS. 1C and 1D ).
  • a rule applicable to shapes that interact is not applied to rectangle 101 , although rectangle 101 interacts with rectangle 102 as shown in FIG. 1D .
  • Such artifacts may be avoided by manually partitioning a large layout into multiple regions while taking care to avoid cutting a shape.
  • manual partitioning is labor intensive, and there is no known method to ensure that satisfactory partitions are made of a large and complex IC layout.
  • Yamamoto adds a buffer area B to the periphery of a to-be-corrected area A.
  • the to-be-corrected area A and the buffer area B are combined to form an area C on which calculations for proximity correction are performed.
  • c is an already corrected optical proximity effect calculation area
  • b is the buffer area of c
  • a is the corrected solution of the to-be-corrected area.
  • a buffer area is also called “ambit” in some prior art systems use a similar technique in rule checking (DRC or MRC).
  • FIGS. 1G-1L In a local scope problem illustrated in FIGS. 1G-1L , initially there are two rectangles 111 and 112 in the upper region and two rectangles 113 and 114 in the lower region, as shown in FIG. 1G , and the space between rectangles is marked as 115 , 116 and 117 as shown in FIG. 1H .
  • FIGS. 1I and 1J illustrate the independent and individual checking of the upper region and the lower region respectively, while using boundary areas.
  • FIG. 1K the space between rectangles 115 , 116 and 117 is marked again, as 118 and 119 shown in FIG. 1L . Therefore, at this stage, in the upper region there is one rectangle 118 .
  • a computer is programmed, in accordance with the invention, to automatically generate and propagate internally, while working on each individual region (into which an IC layout is subdivided), certain data (called “locally-unknown data”) between successive rules of a runset.
  • the locally-unknown data is passed, from execution of one rule to execution of a next rule in a given region, in the same manner as (and in addition to) a result of performing rule checking locally in the given region.
  • the computer is programmed, to generate and transfer additional data (called “to-be-globally-checked data”) from each region on which a given rule is being checked, for rule checking across the entire IC layout as a whole, regardless of region boundaries.
  • the to-be-globally-checked data that is generated from each region is merged across multiple regions (e.g. all regions in some embodiments), and rule checking is performed with the same rule (i.e. the given rule) on the merged data.
  • regions e.g. all regions in some embodiments
  • rule checking is performed with the same rule (i.e. the given rule) on the merged data.
  • individual results of rule checking the entire runset in individual regions are combined with the result of rule checking the runset on merged data on a global scale, to yield a final result of execution of the runset over the entire IC layout.
  • FIGS. 1A-1D illustrate a problem associated with prior art method of a verifying an IC design layout in individual regions, namely an upper region and a lower region.
  • FIGS. 1E and 1F illustrate a solution described in U.S. Pat. No. 6,077,310 granted to Yamamoto.
  • FIGS. 1G-1L illustrate a problem associated with a use of a boundary area (also called ambit) when individual regions are rule checked independently of each other.
  • a boundary area also called ambit
  • FIG. 2A illustrates, in a high-level block diagram, a system of one or more computers in accordance with the invention, that identify data to be propagated between rules in a given region as well as data to be verified globally across all regions.
  • FIG. 2B illustrates a layout which has been subdivided into three regions, without regard to locations of features contained therein, in accordance with an act 212 illustrated in FIG. 2D .
  • FIG. 2C illustrates the same layout as in FIG. 2B but now subdivided into four regions, also in accordance with an act 212 illustrated in FIG. 2D .
  • FIG. 2D illustrates, in a high-level flow chart, acts performed in some embodiments of the invention, by the system of FIG. 2A .
  • FIG. 3 illustrates, in an intermediate-level flow chart, acts performed in some embodiments of the invention, to implement the acts of FIG. 2D .
  • FIG. 4A illustrates a prior art example of the type shown in FIG. 1A with reference numerals added thereon, and FIG. 4B provides a key to identify the layers to which shapes in FIG. 4A belong.
  • FIGS. 4C-4L illustrate the method of FIG. 3 applied to the example of FIGS. 4A-4B .
  • FIGS. 5A-5L illustrate the method of FIG. 3 applied to the example of FIG. 1G .
  • FIG. 6A illustrates a runset grouped into two groups of rules, wherein each group is separated from the other group by one or more rules that cannot be verified in individual regions.
  • FIG. 6B illustrates, in a high-level block diagram, the system of computers of FIG. 2A , as used with multiple sequences of rules in the runset of FIG. 6A .
  • FIG. 6C illustrates a chip designer issuing commands via a runset file in a computer in one specific exemplary implementation of the invention.
  • FIG. 6D illustrates the same layout as in FIG. 2B but now subdivided into sixteen regions, also in accordance with an act 212 illustrated in FIG. 2D .
  • FIG. 7 illustrates, a simplified representation of an exemplary digital ASIC design flow in accordance with the invention.
  • a system 200 in accordance with the invention uses several processes 201 A, 201 J and 201 N (where N is the number of processes) to verify a single IC layout.
  • each process 201 J works on a single portion (also called “region”) of an IC layout 203 , to check for violation of rules (such as DRC rules and MRC rules) in that single portion.
  • each process 201 J executes in a corresponding processor 200 J present in system 200 .
  • Processes 201 A- 201 N are also referred to herein as region-level processes because each of these processes perform rule checking on an individual region 203 J ( FIG. 2B ) of IC layout 203 .
  • System 200 in accordance with the invention also uses an additional process 201 Z (also called “master process”) that works on a global scale.
  • Master process 201 Z is programmed to check for violation of rules regardless of boundaries, such as boundaries 204 X 1 , 204 X 2 , 204 Y 1 and 204 Y 2 between regions 203 A- 203 N ( FIG. 2B ).
  • Master process 201 Z ( FIG. 2A ) receives as input certain data (called “to-be-globally-checked data”) that is generated by processes 201 A- 201 N. This to-be-globally-checked data is then rule checked by process 201 Z, regardless of region boundaries.
  • This to-be-globally-checked data is also referred to herein as a kind of “fuzzy” data.
  • master process 201 Z merges “to-be-globally-checked” data generated by processes 201 A- 201 N, and performs rule checking on the merged data, using the same rule that is applied to the respective regions by processes 201 A- 201 N.
  • Master process 201 Z of some embodiments is programmed to operate in a pipelined manner relative to processes 201 A- 201 N, as follows. While master process 201 Z is performing rule checking using a given rule on the merged data, processes 201 A- 201 N perform rule checking in their respective regions using a next rule that follows the given rule in the runset. Hence, in such pipelined embodiments of the invention, master process 201 Z executes one rule behind processes 201 A- 201 N.
  • all of the rules in the runset are rule checked by processes 201 A- 201 N and master process 201 Z, and individual results, on completion of rule checking the entire runset, from processes 201 A- 201 N (also called “runset results”) are combined with the result of applying the runset to merged data by master process 201 Z, to yield a final result 205 ( FIG. 2A ).
  • this final result 205 can be made identical to a corresponding result from execution of the runset over the entire IC layout without dividing the layout into regions, if region-level processes 201 A- 201 N transfer appropriate to-be-globally-checked data to master process 201 Z for every rule in the runset.
  • the appropriate data to be transferred, from a region-level process to a master process, for each of a number of rules commonly used in a runset, is discussed in detail below, just before the claims.
  • the total number of processes that work on a layout is selected to be equal to or an integral multiple of the total number of processors (e.g. CPUs) in computer system 200 .
  • processors e.g. CPUs
  • there is no relation between these two numbers i.e. number of processors and number of processes.
  • a three CPU computer system 200 is used as follows: two CPUs are used to execute two processes 201 A and 201 N on two halves of an IC layout, such as an upper region and a lower region into which the IC layout is evenly subdivided (i.e. partitioned), and the third CPU is used to execute master process 201 Z.
  • Other embodiments may use a computer system having an even number of CPUs, although an odd number has been discussed in this example.
  • computer system 200 retrieves (see act 211 in FIG. 2D ) an IC layout 203 to be verified, e.g. in the GDSII format, from an integrated circuit (IC) design database (such as, e.g. MILKYWAY) which holds a netlist produced by synthesis of a circuit description originally expressed in a hardware modeling language, such as VERILOG or VHDL.
  • IC integrated circuit
  • MILKYWAY integrated circuit design database
  • a design database is not shown in the attached figures or discussed below, because preparation of a layout from such a database is well known in the art.
  • Any process in computer system 200 (such as master process 201 Z) may be programmed to prepare IC layout 203 in the usual manner from such a database (which is located in persistent storage, such as a hard disk).
  • layout 203 ( FIG. 2A ) is subdivided (i.e. partitioned) into a number of regions 203 A- 203 N and each region 203 J is stored in a corresponding storage unit 202 J for rule checking by a corresponding region-level process 201 J.
  • Subdivision of a layout 203 may be performed in any conventional manner, either manually or automatically.
  • an IC layout 203 is subdivided into three regions 203 A, 203 J and 203 N that are to be rule checked by three processes 201 A- 201 N respectively.
  • the three regions 203 A- 203 N are formed in layout 203 by inserting boundaries at horizontal lines 204 X 1 and 204 X 2 into layout 203 .
  • each region-level process 201 J is executed by a corresponding CPU, in a four-CPU computer system 200 .
  • Master process 210 Z executes in the fourth CPU.
  • FIG. 2B there are only two regions, namely an upper region 203 A and a lower region 203 B, and this subdivision is performed in accordance with a parameter of value 3 ⁇ 1 which is specified as an input in a file containing a runset, as illustrated in FIG. 6C .
  • a parameter of value 3 ⁇ 1 which is specified as an input in a file containing a runset, as illustrated in FIG. 6C .
  • the same IC design is subdivided into four quadrants by a vertical line 204 Y and a horizontal line 204 X. Note that in the embodiments shown in FIGS. 2B and 2C , the subdivision is done mechanically, without regard to the features that are being cut in the subdivision.
  • a region-level process 201 A performs an act 213 A that executes a first rule in the runset on region 203 A ( FIG. 2B ).
  • process 201 A sends to-be-globally-checked data to master process 201 Z that uses this data in act 213 Z (discussed later).
  • process 201 N performs act 213 N that executes the first rule in the runset on region 203 B.
  • process 201 N also sends to-be-globally-checked data to master process 201 Z for use in act 213 Z.
  • master process 201 Z merges all of the to-be-globally-checked data from processes 201 A and 201 N, and thereafter act 213 Z is performed to apply the first rule to the merged data.
  • region-level processes 201 A and 201 N propagate certain data internally within each process (also called locally-unknown data).
  • Locally-unknown data which is to be further checked within each region is propagated internally within each region-level process, from each act to the next act which is being performed within the region.
  • This locally-unknown data is also referred to herein as a kind of “fuzzy” data.
  • fuzzy data there are two kinds of fuzzy data, namely the locally-unknown data and the to-be-globally checked data. Both kinds of fuzzy data are used in most embodiments of the invention, although the specific formulation of each kind of fuzzy data may differ depending on the embodiment.
  • the region-level processes are illustrated in FIG. 3 as processes 301 A and 301 N.
  • a first rule is selected from the runset followed by performance of act 312 A.
  • region-level process 301 A performs rule checking with the selected rule (at this stage the first rule) on region A and on any locally-unknown data propagated from the previous rule (at this stage there happens to be no such propagated data).
  • process 301 A identifies the locally-unknown data which is propagated to the next rule.
  • process 301 A generates to-be-globally-checked data that is sent to master process 301 Z.
  • process 301 A checks to see if all of the rules in the runset have been used in rule checking region A, and if not it proceeds to act 316 A.
  • process 301 A selects the next rule in the runset and returns to act 312 A (described above).
  • process 301 A finds that the entire runset has been executed, then a result of performing the rule checking (also called runset result) for region A is sent to master process 301 Z.
  • Process 301 N performs the same acts as a process 301 A, except that these acts are performed on a region N instead of on region A.
  • Master process 301 Z merges the data received from each of processes 301 A and 301 N in act 331 , followed by executing the selected rule on the merged data in act 332 . Act 332 is followed by checking in act 333 if the runset has been completed. If not completed, process 301 Z returns to act 331 (described above).
  • process 301 Z continues to act 334 and combines the runset results from processes 301 A and 301 N as well as the result from performance of act 332 to yield a final result.
  • master process 301 Z does not perform the equivalent of acts 313 A and 314 A, because there are no boundaries in the master region, which has the size of the entire layout.
  • FIGS. 4A-4B Rule checking of a layout example of FIGS. 4A-4B is performed in several embodiments of the invention as illustrated in FIGS. 4C-4L that are described below.
  • shapes of the layout are in three layers that are also referred to as red, green and blue.
  • the red layer contains rectangles 411 - 417
  • the green layer contains rectangles 421 - 424
  • the blue layer contains rectangles 431 - 434 .
  • the layout of FIG. 4A is subdivided in an even manner automatically, without any manual intervention, by performance of act 212 as shown in FIG. 4C resulting in two regions namely region 451 which is the upper half and region 452 which is the lower half with no overlap.
  • boundary line 453 is inserted into a layout 450 by some embodiments without regard to whether or not a feature is being cut up, resulting in upper half region 451 and lower half region 452 .
  • boundary line 453 is spanned by rectangles 413 and 415 that have respective portions present in each of the two regions 451 and 452 .
  • boundary line 453 may be too close to a lower edge of rectangle 414 so as to violate a minimum spacing rule, but the subdivision of layout 450 is performed regardless of such considerations.
  • process 301 A identifies red rectangles 411 and 412 due to their interaction with green rectangles 421 and 422 , on completion of act 312 A.
  • region 451 FIG. 4C
  • the locally-unknown data in region 451 for the RED layer is called RED 1 .fuzzy.
  • the variable's name RED 1 .fuzzy is obtained by concatenating the name of the first layer in the current rule, the identifier of the region and the string “. fuzzy”.
  • RED 1 .fuzzy is an empty set when the rule checking process starts. For the same reason, GREEN 1 .fuzzy is also an empty set, because this is the starting point
  • act 313 A is performed to generate the locally-unknown data which is propagated to the next rule.
  • the locally-unknown data is also identified to be rectangles 413 and 415 ( FIG. 4E ) which are same as the rectangles selected in act 314 A, and they are selected for the same reason: because they span across boundary line 453 between regions 451 and 452 .
  • the locally-unknown data is held in a variable of a name that is obtained by concatenating the resulting layer's name, an identifier of the region and the string “.fuzzy”.
  • a variable T 1 .fuzzy is used in act 313 A, to identify the rectangles 413 and 414 that are to be locally checked in the next rule.
  • act 314 A is performed to generate the to-be-globally-checked data which is sent to master process 301 Z.
  • the to-be-globally-checked data is identified to be rectangles 413 and 415 ( FIG. 4D ) which are selected in act 314 A because they span across boundary line 453 between regions 451 and 452 .
  • the to-be-globally-checked data is held in process 301 A in a variable of a name that is obtained by concatenating the letters “cmd” with an identifier of the rule (e.g. 1 , 2 , 3 . . . ) in the runset, the string “_fuzzy”, the name of the layer (e.g.
  • cmd 1 _fuzzyRED 1 is used to identify this data that is to be globally checked. Note that here the variable cmd 1 _fuzzyGREEN 1 is an empty set because no green rectangles interact with cmd 1 _fuzzyRED 1 and RED 1 .fuzzy. In general both cmd 1 _fuzzyRED 1 and cmd 1 _fuzzyGREEN 1 are generated in region 451 and transferred to the master process 301 Z.
  • a second rule in the runset is to perform a Boolean “AND” operation between the result layer T from the first rule and the layer BLUE (see FIG. 4F ).
  • the result of performing rule checking with this second rule is to be saved in layer P. Therefore process 301 A returns to act 312 A and applies the second rule to layer T (containing rectangles 411 and 412 ) and also to variable T 1 .fuzzy (containing the rectangles 413 and 415 ).
  • T 1 .fuzzy holds locally-unknown data propagated from the first rule.
  • process 301 A identifies an intersection 461 ( FIG. 4G ) between rectangles 411 and 431 as the result, which becomes the content of layer P. Additionally, in performing rule checking with the second rule on variable T 1 .fuzzy, process 301 A also identifies another intersection 462 between rectangles 413 and 432 that becomes P 1 .fuzzy.
  • act 314 A is performed to generate the to-be-globally-checked data which is sent to master process 301 Z.
  • the to-be-globally-checked data is identified to be rectangles 462 ( FIG. 4G ) which are selected as the intersection between rectangles 432 and 413 .
  • This to-be-globally-checked data is held in variable cmd 2 _fuzzyBLUE 1 . Note that to-be-globally-checked data for the layer T 1 is empty, i.e. cmd 2 _fuzzyT 1 is an empty set.
  • Process 301 N operates similar to process 301 A, except that it operates on region 452 , and identifies rectangle 416 as a shape in layer T ( FIG. 4H ).
  • process 301 N also identifies to-be-globally-checked data as rectangles 413 and 415 held in variable cmd 1 _fuzzyRED 2 and rectangle 423 held in variable cmd 1 _fuzzyGREEN 2 .
  • Variable T 2 .fuzzy is used to additionally identify this same data as the locally-unknown data to be propagated so that it can be locally checked by the next rule. During performance on this next rule, no shapes are added to the layer P and also no shapes are added to variable cmd 2 _fuzzyBLUE 2 as shown in FIG. 4I .
  • Master process 301 Z merges to-be-globally-checked data from each of processes 301 A and 301 N.
  • rectangles 413 and 415 are reconstituted when shapes in variables cmd 1 _fuzzyRED 1 and cmd 1 _fuzzyRED 2 are merged.
  • the boundary line 453 does not exist in the master region shown in FIG. 4J .
  • the upper halves of rectangles 413 and 415 in upper region 451 are merged with their corresponding lower halves in lower region 452 (in a polygon merge operation of the type commonly available in a physical verification software product such as Hercules available from Synopsys, Inc.).
  • green rectangle 423 is obtained when shapes in variables cmd 1 _fuzzyGREEN 1 and cmd 1 _fuzzyGREEN 2 are similarly merged.
  • the merged data includes rectangles 413 , 415 and 423 .
  • process 301 Z identifies rectangle 413 that is added to the content of result layer T 0 . Therefore, layer T 0 now stores rectangle 413 .
  • the name T 0 for the result layer in master process 301 Z is obtained by concatenating a “0” (which denotes “master”) at the end of the name of the layer as specified in the rule, which is T in this example (see FIG. 4K ).
  • master process 301 Z again merges to-be-globally-checked data from processes 301 A and 301 N, as provided in variables cmd 2 _fuzzyBLUE 1 and cmd 2 _fuzzyBLUE 2 .
  • variable cmd 2 _fuzzyBLUE 2 is empty, and variable cmd 2 _fuzzyBLUE 1 contains rectangle 462 which therefore becomes the merged data at this stage.
  • master process 301 Z now performs rule checking using the second rule, on the merged data (rectangles 413 and 462 ) and on layer T (rectangle 413 ).
  • the result of applying the second rule is that layer P 0 contains a rectangle 462 ( FIG. 4L ).
  • the runset results for the regions 451 and 452 which are stored in result layer P, are received from region-level processes 301 A and 301 N, and are combined with result from rule checking the merged data within master process 301 Z, to yield a final result (in this case only the two rectangles 461 and 462 are obtained, when the various results are merged).
  • region-level process 301 A operates on region 551 .
  • two red rectangles 511 and 512 are checked to see if a minimum spacing S is maintained from boundary line 553 . Any rectangle, such as rectangle 512 that violates this minimum spacing requirement S is added to a variable cmd 1 _fuzzyRED 1 , which is sent as to-be-globally-checked data to master process 301 Z.
  • This first rule means that any shape within distance S of a red rectangle is to be placed in the layer BLUE.
  • the result is a blue rectangle 513 , which as shown in FIG. 5B is located between red rectangles 511 and 512 .
  • a blue rectangle 514 is now formed between red rectangle 512 and boundary line 553 , and rectangle 514 is stored in variable BLUE 1 .fuzzy.
  • This variable BLUE 1 .fuzzy holds locally-unknown data for use in process 301 A when performing rule checking in region 551 using the second rule in the runset.
  • process 301 A generates to-be-globally-checked data for the second rule in the runset by working on the blue layer which contains two rectangles 513 and 514 as shown in FIG. 5C .
  • rectangle 513 is found to be too close to BLUE 1 .fuzzy and therefore rectangle 513 is stored in the variable cmd 2 _fuzzyBLUE 1 , which is sent as to-be-globally-checked data to master process 301 Z.
  • a third rule in the runset of this example is EXT GREEN, and the result of which happens to be empty. The reason that the result of third rule, EXT GREEN, is empty is that there is only one green rectangle 515 in FIG. 5D . This rectangle 515 which is in the variable GREEN 1 .fuzzy, and there are no other shapes in the layer GREEN in region 551 . Therefore, the variable cmd 3 _fuzzyGREEN 1 is empty.
  • process 301 N performs rule checking in region 552 in a manner similar or identical to that described above in reference to process 301 A.
  • master process 301 Z merges the data it receives from processes 301 A and 301 N, for example to create two red rectangles 512 and 516 ( FIG. 5H ) for use in performing rule checking using the first rule in the runset.
  • a variable cmd 1 _fuzzyRED is obtained from the merger of shapes in variables cmd 1 _fuzzyRED 1 and variable cmd 1 _fuzzyRED 2 .
  • process 301 Z identifies a new rectangle 517 (located between rectangles 512 and 516 ) as the result BLUE 0 (see FIG. 5I ).
  • Two rectangles 531 and 522 are identified as the result of applying this second rule, and are stored in variable GREEN 0 as shown in FIG. 5K .
  • master process 301 Z merges the to-be-globally-check
  • master process 301 Z applies the third rule on the runset, namely EXT GREEN, obtain a final result.
  • rectangle 523 which is the final result ( FIG. 5L ) is a nonempty set, unlike the prior art shown above in reference to FIG. 1L .
  • runsets of other embodiments use one or more rules for which it is unknown as to how to prepare the appropriate to-be-globally-checked data and/or locally-unknown data.
  • the region-level processes are used only to rule-check those rules for which it is known how to prepare the appropriate to-be-globally-checked data and locally-unknown data (together referred to as “fuzzy” data), and the remaining rules are rule-checked in a conventional manner (e.g. on a global scale without regions, or on a local scale with regions having ambits).
  • a runset 602 contains a sequence of rules 602 P- 602 R for which it is known how to prepare the fuzzy data, followed by a sequence of rules 602 S- 602 U for which it is unknown how to prepare fuzzy data, followed by a sequence of rules 602 V- 602 W for which it is known how to prepare the fuzzy data.
  • the initial sequence of rules 602 P- 602 R for which fuzzy data preparation is known are treated as a complete runset as described above, with region-level processes 601 A- 601 N and master process 601 Z being used to rule check all rules in this initial sequence.
  • the result from this initial sequence (also called “intermediate result”) from combining as discussed above, is used to rule check the intermediate sequence of rules 602 S- 602 U in the conventional manner, (e.g. by any conventional method that uses the entire IC layout without partitioning).
  • this intermediate sequence is rule checked without the benefit of multiple processors (and in the embodiment illustrated in FIG. 6B , this is done within the master process itself).
  • the result of rule checking in the conventional manner is then re-partitioned and used to rule check the final sequence of rules 602 V- 602 W, in the above-described manner, with region-level processes 611 A- 611 N and master process 611 Z being used to rule check all rules in this final sequence.
  • FIG. 6C illustrates a chip designer issuing commands via a runset file in a computer in one specific exemplary implementation of the invention.
  • the commands identify, for example, the number of regions and the manner in which a layout is to be subdivided, e.g. by specifying 3 ⁇ 1 or 2 ⁇ 2 as illustrated in FIGS. 2B and 2C as discussed above.
  • the same layout may be divided into sixteen regions, e.g. by in response to a command containing the parameter 4 ⁇ 4 as illustrated in FIG. 6D .
  • a method of the type described above is performed in a hierarchical manner, wherein multiple groups of processes supply to-be-globally-checked data from each group to a respective process (hereinafter “intermediate-master” process), and each intermediate-master process in turn supplies to-be-checked data to the master process.
  • intermediate-master process can have any level of depth depending on the embodiment, although for illustrative purposes a two level hierarchy is illustrated in FIG. 6D which is discussed next.
  • four region-level processes perform rule checking in the respective four regions 206 A- 206 D and supply the to-be-globally-checked data from each of these regions to an intermediate-master process 206 (not shown in FIG. 6D ) that merges the data it receives and performs rule checking on this merged data e.g. in the top left quadrant 204 A (see FIG. 2C ).
  • This process 206 in turn generates to-be-globally-checked data that interact with lines 204 X and 204 Y at the boundaries of quadrant 204 A.
  • region-level processes that perform rule checking in regions 207 A- 207 D supply their respective to-be-globally-checked data to another intermediate-master process 207 (not shown in FIG. 6D ) that merges the data it receives and performs rule checking on this merged data e.g. in the bottom right quadrant 204 D (see FIG. 2C ).
  • This process 207 in turn generates to-be-globally-checked data that interact with lines 204 X and 204 Y at the boundaries of quadrant 204 D.
  • the to-be-globally-checked data from these two intermediate-master processes 206 and 207 as well as from two additional intermediate-master processes (for the quadrants 204 B and 204 C shown in FIG. 2C ) is all merged by a single master process that receives data from all four quadrants.
  • the merged data may be sufficiently large as to require a computer in which the master process executes to have a large memory and/or a fast CPU as compared to the computers in which region-level processes execute (regardless of the depth of hierarchy).
  • a master process may be programmed perform the above-described method recursively, e.g. by subdividing the merged data into data for individual regions, followed by rule checking in the individual regions (on each individual merged data portion related to the corresponding region), followed by re-merging the to-be-globally-checked data. While some embodiments subdivide the merged data in the same manner (e.g.
  • the IC layout is subdivided into 16 regions while the merged data therefrom is subdivided into 4 regions.
  • FIG. 7 shows a simplified representation of an exemplary digital ASIC design flow.
  • the process starts with the product idea ( 700 ) and is realized in a EDA software design process ( 710 ).
  • the design can be taped-out (event 740 ).
  • the fabrication process ( 750 ) and packaging and assembly processes ( 760 ) occur resulting, ultimately, in finished chips (result 770 ).
  • the EDA software design process ( 710 ) is actually composed of a number of stages 712 - 730 , shown in linear fashion for simplicity.
  • the particular design might have to go back through steps until certain tests are passed.
  • these steps may occur in different orders and combinations. This description is therefore provided by way of context and general explanation rather than as a specific, or recommended, design flow for a particular ASIC.
  • a brief description of the components of the EDA software design process (stage 710 ) will now be provided.
  • System design stage 712: The circuit designers describe the functionality that they want to implement, they can perform what-if planning to refine functionality, check costs, etc. Hardware-software architecture partitioning can occur at this stage. Exemplary EDA software products from Synopsys, Inc. that can be used at this stage include Model Architect, Saber, System Studio, and DesignWare® products.
  • Logic design and functional verification (stage 714 ): At this stage, the VHDL or Verilog code for modules in the system is written and the design (which may be of mixed clock domains) is checked for functional accuracy. More specifically, does the design as checked to ensure that produces the correct outputs.
  • Exemplary EDA software products from Synopsys, Inc. that can be used at this stage include VCS, VERA, DesignWare®, Magellan, Formality, ESP and LEDA products.
  • Synthesis and design for test (stage 716 ): Here, the VHDL/Verilog is translated to a netlist.
  • the netlist can be optimized for the target technology. Additionally, the design and implementation of tests to permit checking of the finished chip occurs.
  • Exemplary EDA software products from Synopsys, Inc. that can be used at this stage include Design Compiler®, Physical Compiler, Test Compiler, Power Compiler, FPGA Compiler, Tetramax, and DesignWare® products.
  • Design planning (stage 718 ): Here, an overall floorplan for the chip is constructed and analyzed for timing and top-level routing.
  • Exemplary EDA software products from Synopsys, Inc. that can be used at this stage include Jupiter and Flooplan Compiler products.
  • Netlist verification (stage 720 ): At this step, the netlist is checked for compliance with timing constraints and for correspondence with the VHDL/Verilog source code.
  • Exemplary EDA software products from Synopsys, Inc. that can be used at this stage include VCS, VERA, Formality and PrimeTime products.
  • stage 722 The placement (positioning of circuit elements) and routing (connection of the same) occurs at this step.
  • Exemplary EDA software products from Synopsys, Inc. that can be used at this stage include the Astro product.
  • stage 726 Physical verification: At this stage various checking functions are performed to ensure correctness for: manufacturing, electrical issues, lithographic issues, and circuitry. Exemplary EDA software products from Synopsys, Inc. that can be used at this include the Hercules product. Note that various acts of the type described above in reference to FIG. 3 are performed in a stage 726 A that is reached from this stage, in some embodiments of the invention. Hence, although circuitry and portions thereof (such as rectangles) may be thought of at this stage as if they exist in the real world, it is to be understood that at this stage only a layout exists in a computer system 200 ( FIG. 2A ). The actual circuitry in the real world is created after this stage as discussed below.
  • Resolution enhancement This involves geometric manipulations of the layout to improve manufacturability of the design.
  • Exemplary EDA software products from Synopsys, Inc. that can be used at this include iN-Phase, Proteus, and AFGen products.
  • Mask data preparation (stage 730 ): This provides the “tape-out” data for production of masks for lithographic use to produce finished chips.
  • Exemplary EDA software products from Synopsys, Inc. that can be used at this include the CATS(R) family of products.
  • CATS(R) family of products include the CATS(R) family of products.
  • various acts of the type described above in reference to FIG. 3 may also be performed in a stage 730 A that is accessed from this stage, in some embodiments of the invention. It is to be understood that even at this stage only a computer model exists in a computer system 200 ( FIG. 2A ). The actual circuitry in the real world is created after this stage in a wafer fabrication facility (also called “fab”).
  • the data structures and software code for implementing one or more acts described in this detailed description can be stored on a computer readable storage medium, which may be any device or medium that can store code and/or data for use by a computer system.
  • a computer readable storage medium which includes, but is not limited to, magnetic and optical storage devices such as disk drives, magnetic tape, CDs (compact discs) and DVDs (digital versatile discs or digital video discs), and computer instruction signals embodied in a transmission medium (with or without a carrier wave upon which the signals are modulated).
  • the transmission medium may include a communications network, such as the Internet.
  • the carrier wave includes computer instruction signals for carrying out one or more steps performed by one or more region-level processes 201 A- 201 N and/or master process 201 Z of FIG. 2A .
  • a computer system used in some embodiments to implement a rule-checker of the type described herein uses ninety linux operating system workstations (based on IBM-compatible PCs) each containing a 2 GHz CPU and 1 GB memory, that are interconnected via a local area network (Ethernet).
  • Appropriate to-be-globally-checked data that is transferred by each region-level process to the master process depends on the rule, examples of which are discussed below. If a rule has an operator OP and two operands namely a first layer Ai and a second layer Bi wherein “i” denotes a region, then a region-level process produces four outputs, namely Ci which is the result, Ci.fuzzy which is the locally-unknown data for use in the next rule, and cmd_FuzzyAi and cmd_FuzzyBi which are the to-be-globally-checked data for each of two layers, as follows.
  • variable name of the to-be-globally-checked includes a subscript (such as “1” or “3”) immediately following the term “cmd” in the above equation, to identify a rule in whose application the data gets generated, as illustrated in FIG. 4D for example by the name cmd 1 _fuzzyRED 1 .
  • the numbers “1” and “2” denote region numbers whereas “A” and “B” denote layer names. Note that the “+” operand shown above denotes a polygon merge.
  • the merged data in each layer is used with a respective result in each layer from performing rule checking using the last rule, to perform rule checking using the current rule as follows.
  • ( B 0 ,cmd _Fuzzy B ) C 0
  • the results are combined as shown below.
  • C 0+ C 1+ C 2+ . . . + Cn C
  • the “+” operand denotes merging of polygons in any conventional manner. Note that C 0 is the result from rule-checking by the master process, while C 1 to Cn are results of rule checking by individual region-level processes.
  • some embodiments generate to-be-globally-checked data as follows:
  • newFuzzyAi is a temporary variable
  • Boundary is the location of a boundary line (or multiple boundary lines if more than one line is used to form regions).
  • Ci ( Ai NOT newFuzzy Ai )INTERACT Bi
  • Ci .fuzzy Ai .fuzzy+ cmd _Fuzzy Ai
  • cmd_FuzzyAi data of A that is within space S to Boundary + data of A that is within space S to Ai.fuzzy
  • Above-listed equation outputs all polygons of A that are closer than space S to the boundary line and also all polygons of A that are closer than space S to a polygon in Ai.fuzzy.
  • cmd_FuzzyAi data of A that is within width W to Boundary + data of A that is within width W to Ai.fuzzy
  • Above-listed equation outputs all polygons of A that are closer than width W to the boundary line and also all polygons of A that are than width W to a polygon in Ai.fuzzy.
  • boundary line 453 between regions 451 and 452 is inserted without regard to the locations of features in layout 450
  • one or more such boundary line(s) may alternatively be routed through layout 450 to minimize the number of features being cut up or to minimize violation of minimum spacing requirements.
  • Such alternative embodiments reduce the amount of to-be-globally-checked data being sent to master process 301 Z, and therefore the amount of processing performed by (and the amount of CPU and memory resources consumed by) process 301 Z.
  • the number of region-level processes 201 A- 201 N is equal to the number of regions into which a single IC layout is partitioned.
  • other embodiments may have more regions than processors in computer system 200 (e.g. if one or more processors work on multiple regions sequentially), or fewer regions than processors (e.g. if one or more regions is idle).
  • rules that are checked by region-level processes of the type described above can be any design check rules (DRC) or mask check rules (MRC), so long as techniques for generation of to-be-globally-checked data and for propagation of locally-unknown data are programmed into the region-level processes.
  • DRC design check rules
  • MRC mask check rules
  • region-level processes 201 A- 201 N operate in a pipelined manner relative to master process 201 Z
  • the region-level processes supply “to-be-globally-checked” data to the master process ahead of time, prior to execution of each rule, and in these embodiments the region-level processes and the master process all execute simultaneously (or substantially simultaneously) with one another on generating the output of a given rule, as described above in reference to FIGS. 5A-5L .
  • rule checking commands has been illustrated herein, other syntax is used in other embodiments.
  • the specific syntax of rule checking commands is not a critical aspect of the invention.
  • Appendix A contains an illustrative exemplary runset for execution in the Hercules tool.
  • Appendix B contains results of execution of the Hercules tool using the runset of Appendix A.

Abstract

When performing rule checking locally within any given region of a layout of an integrated circuit, certain data is generated to be checked globally, regardless of boundaries (hereinafter “to-be-globally-checked” data). The to-be-globally-checked data, resulting from execution of a given rule in each region of the IC layout, is merged across all regions, and the same rule (i.e. the given rule) is executed globally on the merged data. When an entire runset has been executed in all regions individually, and also executed globally on the merged data, the results thereof are all merged together to yield a final result of a complete execution of the entire runset over the entire IC layout. In some embodiments, certain additional data that could not be rule checked due to the presence of boundaries of adjacent regions is propagated between successive rules in each region.

Description

BACKGROUND
1. Field of the Invention
The invention relates to the design of semiconductor chips. More specifically, the invention relates to a method and an apparatus for verifying a large design of an integrated circuit (IC) layout, by verification of small regions of the layout, and combination of the results.
2. Related Art
A computer programmed with appropriate software (called layout verification tool) is normally used to verify that an overall design of an integrated circuit (IC) chip conforms to certain predetermined tolerances that are required by a process to be used in fabricating the chip. Examples of such a layout verification tool include (1) HERCULES software available from Synopsys, Inc. 700 East Middlefield Road, Mountain View, Calif. 94043, and web site www.synopsys.com, (2) VAMPIRE software available from Cadence Design Systems, Inc, 555 River Oaks Parkway, San Jose, Calif. 95134, and web site www.cadence.com, and (3) CALIBRE software available from Mentor Graphics Corporation, 8005 SW Boeckman Road, Wilsonville, Oreg., 97070, and web site www.mentor.com.
Tolerances for the process that is to be used to fabricate the IC chip are often specified by IC chip designers in the form of “rules” that are used by the layout verification tool to confirm that a chip's design can be manufactured by an IC fabrication process (in an operation called “design rule check” (DRC) or “mask rule check” (MRC), depending on whether or not the design has been Optical Proximity Corrected). Examples of rules (also called “verification rules”) to be used in checking an IC design include: (1) minimum width of a trace (i.e. line) or other feature, (2) minimum spacing between elements of a circuit (e.g. between adjacent lines), (3) minimum width of notches and (4) checks for acute angles.
Verification rules are normally stored in a predetermined sequence (e.g. in a computer file) commonly called a “runset” (also called “rule set,” “rule file,” “rule deck,” or “rule scripts”). The runset is supplied as input to the layout verification tool, for use in checking if a design conforms to rules in the runset. The rules may be applied to the entire layout of the IC design, if the design is sufficiently small and the layout verification tool is executing in a computer that has sufficient resources (e.g. memory and computation power).
However, as IC designs get bigger, a single computer does not have sufficient memory and/or computation power to verify and synthesize an entire mask layout before manufacture. Hence, a large IC design (illustrated in FIG. 1A) is partitioned into multiple regions (two regions are illustrated in FIG. 1B) with the layout verification tool being applied to each region independent of another region (as illustrated in FIGS. 1C and 1D).
Execution of a runset on each individual region, independent of another individual region, creates artifacts when shapes cross a boundary between regions. In the illustration of FIG. 1C, a rule applicable to shapes that interact is not applied to rectangle 101, although rectangle 101 interacts with rectangle 102 as shown in FIG. 1D.
Such artifacts may be avoided by manually partitioning a large layout into multiple regions while taking care to avoid cutting a shape. However, manual partitioning is labor intensive, and there is no known method to ensure that satisfactory partitions are made of a large and complex IC layout.
One prior art method for reducing such artifacts is described in U.S. Pat. No. 6,077,310 granted to Yamamoto et al. on Jun. 20, 2000 entitled “Optical Proximity Correction System”, which is incorporated by reference herein in its entirety. This patent describes the conventional method as shown in FIG. 1E and the use of overlapping regions at the boundaries of a region-under-verification in FIG. 1F. See Yamamoto's column 7 lines 28-44.
As shown in FIG. 1F, Yamamoto adds a buffer area B to the periphery of a to-be-corrected area A. The to-be-corrected area A and the buffer area B are combined to form an area C on which calculations for proximity correction are performed. In FIG. 1E, c is an already corrected optical proximity effect calculation area, b is the buffer area of c, and a is the corrected solution of the to-be-corrected area. Note that a buffer area is also called “ambit” in some prior art systems use a similar technique in rule checking (DRC or MRC).
The inventor of the current patent application has recognized that prior art methods using buffer areas result in two types of problems, a global scope problem and a local scope problem as discussed next. Specifically, in a global scope problem illustrated in FIG. 1C, when verifying the upper region of the IC design, rectangle 101 that spans across two regions may still not be selected if the buffer area (or ambit) is not sufficiently wide to include a portion of rectangle 102.
In a local scope problem illustrated in FIGS. 1G-1L, initially there are two rectangles 111 and 112 in the upper region and two rectangles 113 and 114 in the lower region, as shown in FIG. 1G, and the space between rectangles is marked as 115, 116 and 117 as shown in FIG. 1H. Note that FIGS. 1I and 1J illustrate the independent and individual checking of the upper region and the lower region respectively, while using boundary areas. Next, referring to FIG. 1K, the space between rectangles 115, 116 and 117 is marked again, as 118 and 119 shown in FIG. 1L. Therefore, at this stage, in the upper region there is one rectangle 118.
Hence a check for space between two rectangles at this stage, when applied to only the upper region results in an empty set. Similarly in verifying the lower region as well, as there is only one rectangle 119 that is left, just before the last rule in the runset is executed, the space between rectangles is an empty set also. Therefore, a space 120 which is in fact located between rectangles 117 and 118 is not detected when performing verification in each of the upper region and the lower region independently, even though using ambit.
In some instances, it may be possible for an IC chip designer to prepare a runset that contains commands to overcome the above-described problems, but such an “intelligent” runset is not generic across IC designs, and also not scaleable (because manual customization is required).
SUMMARY
A computer is programmed, in accordance with the invention, to automatically generate and propagate internally, while working on each individual region (into which an IC layout is subdivided), certain data (called “locally-unknown data”) between successive rules of a runset. The locally-unknown data is passed, from execution of one rule to execution of a next rule in a given region, in the same manner as (and in addition to) a result of performing rule checking locally in the given region. In addition, in some embodiments, the computer is programmed, to generate and transfer additional data (called “to-be-globally-checked data”) from each region on which a given rule is being checked, for rule checking across the entire IC layout as a whole, regardless of region boundaries. The to-be-globally-checked data that is generated from each region, is merged across multiple regions (e.g. all regions in some embodiments), and rule checking is performed with the same rule (i.e. the given rule) on the merged data. In these embodiments, individual results of rule checking the entire runset in individual regions are combined with the result of rule checking the runset on merged data on a global scale, to yield a final result of execution of the runset over the entire IC layout.
BRIEF DESCRIPTION OF THE FIGURES
FIGS. 1A-1D illustrate a problem associated with prior art method of a verifying an IC design layout in individual regions, namely an upper region and a lower region.
FIGS. 1E and 1F illustrate a solution described in U.S. Pat. No. 6,077,310 granted to Yamamoto.
FIGS. 1G-1L illustrate a problem associated with a use of a boundary area (also called ambit) when individual regions are rule checked independently of each other.
FIG. 2A illustrates, in a high-level block diagram, a system of one or more computers in accordance with the invention, that identify data to be propagated between rules in a given region as well as data to be verified globally across all regions.
FIG. 2B illustrates a layout which has been subdivided into three regions, without regard to locations of features contained therein, in accordance with an act 212 illustrated in FIG. 2D.
FIG. 2C illustrates the same layout as in FIG. 2B but now subdivided into four regions, also in accordance with an act 212 illustrated in FIG. 2D.
FIG. 2D illustrates, in a high-level flow chart, acts performed in some embodiments of the invention, by the system of FIG. 2A.
FIG. 3 illustrates, in an intermediate-level flow chart, acts performed in some embodiments of the invention, to implement the acts of FIG. 2D.
FIG. 4A illustrates a prior art example of the type shown in FIG. 1A with reference numerals added thereon, and FIG. 4B provides a key to identify the layers to which shapes in FIG. 4A belong.
FIGS. 4C-4L illustrate the method of FIG. 3 applied to the example of FIGS. 4A-4B.
FIGS. 5A-5L illustrate the method of FIG. 3 applied to the example of FIG. 1G.
FIG. 6A illustrates a runset grouped into two groups of rules, wherein each group is separated from the other group by one or more rules that cannot be verified in individual regions.
FIG. 6B illustrates, in a high-level block diagram, the system of computers of FIG. 2A, as used with multiple sequences of rules in the runset of FIG. 6A.
FIG. 6C illustrates a chip designer issuing commands via a runset file in a computer in one specific exemplary implementation of the invention.
FIG. 6D illustrates the same layout as in FIG. 2B but now subdivided into sixteen regions, also in accordance with an act 212 illustrated in FIG. 2D.
FIG. 7 illustrates, a simplified representation of an exemplary digital ASIC design flow in accordance with the invention.
DETAILED DESCRIPTION
A system 200 (FIG. 2A) in accordance with the invention uses several processes 201A, 201J and 201N (where N is the number of processes) to verify a single IC layout. Specifically, each process 201J works on a single portion (also called “region”) of an IC layout 203, to check for violation of rules (such as DRC rules and MRC rules) in that single portion. In the embodiment illustrated in FIG. 2A, each process 201J executes in a corresponding processor 200J present in system 200. Processes 201A-201N are also referred to herein as region-level processes because each of these processes perform rule checking on an individual region 203J (FIG. 2B) of IC layout 203.
System 200 in accordance with the invention also uses an additional process 201Z (also called “master process”) that works on a global scale. Master process 201Z is programmed to check for violation of rules regardless of boundaries, such as boundaries 204X1, 204X2, 204Y1 and 204Y2 between regions 203A-203N (FIG. 2B). Master process 201Z (FIG. 2A) receives as input certain data (called “to-be-globally-checked data”) that is generated by processes 201A-201N. This to-be-globally-checked data is then rule checked by process 201Z, regardless of region boundaries. This to-be-globally-checked data is also referred to herein as a kind of “fuzzy” data. Specifically, master process 201Z merges “to-be-globally-checked” data generated by processes 201A-201N, and performs rule checking on the merged data, using the same rule that is applied to the respective regions by processes 201A-201N.
In many embodiments, most of an IC layout's data in a given region 203J is rule checked by a corresponding region-level process 201J, and hence the amount of fuzzy data that is “generated” and therefore the amount of merged data to be rule checked by the master process 201Z is small (as compared to the amount of data in the original layout). For this reason, master process 201Z consumes less resources (CPU and memory) and completes more quickly than a prior art process that rule checks the entire IC layout as a whole (without subdivision). Moreover, use of a master process 201Z that operates regardless of region boundaries (in addition to region-level processes) eliminates one or more artifacts that otherwise arise from the prior art use of “ambit” (as discussed above in reference to FIGS. 1E-1L).
Master process 201Z of some embodiments is programmed to operate in a pipelined manner relative to processes 201A-201N, as follows. While master process 201Z is performing rule checking using a given rule on the merged data, processes 201A-201N perform rule checking in their respective regions using a next rule that follows the given rule in the runset. Hence, in such pipelined embodiments of the invention, master process 201Z executes one rule behind processes 201A-201N.
In some embodiments, all of the rules in the runset are rule checked by processes 201A-201N and master process 201Z, and individual results, on completion of rule checking the entire runset, from processes 201A-201N (also called “runset results”) are combined with the result of applying the runset to merged data by master process 201Z, to yield a final result 205 (FIG. 2A). In accordance with the invention, this final result 205 can be made identical to a corresponding result from execution of the runset over the entire IC layout without dividing the layout into regions, if region-level processes 201A-201N transfer appropriate to-be-globally-checked data to master process 201Z for every rule in the runset. The appropriate data to be transferred, from a region-level process to a master process, for each of a number of rules commonly used in a runset, is discussed in detail below, just before the claims.
In several embodiments, the total number of processes that work on a layout (i.e. region-level processes 201A-201N as well as master process 201Z) is selected to be equal to or an integral multiple of the total number of processors (e.g. CPUs) in computer system 200. In some embodiments there is no relation between these two numbers, i.e. number of processors and number of processes. Use of multiple processors that operate in parallel improves the speed at which an IC layout is verified.
In one embodiment, a three CPU computer system 200 is used as follows: two CPUs are used to execute two processes 201A and 201N on two halves of an IC layout, such as an upper region and a lower region into which the IC layout is evenly subdivided (i.e. partitioned), and the third CPU is used to execute master process 201Z. Other embodiments may use a computer system having an even number of CPUs, although an odd number has been discussed in this example.
In some embodiments, computer system 200 retrieves (see act 211 in FIG. 2D) an IC layout 203 to be verified, e.g. in the GDSII format, from an integrated circuit (IC) design database (such as, e.g. MILKYWAY) which holds a netlist produced by synthesis of a circuit description originally expressed in a hardware modeling language, such as VERILOG or VHDL. A design database is not shown in the attached figures or discussed below, because preparation of a layout from such a database is well known in the art. Any process in computer system 200 (such as master process 201Z) may be programmed to prepare IC layout 203 in the usual manner from such a database (which is located in persistent storage, such as a hard disk).
Thereafter, as illustrated by act 212 in FIG. 2D), layout 203 (FIG. 2A) is subdivided (i.e. partitioned) into a number of regions 203A-203N and each region 203J is stored in a corresponding storage unit 202J for rule checking by a corresponding region-level process 201J. Subdivision of a layout 203 may be performed in any conventional manner, either manually or automatically. In an illustration shown in FIG. 2B, an IC layout 203 is subdivided into three regions 203A, 203J and 203N that are to be rule checked by three processes 201A-201N respectively. The three regions 203A-203N are formed in layout 203 by inserting boundaries at horizontal lines 204X1 and 204X2 into layout 203.
In the embodiment illustrated in FIG. 2B, two horizontal lines 204X1 and 204X2 are evenly spaced from one another and from the respective top and bottom edges of the IC layout, thereby to partition the IC layout into three horizontal strips of equal width. The just-described subdivision is performed automatically by a fourth process 203Z that obtains the layout 203 from the database. In this example, each region-level process 201J is executed by a corresponding CPU, in a four-CPU computer system 200. Master process 210Z executes in the fourth CPU.
In an embodiment illustrated in FIG. 2B, there are only two regions, namely an upper region 203A and a lower region 203B, and this subdivision is performed in accordance with a parameter of value 3×1 which is specified as an input in a file containing a runset, as illustrated in FIG. 6C. Note that if the value of this parameter is changed to 2×2 then the same IC design is subdivided into four quadrants by a vertical line 204Y and a horizontal line 204X. Note that in the embodiments shown in FIGS. 2B and 2C, the subdivision is done mechanically, without regard to the features that are being cut in the subdivision.
In one embodiment, a region-level process 201A performs an act 213A that executes a first rule in the runset on region 203A (FIG. 2B). On completion of act 213A, process 201A sends to-be-globally-checked data to master process 201Z that uses this data in act 213Z (discussed later). Similarly, process 201N performs act 213N that executes the first rule in the runset on region 203B. On completion of act 213N, process 201N also sends to-be-globally-checked data to master process 201Z for use in act 213Z. As there are only two regions, master process 201Z merges all of the to-be-globally-checked data from processes 201A and 201N, and thereafter act 213Z is performed to apply the first rule to the merged data.
While act 213Z is being performed, processes 201A and 201N proceed to perform their respective next acts 214A and 214N and wherein a second rule in the runset is executed on the respective regions 203A and 203B. As before, on completion of acts 214A and 214N, the respective processes 201A and 201N send to-be-globally-checked data to master process 201Z. If master process 201Z has completed performing act 213Z, the next act 214Z is performed to apply the second rule on the data merged from processes 201A and 201N. In this manner, execution proceeds within each of processes 201A, 201N and 201Z, until act 220Z is completed, which is then followed by performance of act 230 by master process 201Z. In act 230, master process 201Z combines the individual results of rule checking from each of processes 201A and 201N, with the result of rule checking from act 220Z to generate a final result, of rule checking IC layout 203.
In some embodiments, region- level processes 201A and 201N propagate certain data internally within each process (also called locally-unknown data). Locally-unknown data which is to be further checked within each region is propagated internally within each region-level process, from each act to the next act which is being performed within the region. This locally-unknown data is also referred to herein as a kind of “fuzzy” data. Hence, there are two kinds of fuzzy data, namely the locally-unknown data and the to-be-globally checked data. Both kinds of fuzzy data are used in most embodiments of the invention, although the specific formulation of each kind of fuzzy data may differ depending on the embodiment.
The region-level processes are illustrated in FIG. 3 as processes 301A and 301N. As illustrated by act 311A, a first rule is selected from the runset followed by performance of act 312A. In act 312A, region-level process 301A performs rule checking with the selected rule (at this stage the first rule) on region A and on any locally-unknown data propagated from the previous rule (at this stage there happens to be no such propagated data). Next, in act 313A, process 301A identifies the locally-unknown data which is propagated to the next rule. Thereafter, in act 314A, process 301A generates to-be-globally-checked data that is sent to master process 301Z. Next, in act 315A, process 301A checks to see if all of the rules in the runset have been used in rule checking region A, and if not it proceeds to act 316A. In act 316A, process 301A selects the next rule in the runset and returns to act 312A (described above).
If in act 315A, process 301A finds that the entire runset has been executed, then a result of performing the rule checking (also called runset result) for region A is sent to master process 301Z. Process 301N performs the same acts as a process 301A, except that these acts are performed on a region N instead of on region A. Master process 301Z merges the data received from each of processes 301A and 301N in act 331, followed by executing the selected rule on the merged data in act 332. Act 332 is followed by checking in act 333 if the runset has been completed. If not completed, process 301Z returns to act 331 (described above). If completed, process 301Z continues to act 334 and combines the runset results from processes 301A and 301N as well as the result from performance of act 332 to yield a final result. Note that master process 301Z does not perform the equivalent of acts 313A and 314A, because there are no boundaries in the master region, which has the size of the entire layout.
Rule checking of a layout example of FIGS. 4A-4B is performed in several embodiments of the invention as illustrated in FIGS. 4C-4L that are described below. Specifically, as illustrated in FIGS. 4A-4B, shapes of the layout are in three layers that are also referred to as red, green and blue. The red layer contains rectangles 411-417, the green layer contains rectangles 421-424 and the blue layer contains rectangles 431-434. The layout of FIG. 4A is subdivided in an even manner automatically, without any manual intervention, by performance of act 212 as shown in FIG. 4C resulting in two regions namely region 451 which is the upper half and region 452 which is the lower half with no overlap. A boundary line 453 is inserted into a layout 450 by some embodiments without regard to whether or not a feature is being cut up, resulting in upper half region 451 and lower half region 452. In this example, boundary line 453 is spanned by rectangles 413 and 415 that have respective portions present in each of the two regions 451 and 452. Note that boundary line 453 may be too close to a lower edge of rectangle 414 so as to violate a minimum spacing rule, but the subdivision of layout 450 is performed regardless of such considerations.
Assume that a first rule in the runset is to select all red rectangles that interact with green rectangles, and save the result in layer T. Therefore process 301A identifies red rectangles 411 and 412 due to their interaction with green rectangles 421 and 422, on completion of act 312A. Note that region 451 (FIG. 4C) is rule checked by process 301A. The locally-unknown data in region 451 for the RED layer is called RED1.fuzzy. The variable's name RED1.fuzzy is obtained by concatenating the name of the first layer in the current rule, the identifier of the region and the string “. fuzzy”. RED1.fuzzy is an empty set when the rule checking process starts. For the same reason, GREEN1.fuzzy is also an empty set, because this is the starting point
Next, after act 312A is performed, act 313A is performed to generate the locally-unknown data which is propagated to the next rule. In this example, the locally-unknown data is also identified to be rectangles 413 and 415 (FIG. 4E) which are same as the rectangles selected in act 314A, and they are selected for the same reason: because they span across boundary line 453 between regions 451 and 452. As noted above, the locally-unknown data is held in a variable of a name that is obtained by concatenating the resulting layer's name, an identifier of the region and the string “.fuzzy”. Hence, in this example, a variable T1.fuzzy is used in act 313A, to identify the rectangles 413 and 414 that are to be locally checked in the next rule.
After act 313A is performed, act 314A is performed to generate the to-be-globally-checked data which is sent to master process 301Z. In this example, the to-be-globally-checked data is identified to be rectangles 413 and 415 (FIG. 4D) which are selected in act 314A because they span across boundary line 453 between regions 451 and 452. The to-be-globally-checked data is held in process 301A in a variable of a name that is obtained by concatenating the letters “cmd” with an identifier of the rule (e.g. 1, 2, 3 . . . ) in the runset, the string “_fuzzy”, the name of the layer (e.g. RED, BLUE), and the identifier of the region (e.g. 1 for the upper region 451 and 2 for the lower region 452). In this example, a variable cmd1_fuzzyRED1 is used to identify this data that is to be globally checked. Note that here the variable cmd1_fuzzyGREEN1 is an empty set because no green rectangles interact with cmd1_fuzzyRED1 and RED1.fuzzy. In general both cmd1_fuzzyRED1 and cmd1_fuzzyGREEN1 are generated in region 451 and transferred to the master process 301Z.
In this example, a second rule in the runset is to perform a Boolean “AND” operation between the result layer T from the first rule and the layer BLUE (see FIG. 4F). The result of performing rule checking with this second rule is to be saved in layer P. Therefore process 301A returns to act 312A and applies the second rule to layer T (containing rectangles 411 and 412) and also to variable T1.fuzzy (containing the rectangles 413 and 415). T1.fuzzy holds locally-unknown data propagated from the first rule. When performing rule checking with the second rule in the upper region of layer T, process 301A identifies an intersection 461 (FIG. 4G) between rectangles 411 and 431 as the result, which becomes the content of layer P. Additionally, in performing rule checking with the second rule on variable T1.fuzzy, process 301A also identifies another intersection 462 between rectangles 413 and 432 that becomes P1.fuzzy.
Next, after act 312A is performed, act 314A is performed to generate the to-be-globally-checked data which is sent to master process 301Z. In this example, the to-be-globally-checked data is identified to be rectangles 462 (FIG. 4G) which are selected as the intersection between rectangles 432 and 413. This to-be-globally-checked data is held in variable cmd2_fuzzyBLUE1. Note that to-be-globally-checked data for the layer T1 is empty, i.e. cmd2_fuzzyT1 is an empty set. Process 301N operates similar to process 301A, except that it operates on region 452, and identifies rectangle 416 as a shape in layer T (FIG. 4H). In addition, process 301N also identifies to-be-globally-checked data as rectangles 413 and 415 held in variable cmd1_fuzzyRED2 and rectangle 423 held in variable cmd1_fuzzyGREEN2. Variable T2.fuzzy is used to additionally identify this same data as the locally-unknown data to be propagated so that it can be locally checked by the next rule. During performance on this next rule, no shapes are added to the layer P and also no shapes are added to variable cmd2_fuzzyBLUE2 as shown in FIG. 4I.
Master process 301Z merges to-be-globally-checked data from each of processes 301A and 301N. In the example shown in FIG. 4J, rectangles 413 and 415 are reconstituted when shapes in variables cmd1_fuzzyRED1 and cmd1_fuzzyRED2 are merged. Note that the boundary line 453 does not exist in the master region shown in FIG. 4J. In this master region, the upper halves of rectangles 413 and 415 in upper region 451 are merged with their corresponding lower halves in lower region 452 (in a polygon merge operation of the type commonly available in a physical verification software product such as Hercules available from Synopsys, Inc.). Similarly, green rectangle 423 is obtained when shapes in variables cmd1_fuzzyGREEN1 and cmd1_fuzzyGREEN2 are similarly merged.
At this stage, the merged data includes rectangles 413, 415 and 423. In performing rule checking with the first rule on merged data, process 301Z identifies rectangle 413 that is added to the content of result layer T0. Therefore, layer T0 now stores rectangle 413. Note that the name T0 for the result layer in master process 301Z is obtained by concatenating a “0” (which denotes “master”) at the end of the name of the layer as specified in the rule, which is T in this example (see FIG. 4K). Next, master process 301Z again merges to-be-globally-checked data from processes 301A and 301N, as provided in variables cmd2_fuzzyBLUE1 and cmd2_fuzzyBLUE2. As noted above, variable cmd2_fuzzyBLUE2 is empty, and variable cmd2_fuzzyBLUE1 contains rectangle 462 which therefore becomes the merged data at this stage. Hence, master process 301Z now performs rule checking using the second rule, on the merged data (rectangles 413 and 462) and on layer T (rectangle 413).
The result of applying the second rule is that layer P0 contains a rectangle 462 (FIG. 4L). At this stage, the runset results for the regions 451 and 452, which are stored in result layer P, are received from region- level processes 301A and 301N, and are combined with result from rule checking the merged data within master process 301Z, to yield a final result (in this case only the two rectangles 461 and 462 are obtained, when the various results are merged).
The method of FIG. 3 is now described in the context of the example of FIG. 1G-1L, as now illustrated in FIGS. 5A-5L. specifically, as shown in FIG. 5A, region-level process 301A operates on region 551. In this example, two red rectangles 511 and 512 are checked to see if a minimum spacing S is maintained from boundary line 553. Any rectangle, such as rectangle 512 that violates this minimum spacing requirement S is added to a variable cmd1_fuzzyRED1, which is sent as to-be-globally-checked data to master process 301Z.
A first rule in the runset in this example is EXT RED=BLUE. This first rule means that any shape within distance S of a red rectangle is to be placed in the layer BLUE. When this first rule is applied to region 551, the result is a blue rectangle 513, which as shown in FIG. 5B is located between red rectangles 511 and 512. Also as shown in FIG. 5B, a blue rectangle 514 is now formed between red rectangle 512 and boundary line 553, and rectangle 514 is stored in variable BLUE1.fuzzy. This variable BLUE1.fuzzy holds locally-unknown data for use in process 301A when performing rule checking in region 551 using the second rule in the runset.
At this stage, process 301A generates to-be-globally-checked data for the second rule in the runset by working on the blue layer which contains two rectangles 513 and 514 as shown in FIG. 5C. Specifically, in applying the minimum spacing requirement S, rectangle 513 is found to be too close to BLUE1.fuzzy and therefore rectangle 513 is stored in the variable cmd2_fuzzyBLUE1, which is sent as to-be-globally-checked data to master process 301Z.
A second rule in the runset of this example is EXT BLUE=GREEN. Therefore process 301A a now identifies rectangle 515 (see FIG. 5D) located between blue rectangles 513 and 514, as being saved in variable GREEN1.fuzzy. This variable GREEN1.fuzzy holds locally-unknown data for use in process 301A when performing rule checking in region 551 using the third rule in the runset. A third rule in the runset of this example is EXT GREEN, and the result of which happens to be empty. The reason that the result of third rule, EXT GREEN, is empty is that there is only one green rectangle 515 in FIG. 5D. This rectangle 515 which is in the variable GREEN1.fuzzy, and there are no other shapes in the layer GREEN in region 551. Therefore, the variable cmd3_fuzzyGREEN1 is empty.
As shown in FIGS. 5F-5G, process 301N performs rule checking in region 552 in a manner similar or identical to that described above in reference to process 301A. Moreover, master process 301Z merges the data it receives from processes 301A and 301N, for example to create two red rectangles 512 and 516 (FIG. 5H) for use in performing rule checking using the first rule in the runset. Specifically, a variable cmd1_fuzzyRED is obtained from the merger of shapes in variables cmd1_fuzzyRED1 and variable cmd1_fuzzyRED2. Thereafter, on applying the first rule in the master region, process 301Z identifies a new rectangle 517 (located between rectangles 512 and 516) as the result BLUE0 (see FIG. 5I).
Next, master process 301Z merges the to-be-globally-checked data from processes 301A and 301N, to obtain rectangles 513 and 518 (as shown in FIG. 5J.). Thereafter, master process 301Z performs rule checking on the three rectangles 513, 517 and 518 using the second rule, i.e. EXT BLUE=GREEN. Two rectangles 531 and 522 are identified as the result of applying this second rule, and are stored in variable GREEN0 as shown in FIG. 5K. Thereafter, master process 301Z merges the to-be-globally-checked data from processes 301A and 301N, and obtains an empty set at this stage. Finally, master process 301Z applies the third rule on the runset, namely EXT GREEN, obtain a final result. Note that rectangle 523 which is the final result (FIG. 5L), is a nonempty set, unlike the prior art shown above in reference to FIG. 1L.
Note that although in some embodiments, all rules in a runset are rule-checked by region-level processes, runsets of other embodiments use one or more rules for which it is unknown as to how to prepare the appropriate to-be-globally-checked data and/or locally-unknown data. In such other embodiments, the region-level processes are used only to rule-check those rules for which it is known how to prepare the appropriate to-be-globally-checked data and locally-unknown data (together referred to as “fuzzy” data), and the remaining rules are rule-checked in a conventional manner (e.g. on a global scale without regions, or on a local scale with regions having ambits).
Specifically, as illustrated in FIG. 6A, a runset 602 contains a sequence of rules 602P-602R for which it is known how to prepare the fuzzy data, followed by a sequence of rules 602S-602U for which it is unknown how to prepare fuzzy data, followed by a sequence of rules 602V-602W for which it is known how to prepare the fuzzy data. In this example, the initial sequence of rules 602P-602R for which fuzzy data preparation is known are treated as a complete runset as described above, with region-level processes 601A-601N and master process 601Z being used to rule check all rules in this initial sequence. Thereafter, the result from this initial sequence (also called “intermediate result”) from combining as discussed above, is used to rule check the intermediate sequence of rules 602S-602U in the conventional manner, (e.g. by any conventional method that uses the entire IC layout without partitioning). Hence, this intermediate sequence is rule checked without the benefit of multiple processors (and in the embodiment illustrated in FIG. 6B, this is done within the master process itself). The result of rule checking in the conventional manner is then re-partitioned and used to rule check the final sequence of rules 602V-602W, in the above-described manner, with region-level processes 611A-611N and master process 611Z being used to rule check all rules in this final sequence.
FIG. 6C illustrates a chip designer issuing commands via a runset file in a computer in one specific exemplary implementation of the invention. Note that the commands identify, for example, the number of regions and the manner in which a layout is to be subdivided, e.g. by specifying 3×1 or 2×2 as illustrated in FIGS. 2B and 2C as discussed above. Furthermore, the same layout may be divided into sixteen regions, e.g. by in response to a command containing the parameter 4×4 as illustrated in FIG. 6D. Note further that in one embodiment a method of the type described above is performed in a hierarchical manner, wherein multiple groups of processes supply to-be-globally-checked data from each group to a respective process (hereinafter “intermediate-master” process), and each intermediate-master process in turn supplies to-be-checked data to the master process. Such a hierarchy of processes can have any level of depth depending on the embodiment, although for illustrative purposes a two level hierarchy is illustrated in FIG. 6D which is discussed next.
Specifically, in the example shown in FIG. 6D, four region-level processes perform rule checking in the respective four regions 206A-206D and supply the to-be-globally-checked data from each of these regions to an intermediate-master process 206 (not shown in FIG. 6D) that merges the data it receives and performs rule checking on this merged data e.g. in the top left quadrant 204A (see FIG. 2C). This process 206 in turn generates to-be-globally-checked data that interact with lines 204X and 204Y at the boundaries of quadrant 204A. Similarly, four region-level processes that perform rule checking in regions 207A-207D supply their respective to-be-globally-checked data to another intermediate-master process 207 (not shown in FIG. 6D) that merges the data it receives and performs rule checking on this merged data e.g. in the bottom right quadrant 204D (see FIG. 2C). This process 207 in turn generates to-be-globally-checked data that interact with lines 204X and 204Y at the boundaries of quadrant 204D. The to-be-globally-checked data from these two intermediate-master processes 206 and 207 as well as from two additional intermediate-master processes (for the quadrants 204B and 204C shown in FIG. 2C) is all merged by a single master process that receives data from all four quadrants.
In some embodiments, the merged data may be sufficiently large as to require a computer in which the master process executes to have a large memory and/or a fast CPU as compared to the computers in which region-level processes execute (regardless of the depth of hierarchy). In such embodiments, a master process may be programmed perform the above-described method recursively, e.g. by subdividing the merged data into data for individual regions, followed by rule checking in the individual regions (on each individual merged data portion related to the corresponding region), followed by re-merging the to-be-globally-checked data. While some embodiments subdivide the merged data in the same manner (e.g. into the same regions) as the subdivision of the IC layout, other embodiments subdivide the merged data into larger regions. In an example illustrated in FIG. 6D, if the hierarchy is just one (i.e. there are no intermediate-master processes), the IC layout is subdivided into 16 regions while the merged data therefrom is subdivided into 4 regions.
It may be helpful to place the above-described process in context. FIG. 7 shows a simplified representation of an exemplary digital ASIC design flow. At a high level, the process starts with the product idea (700) and is realized in a EDA software design process (710). When the design is finalized, it can be taped-out (event 740). After tape out, the fabrication process (750) and packaging and assembly processes (760) occur resulting, ultimately, in finished chips (result 770).
The EDA software design process (710) is actually composed of a number of stages 712-730, shown in linear fashion for simplicity. In an actual ASIC design process, the particular design might have to go back through steps until certain tests are passed. Similarly, in any actual design process, these steps may occur in different orders and combinations. This description is therefore provided by way of context and general explanation rather than as a specific, or recommended, design flow for a particular ASIC. A brief description of the components of the EDA software design process (stage 710) will now be provided.
System design (stage 712): The circuit designers describe the functionality that they want to implement, they can perform what-if planning to refine functionality, check costs, etc. Hardware-software architecture partitioning can occur at this stage. Exemplary EDA software products from Synopsys, Inc. that can be used at this stage include Model Architect, Saber, System Studio, and DesignWare® products.
Logic design and functional verification (stage 714): At this stage, the VHDL or Verilog code for modules in the system is written and the design (which may be of mixed clock domains) is checked for functional accuracy. More specifically, does the design as checked to ensure that produces the correct outputs. Exemplary EDA software products from Synopsys, Inc. that can be used at this stage include VCS, VERA, DesignWare®, Magellan, Formality, ESP and LEDA products.
Synthesis and design for test (stage 716): Here, the VHDL/Verilog is translated to a netlist. The netlist can be optimized for the target technology. Additionally, the design and implementation of tests to permit checking of the finished chip occurs. Exemplary EDA software products from Synopsys, Inc. that can be used at this stage include Design Compiler®, Physical Compiler, Test Compiler, Power Compiler, FPGA Compiler, Tetramax, and DesignWare® products.
Design planning (stage 718): Here, an overall floorplan for the chip is constructed and analyzed for timing and top-level routing. Exemplary EDA software products from Synopsys, Inc. that can be used at this stage include Jupiter and Flooplan Compiler products.
Netlist verification (stage 720): At this step, the netlist is checked for compliance with timing constraints and for correspondence with the VHDL/Verilog source code. Exemplary EDA software products from Synopsys, Inc. that can be used at this stage include VCS, VERA, Formality and PrimeTime products.
Physical implementation (stage 722): The placement (positioning of circuit elements) and routing (connection of the same) occurs at this step. Exemplary EDA software products from Synopsys, Inc. that can be used at this stage include the Astro product.
Analysis and extraction (stage 724): At this step, the circuit function is verified at a transistor level, this in turn permits what-if refinement. Exemplary EDA software products from Synopsys, Inc. that can be used at this include Star RC/XT, Raphael, and Aurora products.
Physical verification (stage 726): At this stage various checking functions are performed to ensure correctness for: manufacturing, electrical issues, lithographic issues, and circuitry. Exemplary EDA software products from Synopsys, Inc. that can be used at this include the Hercules product. Note that various acts of the type described above in reference to FIG. 3 are performed in a stage 726A that is reached from this stage, in some embodiments of the invention. Hence, although circuitry and portions thereof (such as rectangles) may be thought of at this stage as if they exist in the real world, it is to be understood that at this stage only a layout exists in a computer system 200 (FIG. 2A). The actual circuitry in the real world is created after this stage as discussed below.
Resolution enhancement (stage 728): This involves geometric manipulations of the layout to improve manufacturability of the design. Exemplary EDA software products from Synopsys, Inc. that can be used at this include iN-Phase, Proteus, and AFGen products.
Mask data preparation (stage 730): This provides the “tape-out” data for production of masks for lithographic use to produce finished chips. Exemplary EDA software products from Synopsys, Inc. that can be used at this include the CATS(R) family of products. Note that various acts of the type described above in reference to FIG. 3 may also be performed in a stage 730A that is accessed from this stage, in some embodiments of the invention. It is to be understood that even at this stage only a computer model exists in a computer system 200 (FIG. 2A). The actual circuitry in the real world is created after this stage in a wafer fabrication facility (also called “fab”).
The data structures and software code for implementing one or more acts described in this detailed description can be stored on a computer readable storage medium, which may be any device or medium that can store code and/or data for use by a computer system. This includes, but is not limited to, magnetic and optical storage devices such as disk drives, magnetic tape, CDs (compact discs) and DVDs (digital versatile discs or digital video discs), and computer instruction signals embodied in a transmission medium (with or without a carrier wave upon which the signals are modulated). For example, the transmission medium may include a communications network, such as the Internet. In one embodiment, the carrier wave includes computer instruction signals for carrying out one or more steps performed by one or more region-level processes 201A-201N and/or master process 201Z of FIG. 2A.
Note that a computer system used in some embodiments to implement a rule-checker of the type described herein uses ninety linux operating system workstations (based on IBM-compatible PCs) each containing a 2 GHz CPU and 1 GB memory, that are interconnected via a local area network (Ethernet).
Appropriate to-be-globally-checked data that is transferred by each region-level process to the master process depends on the rule, examples of which are discussed below. If a rule has an operator OP and two operands namely a first layer Ai and a second layer Bi wherein “i” denotes a region, then a region-level process produces four outputs, namely Ci which is the result, Ci.fuzzy which is the locally-unknown data for use in the next rule, and cmd_FuzzyAi and cmd_FuzzyBi which are the to-be-globally-checked data for each of two layers, as follows.
(Ai,Ai.fuzzy)OP(Bi,Bi.fuzzy)=(Ci,Ci.fuzzy)
(Ai,Ai.fuzzy)OP(Bi,Bi.fuzzy)=(cmd_FuzzyAi,cmd_FuzzyBi)
Note that the variable name of the to-be-globally-checked includes a subscript (such as “1” or “3”) immediately following the term “cmd” in the above equation, to identify a rule in whose application the data gets generated, as illustrated in FIG. 4D for example by the name cmd1_fuzzyRED1.
The master process merges the to-be-globally-checked data from multiple regions, one layer at a time, as follows.
cmd_FuzzyA1+cmd_FuzzyA2+ . . . =cmd_FuzzyA
cmd_FuzzyB1+cmd_FuzzyB2+ . . . =cmd_FuzzyB
In the above equations, the numbers “1” and “2” denote region numbers whereas “A” and “B” denote layer names. Note that the “+” operand shown above denotes a polygon merge. After merging, the merged data in each layer is used with a respective result in each layer from performing rule checking using the last rule, to perform rule checking using the current rule as follows.
(A0,cmd_FuzzyA)OP(B0,cmd_FuzzyB)=C0
If the current rule is the last rule, then the results are combined as shown below.
C0+C1+C2+ . . . +Cn=C
In the above-listed equation as well, the “+” operand denotes merging of polygons in any conventional manner. Note that C0 is the result from rule-checking by the master process, while C1 to Cn are results of rule checking by individual region-level processes.
Examples of specific rules and appropriate fuzzy data, namely to-be-globally-checked data and locally-unknown data that are generated and propagated in some embodiments of the invention are now discussed. A first example is for rule BOOLEAN A AND C=D, wherein “AND” denotes intersection (i.e. overlap) between shapes in layers A and C, some embodiments generate to-be-globally-checked data as follows:
cmd_FuzzyAi=Ai AND Ci.fuzzy
cmd_FuzzyCi=Ci AND Ai.fuzzy
Note that in the above equations, Ci.fuzzy and Ai.fuzzy are the locally-unknown data propagated from the previous rule, and Ai and Ci are the results of performing rule checking using the previous rule (or initially just the layout from the database which has been subdivided). Moreover, in applying this rule, the result is obtained in the normal manner, namely Di=Ai AND Ci. In addition, the locally-unknown data which is to be propagated to the next rule is as follows:
Di.fuzzy=cmd_FuzzyAi+cmd_FuzzyCi+(Ai.fuzzy AND Ci.fuzzy)
A second example is for rule SELECT A INTERACT B=C wherein “INTERACT” checks if shapes in layer A interact with shapes in layer B and the result is stored in C. When performing this rule, some embodiments generate to-be-globally-checked data as follows:
newFuzzyAi = Ai INTERACT Boundary
cmd_FuzzyAi = newFuzzyAi +
Ai INTERACT Ai.fuzzy + Ai INTERACT Bi.fuzzy
cmd_FuzzyBi = Bi INTERACT (newFuzzyAi + Ai INTERACT
Ai.fuzzy)

In the first equation listed above, “newFuzzyAi” is a temporary variable, and “Boundary” is the location of a boundary line (or multiple boundary lines if more than one line is used to form regions). In addition, in applying this rule, the result is obtained as follows:
Ci=(Ai NOT newFuzzyAi)INTERACTBi
In addition, the locally-unknown data which is to be propagated to the next rule is as follows:
Ci.fuzzy=Ai.fuzzy+cmd_FuzzyAi
A third example is for rule EXTERNAL A=C, which is similar to the above-described second example, except that in this rule an external spacing check is performed, as discussed next. Specifically, violation of a minimum spacing requirement by any shapes in layers A is checked, with the result being stored in C. When performing this rule, some embodiments use the following equations, wherein tmpC is a temporary variable:
tmpC=EXTERNAL(A,A)
Note that the above equation requires checking the minimum spacing between two polygons of layer A.
tmp = SELECT tmpC INTERACT Ai.fuzzy
C = tmpC NOT tmp
Ci.fuzzy = EXTERNAL(A, Boundary) + EXTERNAL(A,
Ai.fuzzy)

Note that the above equation requires checking the minimum space S between a polygon of layer A and the boundary line and flagging that in-between space if it is too close (i.e. if it is less than S).
cmd_FuzzyAi = data of A that is within space S to Boundary +
data of A that is within space S to Ai.fuzzy

Above-listed equation outputs all polygons of A that are closer than space S to the boundary line and also all polygons of A that are closer than space S to a polygon in Ai.fuzzy.
A fourth example is for rule INTERNAL A=C, which is similar to the above-described third example, except that in this rule an internal width check is performed, as discussed next. Specifically, violation of a minimum width requirement by any shapes in layers A is checked, with the result being stored in C. When performing this rule, some embodiments use the following equations, wherein tmpC is a temporary variable:
tmpC=INTERNAL(A)
Note that the above equation requires checking the minimum width W on a polygon of layer A.
tmp = SELECT tmpC INTERACT Ai.fuzzy +
SELECT tmpC INTERACT Boundary
C = tmpC NOT tmp
Ci.fuzzy = Ai.fuzzy + tmp
cmd_FuzzyAi = data of A that is within width W to Boundary +
data of A that is within width W to Ai.fuzzy

Above-listed equation outputs all polygons of A that are closer than width W to the boundary line and also all polygons of A that are than width W to a polygon in Ai.fuzzy.
Note that the above equations provide a sufficient set of rules for Design Rule Checking (DRC), as will be apparent to the skilled artisan. Specifically, any DRC rule not explicitly identified in the above equations can be expressed in terms of two or more of the above equations. For example, SELECT A INSIDE B=C is the equivalent to the following:
A NOT B=D
SELECT A INTERACT D=E
A NOT E=C
Numerous modifications and adaptations of the embodiments described herein will become apparent to the skilled artisan in view of this disclosure. Hence, although some embodiments have been described above for the Hercules tool available from Synopsys, Inc, other embodiments use other tools, such as Hera tool available from IBM Corporation and Moat tool available from Texas Instruments.
Although in some embodiments, boundary line 453 between regions 451 and 452 is inserted without regard to the locations of features in layout 450, one or more such boundary line(s) may alternatively be routed through layout 450 to minimize the number of features being cut up or to minimize violation of minimum spacing requirements. Such alternative embodiments reduce the amount of to-be-globally-checked data being sent to master process 301Z, and therefore the amount of processing performed by (and the amount of CPU and memory resources consumed by) process 301Z.
In some embodiments discussed above, the number of region-level processes 201A-201N is equal to the number of regions into which a single IC layout is partitioned. However, other embodiments may have more regions than processors in computer system 200 (e.g. if one or more processors work on multiple regions sequentially), or fewer regions than processors (e.g. if one or more regions is idle). Also, rules that are checked by region-level processes of the type described above can be any design check rules (DRC) or mask check rules (MRC), so long as techniques for generation of to-be-globally-checked data and for propagation of locally-unknown data are programmed into the region-level processes.
Furthermore, although in some embodiments, region-level processes 201A-201N operate in a pipelined manner relative to master process 201Z, in alternative embodiments the region-level processes supply “to-be-globally-checked” data to the master process ahead of time, prior to execution of each rule, and in these embodiments the region-level processes and the master process all execute simultaneously (or substantially simultaneously) with one another on generating the output of a given rule, as described above in reference to FIGS. 5A-5L.
Note also that although certain syntax for rule checking commands has been illustrated herein, other syntax is used in other embodiments. For example, instead of the command A NOT B=D, one alternative embodiment may use the command D=A NOT B while another alternative embodiment may use the command D=Boolean NOT (A, B). The specific syntax of rule checking commands is not a critical aspect of the invention.
Note further that although some embodiments perform rule checking as discussed above, other embodiments perform any other verification operation, such as layout versus schematic (LVS) verification (wherein a design layout is compared to a netlist that describes interconnections of components), and optical process correction (OPC) wherein a reticle layout is modified according to a fixed set of rules). In such embodiments, one kind of fuzzy data is propagated locally within the region for use in one or more verification operation(s), while the other kind of fuzzy data is transferred from multiple regions to a common process that merges the received data and performs the same verification operation(s) on the merged data.
The following Appendix A contains an illustrative exemplary runset for execution in the Hercules tool. Moreover, the following Appendix B contains results of execution of the Hercules tool using the runset of Appendix A.
Numerous modifications and adaptations of the embodiments described herein are encompassed by the scope of the invention.

Claims (23)

1. A computer-implemented method of analyzing a layout of an integrated circuit device for violation of a plurality of predetermined rules, the layout comprising a plurality of polygons, the method comprising:
a master process subdividing the layout into a plurality of regions;
multiple region-level processes performing rule checking locally, on each of multiple sets of polygons located within multiple corresponding regions of the layout, as to whether or not a rule in the plurality of predetermined rules is satisfied;
the multiple region-level processes generating data comprising a subset of polygons selected within each set from each corresponding region, to be checked regardless of boundaries between regions;
the master process merging data generated from multiple regions, to yield merged data, at least by reconstituting a polygon in said layout from a plurality of portions of said polygon formed by said subdividing and located in a plurality of subsets generated from corresponding regions; and
the master process performing rule checking globally on at least the merged data, including said polygon obtained by reconstituting and spanning across multiple regions, regardless of boundaries between the multiple regions, as to whether or not said rule is satisfied, thereby to identify, from within the merged data, a feature not previously identified by said performing rule checking locally.
2. The method of claim 1 further comprising:
each region-level process propagating locally within each region of the layout, from execution of said rule to execution of a next rule that follows said rule in a runset: a first additional subset of polygons resulting from said performing rule checking locally, and a second additional subset of polygons identified as locally-unknown data for spanning across a boundary line; and
each region-level process performing rule checking, by applying the next rule to said first additional subset of polygons, and by applying the next rule to said second additional subset of polygons.
3. The method of claim 2 wherein:
additional data comprises said additional subset of polygons;
said additional data is generated as a result of performing rule checking locally; and
said additional data further checked locally within each region.
4. The method of claim 2 wherein:
at least one rule in said predetermined plurality of rules is for performing a “design rule check” (DRC) operation.
5. The method of claim 2 wherein:
at least one rule in said predetermined plurality of rules is for performing a “mask rule check” (MRC) operation.
6. The method of claim 1 wherein:
the data to be merged, generated from a given region, identifies a rectangle in the given region of said polygon spanning across a boundary of the given region.
7. The method of claim 1 wherein:
said generating is done during said performing.
8. The method of claim 1 wherein:
said predetermined plurality of rules are arranged in a predetermined sequence relative to one another; and
said performing of rule checking globally by the master process is at least one rule behind said performing of rule checking locally by the multiple region-level processes.
9. The method of claim 1 wherein:
said subdividing is done with no overlap between said regions.
10. The method of claim 1 wherein:
said “performing rule checking globally” is performed on at least one polygon located across an entirety of said layout as a whole.
11. The method of claim 1 wherein:
said subdividing consists essentially of inserting a boundary line between two regions without regard to locations of features in the layout.
12. The method of claim 1 wherein:
said subdividing comprises inserting a boundary line between two regions to minimize a number of features being cut up.
13. The method of claim 1 wherein:
said subdividing comprises inserting a boundary line between two regions to minimize violation of minimum spacing requirements.
14. The method of claim 1 wherein:
said merging comprises a polygon merge operation.
15. A computer-readable storage medium encoded with instructions which when executed by a computer cause the computer to at least:
perform rule checking locally, on each of multiple sets of polygons located within multiple corresponding regions of a layout of an integrated circuit device, as to whether or not a rule in the plurality of predetermined rules is satisfied;
generate data comprising a subset of polygons within each set from each corresponding region, to be checked regardless of boundaries between regions;
merge data generated from multiple regions, to yield merged data, at least by reconstituting a polygon in said layout from a plurality of portions of said polygon and located in a plurality of subsets generated from corresponding regions; and
perform rule checking globally on the merged data, including said polygon obtained by reconstituting and spanning across multiple regions, regardless of boundaries between regions, as to whether or not said rule is satisfied, thereby to identify, in the merged data, a feature not previously identified by said performing rule checking locally.
16. A system comprising a plurality of processors and a corresponding plurality of memories, each memory being coupled to a processor, each memory being encoded with plurality of predetermined rules to be used in analyzing a layout of an integrated circuit device, wherein:
a group of processors in said plurality of processors check sets of shapes located in individual regions of the layout, whether or not a selected rule, in the plurality of predetermined rules, is satisfied; and
the group of processors generate data comprising subsets of shapes selected from within each set to be indicative of features to be checked regardless of boundaries between regions;
a master processor among said plurality of processors merges the generated data regardless of region at least by reconstituting a multi-dimensional shape of said layout from a plurality of multi-dimensional portions thereof located in a corresponding plurality of subsets, to yield merged data comprising a plurality of multi-dimensional shapes including said multi-dimensional shape; and
the master processor applies the selected rule globally across the plurality of regions, on the merged data to perform rule checking on the plurality of multi-dimensional shapes, thereby to identify, in the merged data, a feature not previously identified by said group of processors in checking whether or not said selected rule is satisfied.
17. The system of claim 16 wherein:
the master processor reconstitutes each shape among the multi-dimensional shapes, from data supplied by the group of processors.
18. The system of claim 17 wherein:
data input to the master processor, generated from a processor in the group of processors for a given region, identifies at least a portion of a shape in the given region spanning across a boundary of the given region.
19. The system of claim 16 wherein:
said master processor executes one rule behind the group of processors.
20. An apparatus for analyzing a layout of an integrated circuit device, the apparatus comprising:
a plurality of first means for checking a corresponding plurality of regions of the layout as to whether or not a selected rule, in a plurality of predetermined rules, is satisfied;
a plurality of second means, equal in number to the first means, for generating data comprising a plurality of shapes at least one shape in said plurality of shapes to be checked regardless of boundaries between regions;
means for merging the generated data from said each region with generated data from at least multiple regions adjacent to said each region in said plurality of regions, to yield merged data; and
means for applying the selected rule globally across the plurality of regions, on the plurality of shapes in the merged data, thereby to identify, in the merged data, a feature not previously identified by said plurality of first means in checking whether or not said selected rule is satisfied.
21. The apparatus of claim 20 further comprising:
means for combining results of the plurality of first means and said means for applying the selected rule globally.
22. The apparatus of claim 20 wherein:
data input to the means for merging, generated from a given region, identifies shapes in the given region spanning across a boundary of the given region.
23. The apparatus of claim 20 where:
said means for applying executes one rule behind the plurality of first means.
US11/134,721 2005-05-20 2005-05-20 Verifying an IC layout in individual regions and combining results Active 2025-09-08 US7617464B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US11/134,721 US7617464B2 (en) 2005-05-20 2005-05-20 Verifying an IC layout in individual regions and combining results
US12/559,478 US7945872B2 (en) 2005-05-20 2009-09-14 Verifying an IC layout in individual regions and combining results

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/134,721 US7617464B2 (en) 2005-05-20 2005-05-20 Verifying an IC layout in individual regions and combining results

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US12/559,478 Continuation US7945872B2 (en) 2005-05-20 2009-09-14 Verifying an IC layout in individual regions and combining results

Publications (2)

Publication Number Publication Date
US20060265675A1 US20060265675A1 (en) 2006-11-23
US7617464B2 true US7617464B2 (en) 2009-11-10

Family

ID=37449695

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/134,721 Active 2025-09-08 US7617464B2 (en) 2005-05-20 2005-05-20 Verifying an IC layout in individual regions and combining results
US12/559,478 Expired - Fee Related US7945872B2 (en) 2005-05-20 2009-09-14 Verifying an IC layout in individual regions and combining results

Family Applications After (1)

Application Number Title Priority Date Filing Date
US12/559,478 Expired - Fee Related US7945872B2 (en) 2005-05-20 2009-09-14 Verifying an IC layout in individual regions and combining results

Country Status (1)

Country Link
US (2) US7617464B2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2474927A1 (en) 2010-12-28 2012-07-11 POLYTEDA Software Corporation Limited Method of layout verification of the integrated circuits
WO2013124703A1 (en) * 2012-02-23 2013-08-29 Freescale Semiconductor, Inc. Method and apparatus for performing integrated circuit layout verification
US11132491B2 (en) * 2016-10-31 2021-09-28 Synopsys, Inc. DRC processing tool for early stage IC layout designs

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7913206B1 (en) 2004-09-16 2011-03-22 Cadence Design Systems, Inc. Method and mechanism for performing partitioning of DRC operations
US7409656B1 (en) 2005-09-12 2008-08-05 Cadence Design Systems, Inc. Method and system for parallelizing computing operations
US7904852B1 (en) * 2005-09-12 2011-03-08 Cadence Design Systems, Inc. Method and system for implementing parallel processing of electronic design automation tools
US7406671B2 (en) * 2005-10-05 2008-07-29 Lsi Corporation Method for performing design rule check of integrated circuit
US7870517B1 (en) * 2006-04-28 2011-01-11 Cadence Design Systems, Inc. Method and mechanism for implementing extraction for an integrated circuit design
US8448096B1 (en) * 2006-06-30 2013-05-21 Cadence Design Systems, Inc. Method and system for parallel processing of IC design layouts
JP5748319B2 (en) * 2006-07-25 2015-07-15 ザ ボード オブ トラスティーズ オブ ザ レランド スタンフォード ジュニア ユニバーシティー Apparatus and method using hollow core fiber taper
US7657856B1 (en) 2006-09-12 2010-02-02 Cadence Design Systems, Inc. Method and system for parallel processing of IC design layouts
CN105426567B (en) * 2007-03-09 2018-12-07 明导公司 Incremental analysis of layout design data
JP4891817B2 (en) * 2007-03-16 2012-03-07 株式会社日立製作所 Design rule management method, design rule management program, rule construction device, and rule check device
US8112724B2 (en) * 2007-03-20 2012-02-07 Sony Corporation Method of designing semiconductor integrated circuit, apparatus for designing semiconductor integrated circuit, recording medium, and mask manufacturing method
US8359561B2 (en) * 2007-12-06 2013-01-22 Onespin Solutions Gmbh Equivalence verification between transaction level models and RTL at the example to processors
US8010917B2 (en) 2007-12-26 2011-08-30 Cadence Design Systems, Inc. Method and system for implementing efficient locking to facilitate parallel processing of IC designs
US8042069B2 (en) * 2008-08-07 2011-10-18 United Microelectronics Corp. Method for selectively amending layout patterns
US20120011480A1 (en) * 2010-05-25 2012-01-12 Sridhar Srinivasan Logic-Driven Layout Verification
US8810785B2 (en) * 2011-08-26 2014-08-19 United Microelectronics Corp. Mask inspecting method
TWI612373B (en) 2014-07-24 2018-01-21 聯華電子股份有限公司 Optical proximity correction verification system and verification method thereof
KR102343850B1 (en) 2015-05-06 2021-12-28 삼성전자주식회사 Method of producing mask with using common bias value in optical proximity correction
DE102019124928A1 (en) 2018-09-28 2020-04-02 Taiwan Semiconductor Manufacturing Company, Ltd. INTEGRATED CIRCUIT DESIGN USING FUZZY MACHINE LEARNERS
US11392748B2 (en) * 2018-09-28 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit design using fuzzy machine learning
US11429775B1 (en) * 2021-03-25 2022-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Automatic generation of sub-cells for an analog integrated circuit
US11763060B2 (en) 2021-03-25 2023-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Automatic generation of layouts for analog integrated circuits

Citations (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5062054A (en) * 1988-03-10 1991-10-29 Matsushita Electric Industrial Co., Ltd. Layout pattern generation and geometric processing system for LSI circuits
US5497334A (en) * 1993-02-19 1996-03-05 International Business Machines Corporation Application generator for use in verifying a hierarchical circuit design
US5528508A (en) * 1993-02-19 1996-06-18 International Business Machines Corporation System and method for verifying a hierarchical circuit design
US5581475A (en) * 1993-08-13 1996-12-03 Harris Corporation Method for interactively tailoring topography of integrated circuit layout in accordance with electromigration model-based minimum width metal and contact/via rules
US6077310A (en) 1995-12-22 2000-06-20 Kabushiki Kaisha Toshiba Optical proximity correction system
US6237128B1 (en) * 1997-10-01 2001-05-22 International Business Machines Corporation Method and apparatus for enabling parallel layout checking of designing VLSI-chips
US6282696B1 (en) * 1997-08-15 2001-08-28 Lsi Logic Corporation Performing optical proximity correction with the aid of design rule checkers
US6324673B1 (en) * 1999-01-14 2001-11-27 Princeton University Method and apparatus for edge-endpoint-based VLSI design rule checking
US6341366B1 (en) * 1999-01-15 2002-01-22 Spring Soft Inc. Rule-driven method and system for editing physical integrated circuit layouts
US6470482B1 (en) * 1990-04-06 2002-10-22 Lsi Logic Corporation Method and system for creating, deriving and validating structural description of electronic system from higher level, behavior-oriented description, including interactive schematic design and simulation
US20030061583A1 (en) * 2001-09-14 2003-03-27 Numerical Technologies, Inc. Shape and look-up table based design rule checking (DRC) for physical verification of integrated circuit layouts
US20040064795A1 (en) * 2002-09-30 2004-04-01 Sun Microsystems, Inc. Via enclosure rule check in a multi-wide object class design layout
US6748571B2 (en) * 2000-03-28 2004-06-08 Kabushiki Kaisha Toshiba Semiconductor inspecting system, semiconductor defect analyzing system, semiconductor design data modifying system, semiconductor inspecting method, semiconductor defect analyzing method, semiconductor design data modifying method, and computer readable recorded medium
US6871332B2 (en) * 2002-07-23 2005-03-22 Sun Microsystems, Inc. Structure and method for separating geometries in a design layout into multi-wide object classes
US6910193B1 (en) * 1999-03-22 2005-06-21 Hewlett-Packard Development Company, L.P. System and method for executing tests on an integrated circuit design
US20050216875A1 (en) * 2004-03-29 2005-09-29 Youping Zhang System for integrated circuit layout partition and extraction for independent layout processing
US20050283747A1 (en) * 2004-02-24 2005-12-22 Konstantinos Adam OPC simulation model using SOCS decomposition of edge fragments
US7000208B2 (en) * 2002-07-29 2006-02-14 Synopsys,Inc. Repetition recognition using segments
US7047510B1 (en) * 2003-04-23 2006-05-16 Cadence Design Systems, Inc. Method and system for partitioning an integrated circuit design
US7051307B2 (en) * 2003-12-03 2006-05-23 International Business Machines Corporation Autonomic graphical partitioning
US7065729B1 (en) * 1998-10-19 2006-06-20 Chapman David C Approach for routing an integrated circuit
US7089519B1 (en) * 2002-12-31 2006-08-08 Cadence Design System, Inc. Method and system for performing placement on non Manhattan semiconductor integrated circuits
US7096445B1 (en) * 2003-01-14 2006-08-22 Cadence Design Systems, Inc. Non-orthogonal structures and space tiles for layout, placement, and routing of an integrated circuit
US7107559B2 (en) * 2003-10-29 2006-09-12 Lsi Logic Corporation Method of partitioning an integrated circuit design for physical design verification
US20060294488A1 (en) * 2005-06-24 2006-12-28 Pulsic Limited Integrated Circuit Routing and Compaction
US20070174807A1 (en) * 2005-09-12 2007-07-26 Masahiko Kumashiro Semiconductor device manufacturing method, library used for the same, recording medium, and semiconductor device manufacturing system
US20070234265A1 (en) * 2002-11-19 2007-10-04 Cadence Design Systems, Inc. Method, System, and Article of Manufacture for Implementing Metal-Fill With Power or Ground Connection
US20080005704A1 (en) * 2006-06-30 2008-01-03 Synopsys, Inc. Fast lithography compliance check for place and route optimization
US7404164B2 (en) * 2004-02-04 2008-07-22 International Business Machines Corporation IC design modeling allowing dimension-dependent rule checking

Patent Citations (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5062054A (en) * 1988-03-10 1991-10-29 Matsushita Electric Industrial Co., Ltd. Layout pattern generation and geometric processing system for LSI circuits
US6470482B1 (en) * 1990-04-06 2002-10-22 Lsi Logic Corporation Method and system for creating, deriving and validating structural description of electronic system from higher level, behavior-oriented description, including interactive schematic design and simulation
US5497334A (en) * 1993-02-19 1996-03-05 International Business Machines Corporation Application generator for use in verifying a hierarchical circuit design
US5528508A (en) * 1993-02-19 1996-06-18 International Business Machines Corporation System and method for verifying a hierarchical circuit design
US5581475A (en) * 1993-08-13 1996-12-03 Harris Corporation Method for interactively tailoring topography of integrated circuit layout in accordance with electromigration model-based minimum width metal and contact/via rules
US6077310A (en) 1995-12-22 2000-06-20 Kabushiki Kaisha Toshiba Optical proximity correction system
US6282696B1 (en) * 1997-08-15 2001-08-28 Lsi Logic Corporation Performing optical proximity correction with the aid of design rule checkers
US6237128B1 (en) * 1997-10-01 2001-05-22 International Business Machines Corporation Method and apparatus for enabling parallel layout checking of designing VLSI-chips
US7065729B1 (en) * 1998-10-19 2006-06-20 Chapman David C Approach for routing an integrated circuit
US6324673B1 (en) * 1999-01-14 2001-11-27 Princeton University Method and apparatus for edge-endpoint-based VLSI design rule checking
US6341366B1 (en) * 1999-01-15 2002-01-22 Spring Soft Inc. Rule-driven method and system for editing physical integrated circuit layouts
US6910193B1 (en) * 1999-03-22 2005-06-21 Hewlett-Packard Development Company, L.P. System and method for executing tests on an integrated circuit design
US6748571B2 (en) * 2000-03-28 2004-06-08 Kabushiki Kaisha Toshiba Semiconductor inspecting system, semiconductor defect analyzing system, semiconductor design data modifying system, semiconductor inspecting method, semiconductor defect analyzing method, semiconductor design data modifying method, and computer readable recorded medium
US20030061583A1 (en) * 2001-09-14 2003-03-27 Numerical Technologies, Inc. Shape and look-up table based design rule checking (DRC) for physical verification of integrated circuit layouts
US6871332B2 (en) * 2002-07-23 2005-03-22 Sun Microsystems, Inc. Structure and method for separating geometries in a design layout into multi-wide object classes
US7000208B2 (en) * 2002-07-29 2006-02-14 Synopsys,Inc. Repetition recognition using segments
US20040064795A1 (en) * 2002-09-30 2004-04-01 Sun Microsystems, Inc. Via enclosure rule check in a multi-wide object class design layout
US6883149B2 (en) * 2002-09-30 2005-04-19 Sun Microsystems, Inc. Via enclosure rule check in a multi-wide object class design layout
US20070234265A1 (en) * 2002-11-19 2007-10-04 Cadence Design Systems, Inc. Method, System, and Article of Manufacture for Implementing Metal-Fill With Power or Ground Connection
US7089519B1 (en) * 2002-12-31 2006-08-08 Cadence Design System, Inc. Method and system for performing placement on non Manhattan semiconductor integrated circuits
US7096445B1 (en) * 2003-01-14 2006-08-22 Cadence Design Systems, Inc. Non-orthogonal structures and space tiles for layout, placement, and routing of an integrated circuit
US7047510B1 (en) * 2003-04-23 2006-05-16 Cadence Design Systems, Inc. Method and system for partitioning an integrated circuit design
US7107559B2 (en) * 2003-10-29 2006-09-12 Lsi Logic Corporation Method of partitioning an integrated circuit design for physical design verification
US7051307B2 (en) * 2003-12-03 2006-05-23 International Business Machines Corporation Autonomic graphical partitioning
US7404164B2 (en) * 2004-02-04 2008-07-22 International Business Machines Corporation IC design modeling allowing dimension-dependent rule checking
US20050283747A1 (en) * 2004-02-24 2005-12-22 Konstantinos Adam OPC simulation model using SOCS decomposition of edge fragments
US20050216875A1 (en) * 2004-03-29 2005-09-29 Youping Zhang System for integrated circuit layout partition and extraction for independent layout processing
US20060294488A1 (en) * 2005-06-24 2006-12-28 Pulsic Limited Integrated Circuit Routing and Compaction
US20070174807A1 (en) * 2005-09-12 2007-07-26 Masahiko Kumashiro Semiconductor device manufacturing method, library used for the same, recording medium, and semiconductor device manufacturing system
US20080005704A1 (en) * 2006-06-30 2008-01-03 Synopsys, Inc. Fast lithography compliance check for place and route optimization

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Scherber et al., Palace: A parallel and hierarchical layout analyzer and circuit extractor, Mar. 1996, European Design and Test Conference, pp. 357-361. *

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2474927A1 (en) 2010-12-28 2012-07-11 POLYTEDA Software Corporation Limited Method of layout verification of the integrated circuits
WO2013124703A1 (en) * 2012-02-23 2013-08-29 Freescale Semiconductor, Inc. Method and apparatus for performing integrated circuit layout verification
US9378325B2 (en) 2012-02-23 2016-06-28 Freescale Semiconductor, Inc. Method and apparatus for performing integrated circuit layout verification
US11132491B2 (en) * 2016-10-31 2021-09-28 Synopsys, Inc. DRC processing tool for early stage IC layout designs

Also Published As

Publication number Publication date
US20100005434A1 (en) 2010-01-07
US7945872B2 (en) 2011-05-17
US20060265675A1 (en) 2006-11-23

Similar Documents

Publication Publication Date Title
US7617464B2 (en) Verifying an IC layout in individual regions and combining results
US7346869B2 (en) Power network analyzer for an integrated circuit design
EP2460106B1 (en) Hierarchical order ranked simulation of electronic circuits
US9852253B2 (en) Automated layout for integrated circuits with nonstandard cells
US6243851B1 (en) Heterogeneous method for determining module placement in FPGAs
US6216258B1 (en) FPGA modules parameterized by expressions
US6598215B2 (en) Datapath design methodology and routing apparatus
US8984465B1 (en) Methods, systems, and articles of manufacture for automatically assigning track patterns to regions for physical implementation of an electronic design
US8495535B2 (en) Partitioning and scheduling uniform operator logic trees for hardware accelerators
US8869091B2 (en) Incremental clock tree synthesis
US7146583B1 (en) Method and system for implementing a circuit design in a tree representation
US20110191738A1 (en) Density-based area recovery in electronic design automation
US9898567B2 (en) Automatic layout modification tool with non-uniform grids
US20140282339A1 (en) Automatic tap driver generation in a hybrid clock distribution system
US7353490B2 (en) Power network synthesizer for an integrated circuit design
US8539416B1 (en) Methods, systems, and articles of manufacture for creating a hierarchical output for an operation in an electronic design
Berridge et al. IBM POWER6 microprocessor physical design and design methodology
US9047434B2 (en) Clustering for processing of circuit design data
Chen et al. Simultaneous timing-driven placement and duplication
Wigley et al. Introducing reconfigme: An operating system for reconfigurable computing
US20110191731A1 (en) Zone-based area recovery in electronic design automation
US8132141B2 (en) Method and apparatus for generating a centerline connectivity representation
US8181143B2 (en) Method and apparatus for generating a memory-efficient representation of routing data
Ku et al. Pin-in-the-middle: An efficient block pin assignment methodology for block-level monolithic 3d ics
Ullah et al. Recovery time and fault tolerance improvement for circuits mapped on SRAM-based FPGAs

Legal Events

Date Code Title Description
AS Assignment

Owner name: SYNOPSYS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:WANG, YULAN;REEL/FRAME:016596/0408

Effective date: 20050519

STCF Information on status: patent grant

Free format text: PATENTED CASE

CC Certificate of correction
FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 12TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1553); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 12