US8124907B2 - Load lock chamber with decoupled slit valve door seal compartment - Google Patents

Load lock chamber with decoupled slit valve door seal compartment Download PDF

Info

Publication number
US8124907B2
US8124907B2 US11/782,267 US78226707A US8124907B2 US 8124907 B2 US8124907 B2 US 8124907B2 US 78226707 A US78226707 A US 78226707A US 8124907 B2 US8124907 B2 US 8124907B2
Authority
US
United States
Prior art keywords
slit valve
valve door
seal
load lock
compartment
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related, expires
Application number
US11/782,267
Other versions
US20080087214A1 (en
Inventor
Jae-Chull Lee
Suhail Anwar
Shinichi Kurita
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to US11/782,267 priority Critical patent/US8124907B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to KR1020097004490A priority patent/KR101323224B1/en
Priority to CN2011101802256A priority patent/CN102254791A/en
Priority to TW096128754A priority patent/TWI393206B/en
Priority to CN2007800278585A priority patent/CN101496158B/en
Priority to JP2009523069A priority patent/JP5745764B2/en
Priority to PCT/US2007/075190 priority patent/WO2008019317A2/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ANWAR, SUHAIL, LEE, JAE-CHULL`, KURITA, SHINICHI
Publication of US20080087214A1 publication Critical patent/US20080087214A1/en
Application granted granted Critical
Publication of US8124907B2 publication Critical patent/US8124907B2/en
Expired - Fee Related legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover

Definitions

  • Embodiments of the invention generally relate to a load lock chamber for a vacuum processing system, and more specifically, to a load lock chamber having a decoupled slit valve door seal compartment.
  • Thin film transistors formed by flat panel technology are commonly used for active matrix displays such as computer and television monitors, cell phone displays, personal digital assistants (PDAs), and an increasing number of other devices.
  • flat panels comprise two glass plates having a layer of liquid crystal materials sandwiched therebetween. At least one of the glass plates includes one conductive film disposed thereon that is coupled to a power source. Power, supplied to the conductive film from the power source, changes the orientation of the crystal material, creating a pattern display.
  • PV or solar cells are devices which convert sunlight into direct current (DC) electrical power.
  • PV or solar cells typically have one or more p-n junctions formed on a panel. Each junction comprises two different regions within a semiconductor material where one side is denoted as the p-type region and the other as the n-type region.
  • a high quality silicon-based material is desired to produce high efficiency junction devices (i.e., high power output per unit area).
  • Amorphous silicon (a-Si) film has been widely used as the silicon-based panel material in PV solar cells due to its low cost to manufacture in conventional low temperature plasma enhanced chemical vapor deposition (PECVD) processes.
  • Embodiments of the invention include a load lock chamber having a decoupled slit valve door seal compartment.
  • a load lock chamber includes a main assembly, a first slit valve door seal compartment and a seal assembly.
  • the main assembly has a substrate transfer cavity formed therein. Two substrate access ports are formed through the main assembly and fluidly couple to the cavity.
  • the first slit valve door seal compartment has an aperture disposed adjacent to and aligned with one of the access ports.
  • the first slit valve door seal compartment is decoupled from the main assembly.
  • the seal assembly couples the first slit valve door seal compartment to the main assembly.
  • a load lock chamber in another embodiment, includes a main assembly, a first slit valve door seal compartment, a slit valve door and an annular resilient seal.
  • the main assembly has a substrate transfer cavity. Two substrate access ports are formed through the main assembly and fluidly couple to the cavity.
  • the first slit valve door seal compartment has a substrate transfer passage. The substrate transfer passage has a port adjacent that is aligned with one of the access ports of the main assembly.
  • the first slit valve door seal compartment is decoupled from the main assembly.
  • the slit valve door engages a sealing face of the door seal compartment to selectively seal the substrate transfer passage.
  • the annular resilient seal forms a seal between the first slit valve door seal compartment to the main assembly.
  • a load lock chamber in yet another embodiment, includes a main assembly, a first slit valve door seal compartment, a slit valve door and an annular resilient seal.
  • the main assembly has a substrate transfer cavity and two substrate access ports. The two substrate access ports are fluidly coupled to the cavity through the main assembly.
  • the first slit valve door seal compartment has a substrate transfer passage in which the slit valve door is disposed. The slit valve door is operational to selectively engage a sealing face of the door seal compartment to selectively seal the substrate transfer passage.
  • the annular resilient seal forms a seal between the first slit valve door seal compartment to the main assembly.
  • FIG. 1 is a plan view of an illustrative cluster tool having one embodiment of a load lock chamber of the present invention
  • FIG. 2 is a sectional view of the load lock chamber taken along section line 2 - 2 of FIG. 1 ;
  • FIG. 3 is a partial sectional view of the load lock chamber of FIG. 1 ;
  • FIG. 4 is a simplified sectional view of the chamber body assembly illustrating the position of slit valve doors utilized to seal the factory interface from the load lock chamber;
  • FIG. 5 is a sectional view of one embodiment of a seal assembly
  • FIG. 6 is a sectional view of one embodiment of a seal ring
  • FIG. 7 is a perspective view of one embodiment of a seal ring
  • FIGS. 8A-B are top and sectional views of a segment of a clamp block
  • FIGS. 9A-B are top and sectional views of another segment of a clamp block
  • FIG. 10 is a simplified sectional view of the chamber body assembly illustrating the position of slit valve doors utilized to seal the transfer chamber from the load lock chamber;
  • FIG. 11A is another partial sectional view of the load lock chamber of FIG. 1 ;
  • FIG. 11B is a partial isometric view of another embodiment of an interior of a load lock chamber
  • FIG. 11C is a partial sectional view of another embodiment of an interior of a load lock chamber
  • FIG. 12 is another partial sectional view of the load lock chamber of FIG. 1 ;
  • FIG. 13 is a partial sectional view of the load lock chamber of FIG. 1 illustrating one embodiment of a lamp assembly
  • FIGS. 14-15 are a partial sectional view of a closed end of the lamp assembly supported in a load lock chamber.
  • a load lock chamber having a decoupled slit valve door seal compartment suitable for efficient transfer of large area substrates is provided.
  • the slit valve door seal compartment has much less surface area compared to the main chamber body assembly of the load lock chamber.
  • forces such as thermal expansion or deflection due to pressure or other forces, applied to the component of the chamber body assembly, are isolated from the slit valve door seal compartment, and according do not impart any significant motion or deflection to the seal compartment.
  • the term decoupled defines the ability of chamber body assembly to move or deflect relative to the sealing surfaces without compromising the vacuum integrity of the chamber.
  • the primary embodiment is described as a load lock chamber, it is contemplated that other vacuum chambers, e.g., such as a substrate transfer, chemical vapor deposition, physical vapor deposition, thermal processing, etch, ion implant or other vacuum chamber may be constructed using the decoupled seal compartment configuration described herein.
  • FIG. 1 is a plan view of an illustrative cluster tool 100 having one embodiment of a load lock chamber 104 of the present invention.
  • the cluster tool 100 includes a factory interface 102 coupled by the load lock chamber 104 to a transfer chamber 106 .
  • the factory interface 102 generally includes a plurality of substrate storage cassettes 114 and an atmospheric robot 112 .
  • the atmospheric robot 112 facilitates transfer of substrates 116 between the cassettes 114 and the load lock chamber 104 .
  • a plurality of substrate processing chambers 108 are coupled to the transfer chamber 106 .
  • a vacuum robot 110 is disposed in the transfer chamber 106 to facilitate transfer of a substrate 116 between the load lock chamber 104 and the processing chambers 108 .
  • the load lock chamber 104 generally includes at least one environmentally-isolatable cavity having one or more substrate storage slots defined therein. In some embodiments, a plurality of environmentally-isolatable cavities may be provided, each having one or more substrate storage slots defined therein.
  • the load lock chamber 104 is operated to transfer substrates 116 between an ambient or atmospheric environment of the factory interface 102 and the vacuum environment maintained in the transfer chamber 106 .
  • a plurality of lamp assemblies 120 extend across the load lock chamber 104 generally perpendicular to the direction of travel of a substrate passing between the factory interface 102 and the transfer chamber 106 through the load lock chamber 102 .
  • the lamp assemblies 120 are coupled to a power source 122 so that substrates disposed in the load lock chamber 120 may be selectively heated.
  • five lamp assemblies 120 are shown in the embodiment depicted in FIG. 1 , it is contemplated that the load lock chamber 102 may be configured to contain more or less lamp assemblies 120 , selected commiserate with heating requirements and geometry constraints. It is contemplated that other types of heaters may be utilized in place of, or in addition to the lamp assemblies 120 .
  • the load lock chamber 104 generally includes a body assembly 160 having a main assembly 140 and at least one decoupled slit valve door seal compartment.
  • the slit valve door seal compartment includes a surface to which the slit valve door seals against to environmentally isolate the interior of the load lock chamber 104 .
  • a first slit valve door seal compartment 142 is disposed between the main assembly 140 and the factory interface 102
  • a second slit valve door seal compartment 144 is disposed between the main assembly 140 and the transfer chamber 106 .
  • FIG. 2 further details the load lock chamber 104 of FIG. 1 .
  • the load lock chamber 104 is shown having a plurality of substrate transfer cavities, one of which having a lamp assembly 120 disposed therein, it is contemplated that the lamp assembly 120 may be utilized in any load lock chamber having at least one cavity utilized for substrate transfer, including load lock chambers having capacity greater than a single substrate per cavity.
  • the body assembly 160 is generally fabricated from a rigid material such as stainless steel, aluminum or other suitable material.
  • the body assembly 160 may be fabricated from an assembly of components into a leak-free structure.
  • the main assembly 140 may be a singular or assembly of subcomponents.
  • One suitable body assembly that may be adapted to benefit from the invention is described in U.S. patent application Ser. No. 11/332,781, filed Jan. 13, 2006.
  • Other load lock chambers that may be adapted to benefit from the invention include U.S. patent application Ser. No. 10/832,795, filed Apr. 26, 2004, U.S. patent application Ser. No. 09/663,862, filed Sep. 15, 2000, U.S. patent application Ser. No. 10/842,079, filed May 10, 2004, and U.S. patent application Ser. No. 11/421,793, filed Jun. 2, 2006, among others. All of said U.S. Patent Applications are incorporated herein by reference in their entireties.
  • the main assembly 140 includes a top plate 204 and a bottom plate 206 that sandwich a plurality of ring-shaped bodies 248 .
  • Interior plates 298 are disposed between the bodies 248 .
  • the plates 204 , 206 , 298 enclose internal volumes 220 defined inside each of the bodies 248 .
  • the upper and lower internal volumes 220 are configured as substrate transfer cavities 208 , 210
  • the internal volume 220 bounded by the middle body 248 is configured as a heating cavity 212 .
  • the lamp assemblies 120 are shown disposed in the heating cavity 212 .
  • the lamp assemblies may be alternatively disposed in one of the other transfer cavities 208 , 210 or in any combination of the cavities 208 , 210 , 212 .
  • the top and bottom plates 204 , 206 are sealingly coupled to the bodies 248 by a plurality of fasteners in a manner that permits relative movement between at least one of the top and bottom plates 204 , 206 and the body 248 .
  • at least one of the top and bottom plates 204 , 206 are coupled to the body 248 without welding.
  • the top and bottom plates 204 , 206 and the body 248 may be coupled by welding.
  • At least one spacer 316 is provided between a lower surface 302 of the top plate 204 and an upper surface 304 of the body 248 .
  • the spacer 316 separates the top plate 204 and chamber body 248 , such that a gap 306 is defined therebetween.
  • the spacer 316 is a member having a plan area much smaller than the plan area of the upper surface 304 of the chamber body 248 .
  • a plurality of spacers 316 may be disposed on upper surface 304 along one side of the chamber body 248 .
  • the thickness of the spacer 316 is selected such that a gasket or o-ring 386 is adequately compressed to maintain a vacuum seal between the plates and body, while preventing the top plate 204 from contacting the chamber body 248 under vacuum or other stress conditions.
  • one or more spacers 316 are provided between the bottom plate 206 and the chamber body 248 to maintain a gap 306 therebetween.
  • a first spacer 312 and a second spacer 314 are shown disposed between the top plate 204 and the chamber body 248 .
  • the spacers 312 , 314 are fabricated from a material having a lower coefficient of friction between itself (i.e., spacer 312 to spacer 314 ) than a coefficient of friction between the spacer and the chamber body 248 and/or top plate 204 .
  • the top plate 204 and first spacer 312 are free to translate laterally across the second spacer 314 (and body 248 ) while preventing the top plate 204 and body 248 from touching.
  • the spacers 312 , 314 are disks.
  • the disks may be washers disposed around the bolts 282 utilized to secure the body assembly 160 for ease of assembly.
  • the sliding components e.g., the spacers 312 , 314
  • the force necessary to begin motion is reduced.
  • the contacting surface of the spacers 312 , 314 are outward of the gasket 286 , any particles generated during the sliding of the spacers 312 , 314 are beneficially prevented from entering the internal volume 220 of the load lock chamber 104 .
  • the spacers 316 may be in the form of a rib or other feature, extending between the plates and body to maintain a gap therebetween. It is also contemplated that the spacer may be incorporated into either the plates or body. It is contemplated that the spacers 316 may be in the form of a rib or other feature extending between the plates and body to maintain a gap therebetween. It is also contemplated that the spacer may be incorporated into either the plate or body (i.e., have unitary construction).
  • a recess 308 is formed in the upper surface 304 of the body 248 to locate the second spacer 314 .
  • a recess may be formed in the top plate 204 to locate the first spacer 312 .
  • the recess (not shown) 308 has a depth selected, such that the spacer 314 extends beyond the upper surface 304 to ensure the first spacer 312 is free to slide laterally relative to the body 248 .
  • At least one slot may be formed therein.
  • the slots allow the central region of the top plate 204 to move, deflect and/or expand while minimizing the effect of motion on the edges of the top plate.
  • a seal assembly disposed in the slot to prevent leakage into interior volume of the load lock chamber 104 .
  • a load lock chamber having a slot for mitigating deflection is described in U.S. patent application Ser. No. 11/332,781, filed Jan. 13, 2006, and is incorporated by reference in its entirety.
  • the heating cavity 212 includes at least one substrate access port 216 defined on a side of the body 248 that is coupled to the transfer chamber 106 so that the interior volume 220 of the transfer cavity 212 may be accessed by the vacuum robot 110 (shown in FIG. 1 ).
  • the heating cavity 212 may optionally have a second substrate access port (not shown in FIG. 2 ) to allow substrate transfer between the heating cavity 212 and the factory interface 102 .
  • the second substrate access port may be selectively sealed by a slit valve door, or alternatively sealed by a blank plate since the substrate access port, in this configuration of the load lock assembly, is primarily used for cavity maintenance.
  • FIG. 4 is a simplified sectional view of the chamber body assembly 106 illustrating the position of slit valve doors 400 utilized to seal the substrate access ports 216 on the factory interface side of the load lock chamber 104 .
  • the positioning of the slit valve doors 400 on the transfer chamber side of the load lock chamber 104 will be described further below with reference to FIG. 10 .
  • the slit valve doors 400 are housed in the slit valve seal compartments 142 , 144 .
  • the slit valve doors 400 are utilized to provide a pressure barrier and selectively isolate the cavities of the body main assembly 140 . In a closed position, the slit valve doors 400 prevent gas and substrate movement through the ports 216 .
  • the slit valve doors 400 prevent flow through the substrate access ports, as is well known in the art.
  • One slit valve door that may be adapted to benefit from the invention is described in U.S. patent application Ser. No. 10/867,100, entitled CURVED SLIT VALVE DOOR, filed Jun. 14, 2004 by Tanase, et al., and is incorporated by reference in its entirety.
  • the seal compartment 142 generally includes a transfer passage 402 through which the substrate is transferred between the load lock chamber 104 and the factory interface 102 .
  • the transfer passage 402 has a substrate transfer port 406 and a door cavity 404 .
  • the substrate transfer port 406 exits the seal compartment 142 on a first side 420 of the seal compartment 142 and is generally aligned with the substrate access port 216 of the main assembly 140 .
  • the door cavity 404 exits the seal compartment 142 on a second side 420 of the seal compartment 142 , where the cavity 404 is generally open to the factory interface 102 .
  • the door cavity 404 is generally sized to allow the door 400 to be rotated therein between an open position that allows clearance of the substrate (and robot) to be conveyed therethrough, and a closed position (as shown) that effectively seals the transfer passage 402 .
  • a seal face 408 is defined in the transfer passage 402 between the door cavity 404 and the transfer port 406 .
  • the seal face 408 is shown in a vertical orientation in FIG. 4 , but may be inclined from vertical to reduce the travel of the actuator (not shown) which operates the door 400 .
  • a seal assembly 410 is deposed between the seal compartment 142 and the main assembly 140 .
  • the seal assembly 410 provides a vacuum tight seal between the seal compartment 142 and the main assembly 140 , and circumscribes the port 216 , 406 .
  • FIG. 5 is a sectional view of one embodiment of the seal assembly 410 .
  • the seal assembly 410 generally includes a seal ring 500 and a plurality of clamp blocks 502 that fits into a recess 504 defined at the edges of the main assembly 140 and the seal compartment 142 .
  • the seal ring 500 may be fabricated from a polymer, such as VITON, or other gasket material suitable for use under vacuum conditions. Alternatively, the seal ring 500 may be a metallized bellows.
  • the clamp blocks 502 are arranged in two spaced apart annular rings, each clamp block ring for sealing an opposite edge of the seal ring 500 .
  • the clamp blocks 502 are generally fabricated from a stiff material, such as a metal, ceramic or polymer.
  • the material of the clamp blocks 502 is generally selected to be sufficiently stiff enough to compress the ring 500 as discussed below.
  • Fasteners 514 generally pass through holes 516 , 518 formed through the clamp blocks 502 and seal ring 500 and engage threaded holes 520 respectively formed in the seal compartment 142 and the main assembly 140 . As the fasteners 514 are tightened, each clamp block 502 respectively compresses opposite edges of the seal ring 500 against the seal compartment 142 and the main assembly 140 , forming a seal therebetween. Since the seal compartment 142 and the main assembly 140 remain separated, i.e., a gap is defined therebetween, motion and/or deflection of the main assembly 140 is not transferred to the seal compartment 142 because of the flexibility of the seal ring 500 .
  • the seal assembly 410 accommodates substantially all of the motion and/or deflection of the main assembly 140 without any other rigid fastener or other rigid element fixing the main assembly 140 to the seal compartment 142 , the motion and/or deflection of the main assembly 140 is decoupled from the seal compartment 142 , thereby maintaining the orientation of the door assembly 400 and seal face 408 for a robust and reliable seal, and substantially eliminating sliding seal face-to-door motion for long seal life and reduced particle generation.
  • the seal ring 500 is generally an annular ring, shaped to fit against the walls defining the ports 216 , 406 .
  • the seal ring 500 has a generally rectangular form, although other geometric shapes suitable for circumscribing the ports may be utilized.
  • the seal ring 500 has an inner first side 702 and an outer second side 704 which define a flat ribbon having a tubular form.
  • the seal ring 500 includes a wave 510 that projects from the second side 704 .
  • the recess 504 which is defined in a portion of the main assembly 140 and plate 142 , includes a bottom 506 against which the ring 500 is sealingly clamped.
  • a trough 508 is defined in the bottom 506 of the recess 504 to accommodate the wave 510 .
  • An interface 512 between the sidewalls of the trough 508 and the bottom 506 may be rounded to prevent damage to the ring 500 .
  • the inner bottom corner of the clamp blocks 502 are also rounded to prevent the seal ring 500 form being pulled against a sharp corner when exposed to vacuum. As the first side 420 of the ring 500 is brought under vacuum, the wave 510 may flatten against the clamp block 502 to support the seal.
  • ridges 706 are provided on the first and second sides 420 , 422 of the ring 500 inward of the holes 518 to concentrate contact pressure between the ring 500 and the respective surfaces of the clamp blocks 502 and bottom 506 of the recess 504 to enhance maintenance of vacuum integrity.
  • the clamp blocks 502 may be fabricated from metal or other suitable material.
  • the clamp blocks 502 may be annular in form and slightly flexible to allow clamping of the ring 510 .
  • the clamp blocks 502 may be fabricated from a plurality of clamp segments for ease of assembly.
  • the pair of clamp blocks may comprise clamp segments.
  • the clamp segments may be arranged in pairs as shown, or staggered.
  • the clamp segments may be fastened adjacent each other to substantially cover the interior first side 702 of the ring 500 .
  • the clamp segments include segments of any size and shape that may be arranged to substantially cover the inner first side 702 of the ring.
  • the clamp block 502 includes a plurality of corner segments 500 (A) and a plurality of straight segments 500 (B). As shown in the sectional view of FIG. 8A and the top view of FIG.
  • the corner segments 500 (A) have a bend 802 that substantially matches the corner of the recess 504 as the recess 504 curves or turns to follow the circumference of the ports 216 , 406 .
  • the straight segments 500 (B) are sized to cover the ring 500 along the straight or linear portions of the recess 504 , either as a single straight segment 500 (B), or as a plurality of abutted straight segments 500 (B). It is contemplated that the clamp block 502 may have other configurations.
  • FIG. 10 is a simplified sectional view of the chamber body assembly 106 illustrating the position of slit valve doors 400 housed in the seal compartment 144 and utilized to seal the substrate access ports 216 on the transfer chamber side of the load lock chamber 104 .
  • the seal compartment 144 generally includes a transfer passage 1002 through which the substrate is transferred between the load lock chamber 104 and the transfer chamber 106 .
  • the transfer passage 1002 has a substrate transfer port 1006 , a substrate transfer aperture 1010 and a door cavity 1004 .
  • the substrate aperture 1010 exits the seal compartment 144 on a first side 1020 of the seal compartment 144 and is generally aligned with the substrate access port 216 of the main assembly 140 .
  • the substrate transfer port 1006 exits the seal compartment 144 on a second side 1022 of the seal compartment 144 and is generally open to the transfer chamber 106 .
  • the door cavity 1004 is defined between the port 1006 and aperture 1010 .
  • the door cavity 1004 is generally sized to allow the door 1000 to be rotated therein between an open position that allows clearance of the substrate (and robot) to be conveyed therethrough, and a closed position (as shown) that effectively seals the transfer passage 1002 .
  • a seal face 1008 is defined in the transfer passage 1002 between the door cavity 1004 and the transfer port 1006 .
  • the seal face 1008 is shown in a vertical orientation in FIG. 10 , but may be inclined from vertical to reduce the travel of the actuator (not shown) which operates the door 1000 .
  • a lip 1012 may extend circumferentially from the seal face 1008 to increase the unit loading on the door 400 .
  • a seal assembly 410 is disposed between the seal compartment 144 and the main assembly 140 .
  • the seal assembly 410 provides a vacuum tight seal between the seal compartment 144 and the main assembly 140 , and circumscribes the port 216 , 1006 , and is configured as described with reference to FIGS. 5-9 above.
  • the seal assembly 410 has a flexible member coupling the seal compartment 144 to the main assembly 140 . Therefore, as no rigid members, such as metal fastening means, fasteners, welds, and the like, directly couple the seal compartment 144 to the main assembly 140 , motion and/or forces acting on the main assembly 140 are isolated from the seal compartment 144 . This improves seal longevity and reliability, along with reducing particulate generation due to seal rubbing/wear.
  • At least one of the plates 204 , 206 , 298 may be configured as a temperature regulating plate.
  • One or more passages 224 may be formed in the plates 204 , 206 , 298 and coupled to a fluid source 228 .
  • the fluid source 228 provides a heat transfer fluid that is circulated through the passages 224 to regulate (i.e., heat and/or cool) the temperature of the plates 204 , 206 , 298 and ultimately, the temperature of the substrate 116 .
  • the heating cavity 212 generally includes one or more lamp assemblies 120 disposed through the internal volume 220 that are selectively utilized to heat the substrate 116 .
  • one lamp assembly 220 is shown extending between the side walls of the body assembly 160 .
  • Each lamp assembly 120 may be coupled to the power source 122 such that each lamp assembly disposed in the internal volume 120 may be independently controlled, thereby allowing the temperature profile of the substrate 116 to be tailored as desired, for example, by uniformly heating and/or by heating one region of the substrate faster than a second region.
  • the lamp assemblies 120 are arranged and/or controlled such that the center of the substrate 116 is heated at a rate different than the perimeter of the substrate.
  • a substrate support structure 218 is disposed in the internal volumes 220 of the transfer cavities 208 , 210 .
  • the substrate support structure 218 is generally configured to support two substrates in a stacked orientation.
  • the elevation of the support structures 218 is controlled such that the proximity of substrate to the cooled plates (or lamp assembly 120 ) may be adjusted.
  • the elevation of the support structure 218 may also be controlled to facilitate substrate exchange through the ports 216 .
  • each substrate support 218 is coupled to one or more actuators 294 so that the elevation of the substrate supports 218 within each cavity may be independently controlled. It is contemplated that other substrate support structures may alternatively be used. It is also contemplated that the elevation of the support structures may be synchronized between cavities using one or more actuators.
  • the substrate support structure 218 includes a plate or plurality of bars 296 coupled to the actuators 294 .
  • the bars 296 are configured to span under of the substrate supported thereon to facilitate coupling of the bar to the actuator 294 .
  • One or more pins 226 may extend from each the bars 296 to support the substrate 116 thereon.
  • the ends of the pins 226 supporting the substrate 116 may be rounded and/or include a ball to reduce dynamic friction between the bottom surface of the substrate 116 and the pins 226 and to prevent substrate scratching.
  • a ball is disposed at a distal end of each pin 226 .
  • the reduced friction provided by the balls allows the substrate to readily expand and contract while supported on the pins 226 without scratching the substrate.
  • Other suitable substrate supports are described in U.S. Pat. No. 6,528,767, filed Mar. 5, 2003; U.S. patent application Ser. No. 09/982,406, filed Oct. 27, 2001; and U.S. Patent Application No.
  • the pins 226 are generally arranged to facilitate substrate exchange with a robotic end effector. Pins 226 are additionally coupled to the interior plate 298 forming the floor of the heating cavity 212 to support a substrate therein.
  • the substrate supports 218 may move the substrate support thereon proximate the floor (or ceiling) of the transfer cavities 208 , 210 .
  • the distance between the substrate and transfer cavity floor/ceiling may be adjusted based on the temperature of the substrate. For example, hot substrate returning from pressing may have temperatures in excess of 240 degrees Celsius. To prevent condensation and/or thermal stress from forming, the hot substrate may be maintained at a large distance from the transfer cavity floor/ceiling. Once the hot substrate has been sufficiently cooled, for example to about 140 degrees Celsius, the cooler substrate may be moved closer to the transfer cavity floor/ceiling to increase the heat transfer efficiency, thereby allowing cooler substrate temperatures to be obtained at a faster rate, which also enhances substrate throughout.
  • the substrate supports 218 may be configured to interfit with the floor and/or ceiling of the transfer cavity. This allows the distance between the substrate and chamber body assembly 160 to be minimized, and in some embodiments, to place the substrate in contact with the chamber body assembly 160 to take full advantage of thermal exchange with the heat transfer fluid running through the passages 224 .
  • FIG. 12 depicts a sectional view of one embodiment of the interior plate 298 configured to interfit with the substrate support 218 .
  • the plate 298 includes slots 1202 (one is shown in FIG. 12 ) that are configured to allow the bar 296 of the substrate support 218 to be moved therein.
  • the depth of the slot 1202 may be selected to allow the substrate to be lifted from the pins 226 by the plate 298 as the bar 296 moves to the bottom of the slot 1202 .
  • the second transfer cavity 210 is similarly configured with slots 1202 formed in the lower portion of the bounding internal plate 298 .
  • FIG. 11C is a partial isometric view of another embodiment of an interior of the load lock chamber.
  • a first actuator 1104 controls the elevation of the lower substrate support 1144
  • a second actuator 1102 controls the elevation of the upper substrate support 1142 , thereby allowing independent control of the elevation of separate substrates in a single cavity.
  • the first actuator 1104 passes through a feature 1140 formed in the upper substrate support 1142 , thereby enabling the actuators 1102 , 1106 to be aligned.
  • the substrate supports 1142 , 1144 may be configured to have the same projected surface area (e.g., footprint) within the interior volume of the load lock chamber, thereby enabling the walls of the load lock chamber body to be disposed closer to the substrate supports 1142 , 1144 , which reduces the interior volume of the load lock chamber beneficially resulting in lower pumping and venting times.
  • the feature 1140 may be a hole formed through the upper substrate support 1142 .
  • the feature 1140 may alternatively be a notch, a groove, a slot, cut-out or other geometric disparity between the upper and lower substrate supports 1142 , 1144 which enable the actuator 1140 controlling the elevation of the lower substrate support 1144 to be coupled to the lower support plate 1144 without obstruction by upper substrate support 1142 . It is also contemplated that pairs of the actuators 1102 , 1104 may be concentrically aligned, with the actuation rod 1164 of the lower actuator telescoping through the rod 1162 of the upper actuator 1102 and the feature 1140 of the upper substrate support 1142 , as shown in FIG. 11C .
  • a pressure control system 250 is coupled to the load lock chamber 104 to control the pressure within the internal volumes 220 of the body assembly 160 .
  • the pressure control system 250 generally includes a gas source 252 and an exhaust system 254 .
  • the gas source 252 is coupled to at least one inlet port 260 formed through the chamber body assembly 160 .
  • the gas source 252 provides a vent gas utilized to raise and/or regulate pressure within the internal volume 220 of the chamber body assembly 160 .
  • the gas source 252 may flow vent gas into the internal volumes 220 of the transfer cavities 208 , 210 to facilitate transfer of the substrate 116 from a vacuum environment to an ambient environment.
  • the vent gas comprises at least one of nitrogen, helium, air or other suitable gas.
  • the heating cavity 212 may not include an inlet port as, in one embodiment, the cavity 212 may be constantly maintained at operational vacuum pressure.
  • An inlet control valve 256 is disposed between the gas source 252 and the inlet port 260 to selectively control the flow of vent gases into the internal volumes 220 of the body assembly 160 .
  • the inlet control valve 256 is capable of providing a substantially leak-tight seal under vacuum conditions.
  • the gas source 252 is configured to control the attributes of the vent gas, such as the flow rate, temperature and/or humidity of the vent gas.
  • the inlet port 260 is coupled to one or more diffusers 240 by a vent passage 238 .
  • the diffusers 240 are formed in an interior side of the top plate 204 (or other plate), such that gas flowing into the internal volume 220 is directed toward the top of the substrate 116 . This arrangement beneficially assists in cooling the substrate 116 while venting the load lock chamber 104 after processing the substrate 116 .
  • the diffuser 240 is formed in a recess 232 defined in the bottom surface of the plates 204 , 298 .
  • a cap 244 covers the recess 232 to define a plenum 242 in the plates.
  • a connecting hole 236 fluidly couples the plenum 242 to the vent passage 238 .
  • a plurality of apertures 276 are formed through the cap 244 to allow vent gases to flow from the gas source 252 through plenum 242 and into the interior volume 220 , as illustrated by arrows 234 .
  • the diffusers 240 are primarily intended to direct venting gases into the load lock chamber 104 , it is contemplated that the diffusers 240 may also be utilized to evacuate the internal volume 220 of the chamber 104 .
  • the exhaust system 254 is generally coupled to at least one exhaust port 262 formed through the chamber body assembly 160 .
  • the exhaust system 254 is configured to remove gases from the internal volume 220 of the load lock chamber 104 .
  • the exhaust system 254 may include one or more vacuum pumps (not shown) and may be ultimately coupled to the facilities exhaust system (also not shown). For example, the exhaust system 254 may pump out gas from the internal volume 220 to facilitate transfer of the substrate 116 from an ambient environment to a vacuum environment.
  • An exhaust control valve 258 is disposed between the exhaust system 254 and the exhaust port 262 to selectively control the flow of gases exiting the internal volume 220 of the body assembly 160 .
  • the exhaust control valve 258 is typically similar to the inlet control valve 256 and is capable of providing a substantially leak-tight seal under vacuum conditions.
  • a controller 280 is coupled to the load lock chamber 104 to control the operation thereof.
  • the controller 280 includes a central processing unit (CPU) 282 , support circuits 286 and memory 284 .
  • the CPU 282 may be one of any form of computer processor that can be used in an industrial setting for controlling various chambers and subprocessors.
  • the support circuits 286 are coupled to the CPU 282 for supporting the processor in a conventional manner. These circuits include cache, power supplies, clock circuits, input/output circuitry, subsystems, and the like.
  • the memory 284 is coupled to the CPU 112 .
  • the memory 284 or computer-readable medium, may be one or more of readily available memory such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote.
  • FIG. 13 is a partial sectional view of the load lock chamber 104 illustrating one embodiment of the lamp assembly 120 .
  • the lamp assembly 120 generally includes a tube 1302 housing a lamp 104 .
  • the lamp 104 may be a carbon IR lamp or other lamp suitable for radiantly heating the substrate disposed in the internal volume 220 .
  • the upper surface of the lamp 1304 and/or tube 1302 may be coated with a reflective material so that the energy generated by the lamp 1304 is directed downward to the substrate to increase heating efficiency.
  • the coating comprises gold.
  • the tube 1302 is generally fabricated from a transmissive material suitable to allow radiant heat generated by the lamp 1304 to effectively heat the substrate 116 disposed in the internal volume 220 of the body assembly 160 .
  • the tube 1302 is fabricated from quartz.
  • the diameter and thickness of the tube 1302 is generally selected to prevent collapse due to the pressure differential experiences when the tube 1302 is surrounded by vacuum conditions.
  • the tube 1302 generally includes an open end 1306 and a closed end 1308 .
  • the tube 1302 is disposed through an aperture 1312 formed through a sidewall 1314 of the body 248 .
  • the tube 1302 includes a flared flange 1310 defined at the open end 1306 .
  • the closed end 1308 generally extends into the interior volume 202 defined in the body assembly 160 .
  • the tube 1302 is coupled to the body assembly 160 by a mounting assembly 1316 .
  • the mounting assembly 1318 generally includes a mounting block 1318 , a retainer 1320 and a cap 1322 .
  • the mounting assembly 1316 generally includes an aperture 1332 through which the closed end 1308 of the tube 1302 extends.
  • the retainer 1320 is generally annular in form and is coupled to the mounting block 1318 by a plurality of fasteners 1324 .
  • the inside diameter of the retainer 1320 is generally less than an outside diameter of the flange 1310 of the tube 1302 . This prevents the open end 1306 of the tube 1302 from passing through the aperture 1332 and into the interior volume 220 of the body assembly 160 .
  • the cap 1322 is coupled to the mounting block 1318 by a plurality of fasteners 1326 .
  • the cap 1322 captures the flange 1310 of the tube 206 against the retainer 1320 .
  • a first seal 1328 is disposed between the cap 1322 and the mounting block 1318 .
  • a second seal 1330 is disposed between the cap 1322 and the flange 1310 of the tube 1302 .
  • a third seal 1340 is disposed between the mounting block 1318 and the body 248 .
  • the seals 1328 , 1330 , 1340 are compressed to provide a vacuum seal, thereby isolating the interior volume 220 from the exterior of the body assembly 160 .
  • the second seal 1330 disposed between the tube 1302 and the mounting assembly 1316 fluidly isolates the interior of the tube 1302 from the interior volume of the body assembly 160 .
  • the lamp assembly 120 is supported primarily from the walls of the body assembly 160 that have less area than the top or bottom, movement of the lamp assembly 120 due to pressure changes and/or body deflection is minimized. Moreover, spacing between the substrate and lamp assembly 120 is maintained at a substantially uniform distances
  • the open end 206 of the tube 1302 is exposed to the atmosphere outside of the chamber body 202 , thereby allowing the lamp 204 to be inserted and/or replaced from the tube 1302 without exposing the interior volume 220 of the load lock chamber to the ambient environment.
  • the lamp 1304 includes leads 1334 which may be coupled by a connector 1336 and wires 1342 outside of the interior volume 220 (e.g., at ambient pressures), thereby diminishing the probability of arcing and associated particle generations.
  • any particles associated with the lamp or the lamps electrical connections are fluidly isolated from the substrate, thereby eliminating the chance of particle contamination from these sources.
  • the wires 1342 may be routed through a chase 1338 or other wire conduit disposed outside the chamber body 202 .
  • the chase 1338 allows multiple lamp assemblies 120 extending into the interior volume 220 to be serviced from a single location.
  • FIGS. 14-15 are partial sectional view of the closed end 1308 of the lamp assembly 120 supported within the chamber body 202 .
  • multiple pairs of lamp assemblies 120 are arranged in rows.
  • Each lamp assembly 120 defining a pair extends through apertures ( 612 ) formed through facing sidewalls 1314 of the load lock chamber 104 .
  • Each pair of lamp assemblies 120 have their closed ends 1308 supported by a guide 1400 .
  • the guides 1400 may be in the form of a singular bar 1500 .
  • the opposing lamp assembly configuration that spans the interior volume of the chamber body shorter lamps 1304 to be used in the tube 1302 .
  • the shorter lamps 1304 (i.e., less than an interior chamber width) requires less clearance during lamp replacement.
  • the short lamp feature is advantageous due to the close proximity of the processing chambers 108 adjacent the sidewalls of the load lock chamber 104 , as shown in FIG. 1 .
  • the closed ends 1308 of the tubes 1302 are supported by a guide 1400 .
  • the guide 1400 includes a hole 1402 which receives the closed ends 1308 of the tube 1302 . It is contemplated that dedicated guides may be used for each tube 1302 .
  • the hole 1402 has sufficient clearance with the tube 1302 to ensure the lamp assembly 120 is supported in a cantilevered orientation from the sidewalls, thereby enhancing uniform lamp-to-substrate spacing uniformity.
  • the guide 1400 is generally coupled to a ceiling 1404 of the internal volume 220 .
  • the guide 1400 holds the lamp assembly 120 in a space apart relation with the ceiling 1404 .
  • the ceiling 1404 is the underside of the interior plate 298 .
  • the guide may be coupled to the bottom of the top plate 204 , a bottom plate 206 , or other portion of the body assembly 160 .
  • a heated load lock chamber has been provided.
  • the end supported lamp assembly decouples the position of the lamp from deflection experienced by the top of the chamber body when under vacuum conditions, thereby minimizing changes in the distance between the lamp and substrate during venting and vacuum cycles.
  • the electrical connections of the lamps are positioned outside of the vacuum environment, arcing within the vacuum environment is eliminated.
  • the opposing tube design facilitates lamp replacement without breaking vacuum even with adjacent chambers disposed in close proximity to the load lock chamber.

Abstract

Embodiments of the invention include a load lock chamber having a decoupled slit valve door seal compartment. In one embodiment, a load lock chamber includes a main assembly, a first slit valve door seal compartment and a seal assembly. The main assembly has a substrate transfer cavity formed therein. Two substrate access ports are formed through the main assembly and fluidly couple to the cavity. The first slit valve door seal compartment has an aperture disposed adjacent to and aligned with one of the access ports. The first slit valve door seal compartment is decoupled from the main assembly. The seal assembly couples the first slit valve door seal compartment to the main assembly.

Description

CROSS REFERENCE TO RELATED APPLICATIONS
This application claims benefit of U.S. Provisional Patent Application Ser. No. 60/821,487, filed Aug. 4, 2006 by Lee et al., which is incorporated by reference in its entirety.
This application is related to U.S. patent application Ser. No. 11/782,290, filed Jul. 24, 2007 by Anwar, et al., entitled “Load Lock Chamber With Heater in Tube”, which is incorporated by reference in its entirety.
BACKGROUND OF THE INVENTION
1. Field of the Invention
Embodiments of the invention generally relate to a load lock chamber for a vacuum processing system, and more specifically, to a load lock chamber having a decoupled slit valve door seal compartment.
2. Description of the Related Art
Two rapidly evolving technology areas are thin film transistors and photovoltaic devices. Thin film transistors (TFT) formed by flat panel technology are commonly used for active matrix displays such as computer and television monitors, cell phone displays, personal digital assistants (PDAs), and an increasing number of other devices. Generally, flat panels comprise two glass plates having a layer of liquid crystal materials sandwiched therebetween. At least one of the glass plates includes one conductive film disposed thereon that is coupled to a power source. Power, supplied to the conductive film from the power source, changes the orientation of the crystal material, creating a pattern display.
Photovoltaic devices (PV) or solar cells are devices which convert sunlight into direct current (DC) electrical power. PV or solar cells typically have one or more p-n junctions formed on a panel. Each junction comprises two different regions within a semiconductor material where one side is denoted as the p-type region and the other as the n-type region. When the p-n junction of the PV cell is exposed to sunlight (consisting of energy from photons), the sunlight is directly converted to electricity through the PV effect. In general, a high quality silicon-based material is desired to produce high efficiency junction devices (i.e., high power output per unit area). Amorphous silicon (a-Si) film has been widely used as the silicon-based panel material in PV solar cells due to its low cost to manufacture in conventional low temperature plasma enhanced chemical vapor deposition (PECVD) processes.
With the marketplace's acceptance of flat panel technology and desire for more efficient PV devices to offset spiraling energy costs, the demand for larger panels, increased production rates and lower manufacturing costs have driven equipment manufacturers to develop new systems that accommodate larger size substrates for flat panel display and PV device fabricators. Current substrate processing equipment is generally configured to accommodate substrates slightly greater than about two square meters. Processing equipment configured to accommodate larger substrate sizes is envisioned in the immediate future.
Equipment to fabricate such large substrates represents a substantial investment to fabricators. Conventional systems require large and expensive hardware. Due to the large pressure differentials experienced during operation of the load lock chamber, the walls of the load lock chamber must be very thick in order to minimize deflection. Chamber deflection contributes to and/or causes a myriad of processing issues, some of which include non-uniform spacing between thermal regulating features and the substrate that causes heat transfer non-uniformities, movement of substrate supports within the processing chamber which creates robotic handoff issues, diminished seal life and particle generation. However, increasing the wall thickness to the extent necessary to mitigate these problems would result in a chamber body having unacceptable weight and costs, and as such, other solutions to limit and/or isolate chamber deflection would be very desirable.
Thus, there is a need for a load lock chamber for efficient transferring of large area substrates.
SUMMARY OF THE INVENTION
Embodiments of the invention include a load lock chamber having a decoupled slit valve door seal compartment. In one embodiment, a load lock chamber includes a main assembly, a first slit valve door seal compartment and a seal assembly. The main assembly has a substrate transfer cavity formed therein. Two substrate access ports are formed through the main assembly and fluidly couple to the cavity. The first slit valve door seal compartment has an aperture disposed adjacent to and aligned with one of the access ports. The first slit valve door seal compartment is decoupled from the main assembly. The seal assembly couples the first slit valve door seal compartment to the main assembly.
In another embodiment, a load lock chamber includes a main assembly, a first slit valve door seal compartment, a slit valve door and an annular resilient seal. The main assembly has a substrate transfer cavity. Two substrate access ports are formed through the main assembly and fluidly couple to the cavity. The first slit valve door seal compartment has a substrate transfer passage. The substrate transfer passage has a port adjacent that is aligned with one of the access ports of the main assembly. The first slit valve door seal compartment is decoupled from the main assembly. The slit valve door engages a sealing face of the door seal compartment to selectively seal the substrate transfer passage. The annular resilient seal forms a seal between the first slit valve door seal compartment to the main assembly.
In yet another embodiment, a load lock chamber includes a main assembly, a first slit valve door seal compartment, a slit valve door and an annular resilient seal. The main assembly has a substrate transfer cavity and two substrate access ports. The two substrate access ports are fluidly coupled to the cavity through the main assembly. The first slit valve door seal compartment has a substrate transfer passage in which the slit valve door is disposed. The slit valve door is operational to selectively engage a sealing face of the door seal compartment to selectively seal the substrate transfer passage. The annular resilient seal forms a seal between the first slit valve door seal compartment to the main assembly.
BRIEF DESCRIPTION OF THE DRAWINGS
So that the manner in which the above recited features of the invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
FIG. 1 is a plan view of an illustrative cluster tool having one embodiment of a load lock chamber of the present invention;
FIG. 2 is a sectional view of the load lock chamber taken along section line 2-2 of FIG. 1;
FIG. 3 is a partial sectional view of the load lock chamber of FIG. 1;
FIG. 4 is a simplified sectional view of the chamber body assembly illustrating the position of slit valve doors utilized to seal the factory interface from the load lock chamber;
FIG. 5 is a sectional view of one embodiment of a seal assembly;
FIG. 6 is a sectional view of one embodiment of a seal ring;
FIG. 7 is a perspective view of one embodiment of a seal ring;
FIGS. 8A-B are top and sectional views of a segment of a clamp block;
FIGS. 9A-B are top and sectional views of another segment of a clamp block;
FIG. 10 is a simplified sectional view of the chamber body assembly illustrating the position of slit valve doors utilized to seal the transfer chamber from the load lock chamber;
FIG. 11A is another partial sectional view of the load lock chamber of FIG. 1;
FIG. 11B is a partial isometric view of another embodiment of an interior of a load lock chamber;
FIG. 11C is a partial sectional view of another embodiment of an interior of a load lock chamber;
FIG. 12 is another partial sectional view of the load lock chamber of FIG. 1;
FIG. 13 is a partial sectional view of the load lock chamber of FIG. 1 illustrating one embodiment of a lamp assembly; and
FIGS. 14-15 are a partial sectional view of a closed end of the lamp assembly supported in a load lock chamber.
To facilitate understanding, identical reference numerals have been used, wherever possible, to designate identical elements that are common to the figures. It is contemplated that elements of one embodiment may be advantageously utilized in other embodiments without further recitation.
DETAILED DESCRIPTION
A load lock chamber having a decoupled slit valve door seal compartment suitable for efficient transfer of large area substrates is provided. The slit valve door seal compartment has much less surface area compared to the main chamber body assembly of the load lock chamber. Thus, forces, such as thermal expansion or deflection due to pressure or other forces, applied to the component of the chamber body assembly, are isolated from the slit valve door seal compartment, and according do not impart any significant motion or deflection to the seal compartment. Hence, the term decoupled defines the ability of chamber body assembly to move or deflect relative to the sealing surfaces without compromising the vacuum integrity of the chamber. This beneficially maintains slit valve door sealing surfaces defined on the seal compartment within operational tolerances and may contribute to reduced particle generation during operation, along with extending the service life of the slit valve door seal. Although the primary embodiment is described as a load lock chamber, it is contemplated that other vacuum chambers, e.g., such as a substrate transfer, chemical vapor deposition, physical vapor deposition, thermal processing, etch, ion implant or other vacuum chamber may be constructed using the decoupled seal compartment configuration described herein. Additionally, even though the specific embodiment of a chamber having a decoupled seal compartment is provided below with reference to a load lock chamber of a configuration available from Applied Materials, Inc., of Santa Clara, Calif., it is contemplated that the inventive features may be adapted for use in other load lock, thermal, and/or vacuum processing chambers, including those from other manufacturers.
FIG. 1 is a plan view of an illustrative cluster tool 100 having one embodiment of a load lock chamber 104 of the present invention. The cluster tool 100 includes a factory interface 102 coupled by the load lock chamber 104 to a transfer chamber 106. The factory interface 102 generally includes a plurality of substrate storage cassettes 114 and an atmospheric robot 112. The atmospheric robot 112 facilitates transfer of substrates 116 between the cassettes 114 and the load lock chamber 104. A plurality of substrate processing chambers 108 are coupled to the transfer chamber 106. A vacuum robot 110 is disposed in the transfer chamber 106 to facilitate transfer of a substrate 116 between the load lock chamber 104 and the processing chambers 108.
The load lock chamber 104 generally includes at least one environmentally-isolatable cavity having one or more substrate storage slots defined therein. In some embodiments, a plurality of environmentally-isolatable cavities may be provided, each having one or more substrate storage slots defined therein. The load lock chamber 104 is operated to transfer substrates 116 between an ambient or atmospheric environment of the factory interface 102 and the vacuum environment maintained in the transfer chamber 106.
A plurality of lamp assemblies 120, shown in phantom in FIG. 1, extend across the load lock chamber 104 generally perpendicular to the direction of travel of a substrate passing between the factory interface 102 and the transfer chamber 106 through the load lock chamber 102. The lamp assemblies 120 are coupled to a power source 122 so that substrates disposed in the load lock chamber 120 may be selectively heated. Although five lamp assemblies 120 are shown in the embodiment depicted in FIG. 1, it is contemplated that the load lock chamber 102 may be configured to contain more or less lamp assemblies 120, selected commiserate with heating requirements and geometry constraints. It is contemplated that other types of heaters may be utilized in place of, or in addition to the lamp assemblies 120.
The load lock chamber 104 generally includes a body assembly 160 having a main assembly 140 and at least one decoupled slit valve door seal compartment. The slit valve door seal compartment includes a surface to which the slit valve door seals against to environmentally isolate the interior of the load lock chamber 104. In the embodiment depicted in FIG. 1, a first slit valve door seal compartment 142 is disposed between the main assembly 140 and the factory interface 102, while a second slit valve door seal compartment 144 is disposed between the main assembly 140 and the transfer chamber 106.
FIG. 2 further details the load lock chamber 104 of FIG. 1. Although the load lock chamber 104 is shown having a plurality of substrate transfer cavities, one of which having a lamp assembly 120 disposed therein, it is contemplated that the lamp assembly 120 may be utilized in any load lock chamber having at least one cavity utilized for substrate transfer, including load lock chambers having capacity greater than a single substrate per cavity.
The body assembly 160 is generally fabricated from a rigid material such as stainless steel, aluminum or other suitable material. The body assembly 160 may be fabricated from an assembly of components into a leak-free structure. The main assembly 140 may be a singular or assembly of subcomponents. One suitable body assembly that may be adapted to benefit from the invention is described in U.S. patent application Ser. No. 11/332,781, filed Jan. 13, 2006. Other load lock chambers that may be adapted to benefit from the invention include U.S. patent application Ser. No. 10/832,795, filed Apr. 26, 2004, U.S. patent application Ser. No. 09/663,862, filed Sep. 15, 2000, U.S. patent application Ser. No. 10/842,079, filed May 10, 2004, and U.S. patent application Ser. No. 11/421,793, filed Jun. 2, 2006, among others. All of said U.S. Patent Applications are incorporated herein by reference in their entireties.
In one embodiment, the main assembly 140 includes a top plate 204 and a bottom plate 206 that sandwich a plurality of ring-shaped bodies 248. Interior plates 298 are disposed between the bodies 248. The plates 204, 206, 298 enclose internal volumes 220 defined inside each of the bodies 248. In the embodiment depicted in FIG. 2, the upper and lower internal volumes 220 are configured as substrate transfer cavities 208, 210, while the internal volume 220 bounded by the middle body 248 is configured as a heating cavity 212.
In the embodiment depicted in FIG. 2, the lamp assemblies 120 are shown disposed in the heating cavity 212. However, the lamp assemblies may be alternatively disposed in one of the other transfer cavities 208, 210 or in any combination of the cavities 208, 210, 212.
The top and bottom plates 204, 206 are sealingly coupled to the bodies 248 by a plurality of fasteners in a manner that permits relative movement between at least one of the top and bottom plates 204, 206 and the body 248. For example, at least one of the top and bottom plates 204, 206 are coupled to the body 248 without welding. In embodiments wherein force applied to the sidewalls from the plates 204, 206 is not of great concern, the top and bottom plates 204, 206 and the body 248 may be coupled by welding.
Referring additionally to a partial sectional view of the body assembly 160 depicted in FIG. 3, at least one spacer 316 is provided between a lower surface 302 of the top plate 204 and an upper surface 304 of the body 248. The spacer 316 separates the top plate 204 and chamber body 248, such that a gap 306 is defined therebetween. In one embodiment, the spacer 316 is a member having a plan area much smaller than the plan area of the upper surface 304 of the chamber body 248. For example, a plurality of spacers 316 may be disposed on upper surface 304 along one side of the chamber body 248.
The thickness of the spacer 316 is selected such that a gasket or o-ring 386 is adequately compressed to maintain a vacuum seal between the plates and body, while preventing the top plate 204 from contacting the chamber body 248 under vacuum or other stress conditions. Similarly, one or more spacers 316 are provided between the bottom plate 206 and the chamber body 248 to maintain a gap 306 therebetween.
In the embodiment depicted in FIG. 3, a first spacer 312 and a second spacer 314 are shown disposed between the top plate 204 and the chamber body 248. The spacers 312, 314 are fabricated from a material having a lower coefficient of friction between itself (i.e., spacer 312 to spacer 314) than a coefficient of friction between the spacer and the chamber body 248 and/or top plate 204. Thus, as the chamber body 248 and the top plate 204 move relative to each other due to vacuum, thermal or other forces, the top plate 204 and first spacer 312 are free to translate laterally across the second spacer 314 (and body 248) while preventing the top plate 204 and body 248 from touching.
In one embodiment, the spacers 312, 314 are disks. The disks may be washers disposed around the bolts 282 utilized to secure the body assembly 160 for ease of assembly. As the sliding components (e.g., the spacers 312, 314) have reduced contact area relative to the upper surface 304 of the body 248, the force necessary to begin motion is reduced. Additionally, as the contacting surface of the spacers 312, 314 are outward of the gasket 286, any particles generated during the sliding of the spacers 312, 314 are beneficially prevented from entering the internal volume 220 of the load lock chamber 104. It is contemplated that the spacers 316 may be in the form of a rib or other feature, extending between the plates and body to maintain a gap therebetween. It is also contemplated that the spacer may be incorporated into either the plates or body. It is contemplated that the spacers 316 may be in the form of a rib or other feature extending between the plates and body to maintain a gap therebetween. It is also contemplated that the spacer may be incorporated into either the plate or body (i.e., have unitary construction).
In the embodiment depicted in FIG. 3, a recess 308 is formed in the upper surface 304 of the body 248 to locate the second spacer 314. Optionally, a recess (not shown) may be formed in the top plate 204 to locate the first spacer 312. The recess (not shown) 308 has a depth selected, such that the spacer 314 extends beyond the upper surface 304 to ensure the first spacer 312 is free to slide laterally relative to the body 248.
Optionally, to further minimize the effect of forces exerted on the top plate 204 (and other horizontal plates) of the load lock chamber 104, at least one slot (not shown) may be formed therein. The slots allow the central region of the top plate 204 to move, deflect and/or expand while minimizing the effect of motion on the edges of the top plate. A seal assembly disposed in the slot to prevent leakage into interior volume of the load lock chamber 104. A load lock chamber having a slot for mitigating deflection is described in U.S. patent application Ser. No. 11/332,781, filed Jan. 13, 2006, and is incorporated by reference in its entirety.
Returning back to FIG. 2, two substrate access ports 216 are formed through opposing sidewalls of the bodies 248 to allow entry and egress of substrates from the internal volume 220 of the substrate transfer cavities 208, 210. Only one of the ports 216 are shown in FIG. 2. The heating cavity 212 includes at least one substrate access port 216 defined on a side of the body 248 that is coupled to the transfer chamber 106 so that the interior volume 220 of the transfer cavity 212 may be accessed by the vacuum robot 110 (shown in FIG. 1). The heating cavity 212 may optionally have a second substrate access port (not shown in FIG. 2) to allow substrate transfer between the heating cavity 212 and the factory interface 102. The second substrate access port may be selectively sealed by a slit valve door, or alternatively sealed by a blank plate since the substrate access port, in this configuration of the load lock assembly, is primarily used for cavity maintenance.
FIG. 4 is a simplified sectional view of the chamber body assembly 106 illustrating the position of slit valve doors 400 utilized to seal the substrate access ports 216 on the factory interface side of the load lock chamber 104. The positioning of the slit valve doors 400 on the transfer chamber side of the load lock chamber 104 will be described further below with reference to FIG. 10. The slit valve doors 400 are housed in the slit valve seal compartments 142, 144. The slit valve doors 400 are utilized to provide a pressure barrier and selectively isolate the cavities of the body main assembly 140. In a closed position, the slit valve doors 400 prevent gas and substrate movement through the ports 216. In an open position, the slit valve doors 400 prevent flow through the substrate access ports, as is well known in the art. One slit valve door that may be adapted to benefit from the invention is described in U.S. patent application Ser. No. 10/867,100, entitled CURVED SLIT VALVE DOOR, filed Jun. 14, 2004 by Tanase, et al., and is incorporated by reference in its entirety.
In the embodiment depicted in FIG. 4, the seal compartment 142 generally includes a transfer passage 402 through which the substrate is transferred between the load lock chamber 104 and the factory interface 102. The transfer passage 402 has a substrate transfer port 406 and a door cavity 404. The substrate transfer port 406 exits the seal compartment 142 on a first side 420 of the seal compartment 142 and is generally aligned with the substrate access port 216 of the main assembly 140. The door cavity 404 exits the seal compartment 142 on a second side 420 of the seal compartment 142, where the cavity 404 is generally open to the factory interface 102. The door cavity 404 is generally sized to allow the door 400 to be rotated therein between an open position that allows clearance of the substrate (and robot) to be conveyed therethrough, and a closed position (as shown) that effectively seals the transfer passage 402.
A seal face 408 is defined in the transfer passage 402 between the door cavity 404 and the transfer port 406. The seal face 408 is shown in a vertical orientation in FIG. 4, but may be inclined from vertical to reduce the travel of the actuator (not shown) which operates the door 400.
A seal assembly 410 is deposed between the seal compartment 142 and the main assembly 140. The seal assembly 410 provides a vacuum tight seal between the seal compartment 142 and the main assembly 140, and circumscribes the port 216, 406.
FIG. 5 is a sectional view of one embodiment of the seal assembly 410. The seal assembly 410 generally includes a seal ring 500 and a plurality of clamp blocks 502 that fits into a recess 504 defined at the edges of the main assembly 140 and the seal compartment 142. The seal ring 500 may be fabricated from a polymer, such as VITON, or other gasket material suitable for use under vacuum conditions. Alternatively, the seal ring 500 may be a metallized bellows.
The clamp blocks 502 are arranged in two spaced apart annular rings, each clamp block ring for sealing an opposite edge of the seal ring 500. The clamp blocks 502 are generally fabricated from a stiff material, such as a metal, ceramic or polymer. The material of the clamp blocks 502 is generally selected to be sufficiently stiff enough to compress the ring 500 as discussed below.
Fasteners 514 generally pass through holes 516, 518 formed through the clamp blocks 502 and seal ring 500 and engage threaded holes 520 respectively formed in the seal compartment 142 and the main assembly 140. As the fasteners 514 are tightened, each clamp block 502 respectively compresses opposite edges of the seal ring 500 against the seal compartment 142 and the main assembly 140, forming a seal therebetween. Since the seal compartment 142 and the main assembly 140 remain separated, i.e., a gap is defined therebetween, motion and/or deflection of the main assembly 140 is not transferred to the seal compartment 142 because of the flexibility of the seal ring 500. Thus, as the seal assembly 410 accommodates substantially all of the motion and/or deflection of the main assembly 140 without any other rigid fastener or other rigid element fixing the main assembly 140 to the seal compartment 142, the motion and/or deflection of the main assembly 140 is decoupled from the seal compartment 142, thereby maintaining the orientation of the door assembly 400 and seal face 408 for a robust and reliable seal, and substantially eliminating sliding seal face-to-door motion for long seal life and reduced particle generation.
Referring additionally to FIGS. 6-7, the seal ring 500 is generally an annular ring, shaped to fit against the walls defining the ports 216, 406. In the embodiment depicted in FIG. 7, the seal ring 500 has a generally rectangular form, although other geometric shapes suitable for circumscribing the ports may be utilized. The seal ring 500 has an inner first side 702 and an outer second side 704 which define a flat ribbon having a tubular form. The seal ring 500 includes a wave 510 that projects from the second side 704. The recess 504, which is defined in a portion of the main assembly 140 and plate 142, includes a bottom 506 against which the ring 500 is sealingly clamped. A trough 508 is defined in the bottom 506 of the recess 504 to accommodate the wave 510. An interface 512 between the sidewalls of the trough 508 and the bottom 506 may be rounded to prevent damage to the ring 500. Likewise, the inner bottom corner of the clamp blocks 502 are also rounded to prevent the seal ring 500 form being pulled against a sharp corner when exposed to vacuum. As the first side 420 of the ring 500 is brought under vacuum, the wave 510 may flatten against the clamp block 502 to support the seal. Since the holes 518 in the seal ring 500 are exposed to the vacuum environment, ridges 706 are provided on the first and second sides 420, 422 of the ring 500 inward of the holes 518 to concentrate contact pressure between the ring 500 and the respective surfaces of the clamp blocks 502 and bottom 506 of the recess 504 to enhance maintenance of vacuum integrity.
The clamp blocks 502 may be fabricated from metal or other suitable material. The clamp blocks 502 may be annular in form and slightly flexible to allow clamping of the ring 510. In other embodiments, the clamp blocks 502 may be fabricated from a plurality of clamp segments for ease of assembly.
For example, in the embodiment depicted in FIGS. 8A-B and 9A-B, the pair of clamp blocks may comprise clamp segments. The clamp segments may be arranged in pairs as shown, or staggered. The clamp segments may be fastened adjacent each other to substantially cover the interior first side 702 of the ring 500. The clamp segments include segments of any size and shape that may be arranged to substantially cover the inner first side 702 of the ring. In the embodiment depicted in FIGS. 8A-B and 9A-B, the clamp block 502 includes a plurality of corner segments 500(A) and a plurality of straight segments 500(B). As shown in the sectional view of FIG. 8A and the top view of FIG. 8B, the corner segments 500(A) have a bend 802 that substantially matches the corner of the recess 504 as the recess 504 curves or turns to follow the circumference of the ports 216, 406. A shown in the sectional view of FIG. 9A and the top view of FIG. 9B, the straight segments 500(B) are sized to cover the ring 500 along the straight or linear portions of the recess 504, either as a single straight segment 500(B), or as a plurality of abutted straight segments 500(B). It is contemplated that the clamp block 502 may have other configurations.
FIG. 10 is a simplified sectional view of the chamber body assembly 106 illustrating the position of slit valve doors 400 housed in the seal compartment 144 and utilized to seal the substrate access ports 216 on the transfer chamber side of the load lock chamber 104. The seal compartment 144 generally includes a transfer passage 1002 through which the substrate is transferred between the load lock chamber 104 and the transfer chamber 106. The transfer passage 1002 has a substrate transfer port 1006, a substrate transfer aperture 1010 and a door cavity 1004. The substrate aperture 1010 exits the seal compartment 144 on a first side 1020 of the seal compartment 144 and is generally aligned with the substrate access port 216 of the main assembly 140. The substrate transfer port 1006 exits the seal compartment 144 on a second side 1022 of the seal compartment 144 and is generally open to the transfer chamber 106. The door cavity 1004 is defined between the port 1006 and aperture 1010. The door cavity 1004 is generally sized to allow the door 1000 to be rotated therein between an open position that allows clearance of the substrate (and robot) to be conveyed therethrough, and a closed position (as shown) that effectively seals the transfer passage 1002.
A seal face 1008 is defined in the transfer passage 1002 between the door cavity 1004 and the transfer port 1006. The seal face 1008 is shown in a vertical orientation in FIG. 10, but may be inclined from vertical to reduce the travel of the actuator (not shown) which operates the door 1000. A lip 1012 may extend circumferentially from the seal face 1008 to increase the unit loading on the door 400.
A seal assembly 410 is disposed between the seal compartment 144 and the main assembly 140. The seal assembly 410 provides a vacuum tight seal between the seal compartment 144 and the main assembly 140, and circumscribes the port 216, 1006, and is configured as described with reference to FIGS. 5-9 above. The seal assembly 410 has a flexible member coupling the seal compartment 144 to the main assembly 140. Therefore, as no rigid members, such as metal fastening means, fasteners, welds, and the like, directly couple the seal compartment 144 to the main assembly 140, motion and/or forces acting on the main assembly 140 are isolated from the seal compartment 144. This improves seal longevity and reliability, along with reducing particulate generation due to seal rubbing/wear.
Returning back to FIG. 2, at least one of the plates 204, 206, 298 may be configured as a temperature regulating plate. One or more passages 224 may be formed in the plates 204, 206, 298 and coupled to a fluid source 228. The fluid source 228 provides a heat transfer fluid that is circulated through the passages 224 to regulate (i.e., heat and/or cool) the temperature of the plates 204, 206, 298 and ultimately, the temperature of the substrate 116. By cooling the plates 204, 206, 298, hot substrates returning from processing may be effectively cooled without utilizing a separate conventional cooling plate disposed within the cavities 208, 210.
The heating cavity 212 generally includes one or more lamp assemblies 120 disposed through the internal volume 220 that are selectively utilized to heat the substrate 116. In the sectional view depicted in FIG. 2, one lamp assembly 220 is shown extending between the side walls of the body assembly 160. Each lamp assembly 120 may be coupled to the power source 122 such that each lamp assembly disposed in the internal volume 120 may be independently controlled, thereby allowing the temperature profile of the substrate 116 to be tailored as desired, for example, by uniformly heating and/or by heating one region of the substrate faster than a second region. In one embodiment, the lamp assemblies 120 are arranged and/or controlled such that the center of the substrate 116 is heated at a rate different than the perimeter of the substrate.
Referring to FIGS. 2 and 11A-B, a substrate support structure 218 is disposed in the internal volumes 220 of the transfer cavities 208, 210. The substrate support structure 218 is generally configured to support two substrates in a stacked orientation. The elevation of the support structures 218 is controlled such that the proximity of substrate to the cooled plates (or lamp assembly 120) may be adjusted. The elevation of the support structure 218 may also be controlled to facilitate substrate exchange through the ports 216. In one embodiment, each substrate support 218 is coupled to one or more actuators 294 so that the elevation of the substrate supports 218 within each cavity may be independently controlled. It is contemplated that other substrate support structures may alternatively be used. It is also contemplated that the elevation of the support structures may be synchronized between cavities using one or more actuators.
In one embodiment, the substrate support structure 218 includes a plate or plurality of bars 296 coupled to the actuators 294. The bars 296 are configured to span under of the substrate supported thereon to facilitate coupling of the bar to the actuator 294.
One or more pins 226 may extend from each the bars 296 to support the substrate 116 thereon. The ends of the pins 226 supporting the substrate 116 may be rounded and/or include a ball to reduce dynamic friction between the bottom surface of the substrate 116 and the pins 226 and to prevent substrate scratching. In the embodiment depicted in FIG. 2, a ball is disposed at a distal end of each pin 226. The reduced friction provided by the balls allows the substrate to readily expand and contract while supported on the pins 226 without scratching the substrate. Other suitable substrate supports are described in U.S. Pat. No. 6,528,767, filed Mar. 5, 2003; U.S. patent application Ser. No. 09/982,406, filed Oct. 27, 2001; and U.S. Patent Application No. 60/376,857, filed Feb. 27, 2003, all of which are incorporated by reference in their entireties. The pins 226 are generally arranged to facilitate substrate exchange with a robotic end effector. Pins 226 are additionally coupled to the interior plate 298 forming the floor of the heating cavity 212 to support a substrate therein.
To enhance heat transfer between the substrate and the chamber body 248, the substrate supports 218 may move the substrate support thereon proximate the floor (or ceiling) of the transfer cavities 208, 210. The distance between the substrate and transfer cavity floor/ceiling may be adjusted based on the temperature of the substrate. For example, hot substrate returning from pressing may have temperatures in excess of 240 degrees Celsius. To prevent condensation and/or thermal stress from forming, the hot substrate may be maintained at a large distance from the transfer cavity floor/ceiling. Once the hot substrate has been sufficiently cooled, for example to about 140 degrees Celsius, the cooler substrate may be moved closer to the transfer cavity floor/ceiling to increase the heat transfer efficiency, thereby allowing cooler substrate temperatures to be obtained at a faster rate, which also enhances substrate throughout.
To further enhance heat transfer between the substrate and the floor/ceiling of the transfer cavities 208, 210, the substrate supports 218 may be configured to interfit with the floor and/or ceiling of the transfer cavity. This allows the distance between the substrate and chamber body assembly 160 to be minimized, and in some embodiments, to place the substrate in contact with the chamber body assembly 160 to take full advantage of thermal exchange with the heat transfer fluid running through the passages 224.
FIG. 12 depicts a sectional view of one embodiment of the interior plate 298 configured to interfit with the substrate support 218. The plate 298 includes slots 1202 (one is shown in FIG. 12) that are configured to allow the bar 296 of the substrate support 218 to be moved therein. In one embodiment, the depth of the slot 1202 may be selected to allow the substrate to be lifted from the pins 226 by the plate 298 as the bar 296 moves to the bottom of the slot 1202. Alternatively, the slot 1202, or motion of the bar 296, may be configured to maintain the substrate 116, supported on the pins 226, in close proximately to the plate such that the substrate is efficiently cooled by the fluid circulating through the passages 224. The second transfer cavity 210 is similarly configured with slots 1202 formed in the lower portion of the bounding internal plate 298.
FIG. 11C is a partial isometric view of another embodiment of an interior of the load lock chamber. In the embodiment depicted in FIG. 11C, a first actuator 1104 controls the elevation of the lower substrate support 1144, and a second actuator 1102 controls the elevation of the upper substrate support 1142, thereby allowing independent control of the elevation of separate substrates in a single cavity. The first actuator 1104 passes through a feature 1140 formed in the upper substrate support 1142, thereby enabling the actuators 1102, 1106 to be aligned. Thus, the substrate supports 1142, 1144 may be configured to have the same projected surface area (e.g., footprint) within the interior volume of the load lock chamber, thereby enabling the walls of the load lock chamber body to be disposed closer to the substrate supports 1142, 1144, which reduces the interior volume of the load lock chamber beneficially resulting in lower pumping and venting times. In the embodiment, the feature 1140 may be a hole formed through the upper substrate support 1142. It is contemplated that the feature 1140 may alternatively be a notch, a groove, a slot, cut-out or other geometric disparity between the upper and lower substrate supports 1142, 1144 which enable the actuator 1140 controlling the elevation of the lower substrate support 1144 to be coupled to the lower support plate 1144 without obstruction by upper substrate support 1142. It is also contemplated that pairs of the actuators 1102, 1104 may be concentrically aligned, with the actuation rod 1164 of the lower actuator telescoping through the rod 1162 of the upper actuator 1102 and the feature 1140 of the upper substrate support 1142, as shown in FIG. 11C.
Returning again to FIG. 2, a pressure control system 250 is coupled to the load lock chamber 104 to control the pressure within the internal volumes 220 of the body assembly 160. The pressure control system 250 generally includes a gas source 252 and an exhaust system 254. The gas source 252 is coupled to at least one inlet port 260 formed through the chamber body assembly 160. The gas source 252 provides a vent gas utilized to raise and/or regulate pressure within the internal volume 220 of the chamber body assembly 160. For example, the gas source 252 may flow vent gas into the internal volumes 220 of the transfer cavities 208, 210 to facilitate transfer of the substrate 116 from a vacuum environment to an ambient environment. In one embodiment, the vent gas comprises at least one of nitrogen, helium, air or other suitable gas. Optionally, the heating cavity 212 may not include an inlet port as, in one embodiment, the cavity 212 may be constantly maintained at operational vacuum pressure.
An inlet control valve 256 is disposed between the gas source 252 and the inlet port 260 to selectively control the flow of vent gases into the internal volumes 220 of the body assembly 160. The inlet control valve 256 is capable of providing a substantially leak-tight seal under vacuum conditions. In one embodiment, the gas source 252 is configured to control the attributes of the vent gas, such as the flow rate, temperature and/or humidity of the vent gas.
In the embodiment depicted in FIG. 2, the inlet port 260 is coupled to one or more diffusers 240 by a vent passage 238. The diffusers 240 are formed in an interior side of the top plate 204 (or other plate), such that gas flowing into the internal volume 220 is directed toward the top of the substrate 116. This arrangement beneficially assists in cooling the substrate 116 while venting the load lock chamber 104 after processing the substrate 116.
In one embodiment, the diffuser 240 is formed in a recess 232 defined in the bottom surface of the plates 204, 298. A cap 244 covers the recess 232 to define a plenum 242 in the plates. A connecting hole 236 fluidly couples the plenum 242 to the vent passage 238. A plurality of apertures 276 are formed through the cap 244 to allow vent gases to flow from the gas source 252 through plenum 242 and into the interior volume 220, as illustrated by arrows 234. Although the diffusers 240 are primarily intended to direct venting gases into the load lock chamber 104, it is contemplated that the diffusers 240 may also be utilized to evacuate the internal volume 220 of the chamber 104.
The exhaust system 254 is generally coupled to at least one exhaust port 262 formed through the chamber body assembly 160. The exhaust system 254 is configured to remove gases from the internal volume 220 of the load lock chamber 104. The exhaust system 254 may include one or more vacuum pumps (not shown) and may be ultimately coupled to the facilities exhaust system (also not shown). For example, the exhaust system 254 may pump out gas from the internal volume 220 to facilitate transfer of the substrate 116 from an ambient environment to a vacuum environment.
An exhaust control valve 258 is disposed between the exhaust system 254 and the exhaust port 262 to selectively control the flow of gases exiting the internal volume 220 of the body assembly 160. The exhaust control valve 258 is typically similar to the inlet control valve 256 and is capable of providing a substantially leak-tight seal under vacuum conditions.
A controller 280 is coupled to the load lock chamber 104 to control the operation thereof. The controller 280 includes a central processing unit (CPU) 282, support circuits 286 and memory 284. The CPU 282 may be one of any form of computer processor that can be used in an industrial setting for controlling various chambers and subprocessors. The support circuits 286 are coupled to the CPU 282 for supporting the processor in a conventional manner. These circuits include cache, power supplies, clock circuits, input/output circuitry, subsystems, and the like. The memory 284 is coupled to the CPU 112. The memory 284, or computer-readable medium, may be one or more of readily available memory such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, or any other form of digital storage, local or remote.
FIG. 13 is a partial sectional view of the load lock chamber 104 illustrating one embodiment of the lamp assembly 120. The lamp assembly 120 generally includes a tube 1302 housing a lamp 104. The lamp 104 may be a carbon IR lamp or other lamp suitable for radiantly heating the substrate disposed in the internal volume 220.
The upper surface of the lamp 1304 and/or tube 1302 may be coated with a reflective material so that the energy generated by the lamp 1304 is directed downward to the substrate to increase heating efficiency. In one embodiment, the coating comprises gold.
The tube 1302 is generally fabricated from a transmissive material suitable to allow radiant heat generated by the lamp 1304 to effectively heat the substrate 116 disposed in the internal volume 220 of the body assembly 160. In one embodiment, the tube 1302 is fabricated from quartz. The diameter and thickness of the tube 1302 is generally selected to prevent collapse due to the pressure differential experiences when the tube 1302 is surrounded by vacuum conditions.
The tube 1302 generally includes an open end 1306 and a closed end 1308. The tube 1302 is disposed through an aperture 1312 formed through a sidewall 1314 of the body 248. The tube 1302 includes a flared flange 1310 defined at the open end 1306. The closed end 1308 generally extends into the interior volume 202 defined in the body assembly 160.
The tube 1302 is coupled to the body assembly 160 by a mounting assembly 1316. The mounting assembly 1318 generally includes a mounting block 1318, a retainer 1320 and a cap 1322. The mounting assembly 1316 generally includes an aperture 1332 through which the closed end 1308 of the tube 1302 extends.
The retainer 1320 is generally annular in form and is coupled to the mounting block 1318 by a plurality of fasteners 1324. The inside diameter of the retainer 1320 is generally less than an outside diameter of the flange 1310 of the tube 1302. This prevents the open end 1306 of the tube 1302 from passing through the aperture 1332 and into the interior volume 220 of the body assembly 160.
The cap 1322 is coupled to the mounting block 1318 by a plurality of fasteners 1326. The cap 1322 captures the flange 1310 of the tube 206 against the retainer 1320. A first seal 1328 is disposed between the cap 1322 and the mounting block 1318. A second seal 1330 is disposed between the cap 1322 and the flange 1310 of the tube 1302. A third seal 1340 is disposed between the mounting block 1318 and the body 248. As the fasteners 1326 compress the cap 1322 to the mounting block 1318 and the mounting block 1318 to the body 248, the seals 1328, 1330, 1340 are compressed to provide a vacuum seal, thereby isolating the interior volume 220 from the exterior of the body assembly 160. Moreover, the second seal 1330 disposed between the tube 1302 and the mounting assembly 1316 fluidly isolates the interior of the tube 1302 from the interior volume of the body assembly 160.
Since the lamp assembly 120 is supported primarily from the walls of the body assembly 160 that have less area than the top or bottom, movement of the lamp assembly 120 due to pressure changes and/or body deflection is minimized. Moreover, spacing between the substrate and lamp assembly 120 is maintained at a substantially uniform distances
The open end 206 of the tube 1302 is exposed to the atmosphere outside of the chamber body 202, thereby allowing the lamp 204 to be inserted and/or replaced from the tube 1302 without exposing the interior volume 220 of the load lock chamber to the ambient environment. Additionally, the lamp 1304 includes leads 1334 which may be coupled by a connector 1336 and wires 1342 outside of the interior volume 220 (e.g., at ambient pressures), thereby diminishing the probability of arcing and associated particle generations. Moreover, any particles associated with the lamp or the lamps electrical connections are fluidly isolated from the substrate, thereby eliminating the chance of particle contamination from these sources.
The wires 1342 may be routed through a chase 1338 or other wire conduit disposed outside the chamber body 202. The chase 1338 allows multiple lamp assemblies 120 extending into the interior volume 220 to be serviced from a single location.
FIGS. 14-15 are partial sectional view of the closed end 1308 of the lamp assembly 120 supported within the chamber body 202. In the embodiment depicted in FIGS. 14-15, multiple pairs of lamp assemblies 120 are arranged in rows. Each lamp assembly 120 defining a pair extends through apertures (612) formed through facing sidewalls 1314 of the load lock chamber 104. Each pair of lamp assemblies 120 have their closed ends 1308 supported by a guide 1400. As shown in phantom line in FIG. 15, the guides 1400 may be in the form of a singular bar 1500. The opposing lamp assembly configuration that spans the interior volume of the chamber body shorter lamps 1304 to be used in the tube 1302. The shorter lamps 1304 (i.e., less than an interior chamber width) requires less clearance during lamp replacement. The short lamp feature is advantageous due to the close proximity of the processing chambers 108 adjacent the sidewalls of the load lock chamber 104, as shown in FIG. 1.
In the embodiment depicted in FIG. 14, the closed ends 1308 of the tubes 1302 are supported by a guide 1400. The guide 1400 includes a hole 1402 which receives the closed ends 1308 of the tube 1302. It is contemplated that dedicated guides may be used for each tube 1302. In one embodiment, the hole 1402 has sufficient clearance with the tube 1302 to ensure the lamp assembly 120 is supported in a cantilevered orientation from the sidewalls, thereby enhancing uniform lamp-to-substrate spacing uniformity.
The guide 1400 is generally coupled to a ceiling 1404 of the internal volume 220. The guide 1400 holds the lamp assembly 120 in a space apart relation with the ceiling 1404. In the embodiment depicted in FIG. 14, the ceiling 1404 is the underside of the interior plate 298. In other embodiments, the guide may be coupled to the bottom of the top plate 204, a bottom plate 206, or other portion of the body assembly 160.
Thus, a heated load lock chamber has been provided. The end supported lamp assembly decouples the position of the lamp from deflection experienced by the top of the chamber body when under vacuum conditions, thereby minimizing changes in the distance between the lamp and substrate during venting and vacuum cycles. Moreover, as the electrical connections of the lamps are positioned outside of the vacuum environment, arcing within the vacuum environment is eliminated. Furthermore, the opposing tube design facilitates lamp replacement without breaking vacuum even with adjacent chambers disposed in close proximity to the load lock chamber.
While the foregoing is directed to the preferred embodiment of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof. The scope of the invention is determined by the claims which follow.

Claims (20)

What is claimed is:
1. A load lock chamber, comprising:
a main assembly having a substrate transfer cavity and two substrate access ports formed through the main assembly, the two substrate access ports fluidly coupled to the cavity;
a first slit valve door seal compartment having an aperture adjacent and aligned with one of the access ports, the first slit valve door seal compartment decoupled from the main assembly; and
a seal assembly coupling the first slit valve door seal compartment to the main assembly.
2. The load lock chamber of claim 1, wherein the seal assembly further comprises:
a ribbon shaped gasket having a first lateral edge clamped against the main assembly and a second first lateral edge clamped against the first slit valve door seal compartment.
3. The load lock chamber of claim 2, wherein the ribbon is annular and flexible.
4. The load lock chamber of claim 2, wherein the ribbon further comprises:
a first plurality of holes arranged along the first lateral edge; and
a second plurality of holes arranged along the second lateral edge.
5. The load lock chamber of claim 4 further comprising:
at least a first clamp block coupled by fasteners passing through the first plurality of holes to the main assembly; and
at least a second clamp block coupled by fasteners passing through the second plurality of holes to the first slit valve door seal compartment.
6. The load lock chamber of claim 1, wherein the seal assembly further comprises:
a substantially rectangular tube circumscribing the aperture of the slit valve door compartment.
7. The load lock chamber of claim 2, wherein the ribbon further comprises:
a first annular ridge extending from the ribbon inward of the first plurality of holes; and
a second annular ridge extending from the ribbon inward of the second plurality of holes.
8. The load lock chamber of claim 2, wherein the ribbon further comprises:
an annular wave between the first and second lateral edges.
9. The load lock chamber of claim 1, wherein the main assembly and the first slit valve door seal compartment further comprise:
facing sides coupled by the seal assembly, wherein the facing sides together form a stepped recess, the seal assembly disposed in an upper portion of the recess, a lower portion of the recess positioned between the seal assembly and the substrate access port circumscribed by the seal assembly.
10. The load lock chamber of claim 9, wherein an interface between the upper and lower portions of the recess is rounded.
11. The load lock chamber of claim 1 further comprising:
a plurality of quartz tubes extending into the main assembly; and
a lamp disposed in each to the tubes.
12. The load lock chamber of claim 1 further comprising:
a first clamp block securing the seal assembly to the main assembly, a lower corner of the first clamp block facing the first slit valve door seal compartment being rounded; and
a second clamp block securing the seal assembly to the first slit valve door seal compartment, a lower corner of the second clamp block facing the main assembly being rounded.
13. The load lock chamber of claim 1 further comprising:
a plurality of first clamp blocks securing the seal assembly to the main assembly; and
a plurality of second clamp blocks securing the seal assembly to the first slit valve door seal compartment.
14. The load lock chamber of claim 13, wherein the plurality of first clamp blocks further comprises:
at least four L-shaped corner sections.
15. The load lock chamber of claim 13, wherein the first clamp blocks are disposed in the substrate access port circumscribed by the seal assembly.
16. The load lock chamber of claim 1 further comprising:
a second slit valve door seal compartment having an aperture adjacent and aligned with one of the access ports, the second slit valve door seal compartment decoupled from the main assembly; and
a second seal assembly coupling the second slit valve door seal compartment to the main assembly.
17. A load lock chamber, comprising:
a main assembly having a substrate transfer cavity, a first substrate access port and a second substrate access port formed through the main assembly, the substrate access ports fluidly coupled to the cavity;
a first slit valve door seal compartment having a substrate transfer passage, the substrate transfer passage having a port adjacent and aligned with the first access port, the first slit valve door seal compartment decoupled from the main assembly;
a slit valve door engaging a sealing face of the first slit door seal compartment to selectively seal the first substrate transfer passage and first substrate access port; and
an annular resilient seal forming a seal between the first slit valve door seal compartment and the main assembly.
18. The load lock chamber of claim 17, wherein the first slit valve door seal compartment and the main assembly are in a spaced-apart relation.
19. The load lock chamber of claim 17, wherein the annular resilient seal has a substantially cylindrical form having a centerline orientated parallel or superimposed with a centerline of the first substrate port through the main assembly.
20. A load lock chamber, comprising:
a main assembly having a substrate transfer cavity and at least six substrate access ports formed through the main assembly, the substrate access ports fluidly coupled to the cavity;
a first slit valve door seal compartment having a first substrate transfer passage, a second substrate transfer passage and a third substrate transfer passage, the substrate transfer passages of the first slit valve door seal compartment aligned with respective first, second and third substrate access ports of the main assembly, the first slit valve door seal compartment disposed in a spaced-apart relation to the main assembly;
a first slit valve door disposed in the first slit door seal compartment, the first slit valve door selectively engaging a sealing face of the first slit door seal compartment to selectively seal the first substrate transfer passage;
a second slit valve door disposed in the first slit door seal compartment, the second slit valve door selectively engaging a sealing face of the first slit door seal compartment to selectively seal the second substrate transfer passage;
a third slit valve door disposed in the first slit door seal compartment, the third slit valve door selectively engaging a sealing face of the first slit door seal compartment to selectively seal the third substrate transfer passage;
a first cylindrical resilient seal forming a seal between the first slit valve door seal compartment and the main assembly;
a second slit valve door seal compartment having a first substrate transfer passage, a second substrate transfer passage and a third substrate transfer passage, the substrate transfer passages of the second slit valve door seal compartment aligned with respective fourth, fifth and sixth substrate access ports of the main assembly, the second slit valve door seal compartment disposed in a spaced-apart relation to the main assembly;
a fourth slit valve door disposed in the second slit valve door seal compartment, the fourth slit valve door selectively engaging a sealing face of the second slit valve door seal compartment to selectively seal the fourth substrate transfer passage;
a fifth slit valve door disposed in the second slit valve door seal compartment, the fifth slit valve door selectively engaging a sealing face of the second slit valve door seal compartment to selectively seal the fifth substrate transfer passage;
a sixth slit valve door disposed in the second slit valve door seal compartment, the sixth slit valve door selectively engaging a sealing face of the second slit valve door seal compartment to selectively seal the sixth substrate transfer passage; and
a second cylindrical resilient seal forming a seal between the second slit valve door seal compartment and the main assembly.
US11/782,267 2006-08-04 2007-07-24 Load lock chamber with decoupled slit valve door seal compartment Expired - Fee Related US8124907B2 (en)

Priority Applications (7)

Application Number Priority Date Filing Date Title
US11/782,267 US8124907B2 (en) 2006-08-04 2007-07-24 Load lock chamber with decoupled slit valve door seal compartment
CN2011101802256A CN102254791A (en) 2006-08-04 2007-08-03 Load lock chamber with decoupled slit valve door seal compartment
TW096128754A TWI393206B (en) 2006-08-04 2007-08-03 Load lock chamber with decoupled slit valve door seal compartment
CN2007800278585A CN101496158B (en) 2006-08-04 2007-08-03 Load lock chamber with decoupled slit valve door seal compartment
KR1020097004490A KR101323224B1 (en) 2006-08-04 2007-08-03 Load lock chamber with decoupled slit valve door seal compartment
JP2009523069A JP5745764B2 (en) 2006-08-04 2007-08-03 Load lock chamber with separate slit valve door seal compartment
PCT/US2007/075190 WO2008019317A2 (en) 2006-08-04 2007-08-03 Load lock chamber with decoupled slit valve door seal compartment

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US82148706P 2006-08-04 2006-08-04
US11/782,267 US8124907B2 (en) 2006-08-04 2007-07-24 Load lock chamber with decoupled slit valve door seal compartment

Publications (2)

Publication Number Publication Date
US20080087214A1 US20080087214A1 (en) 2008-04-17
US8124907B2 true US8124907B2 (en) 2012-02-28

Family

ID=39033593

Family Applications (1)

Application Number Title Priority Date Filing Date
US11/782,267 Expired - Fee Related US8124907B2 (en) 2006-08-04 2007-07-24 Load lock chamber with decoupled slit valve door seal compartment

Country Status (6)

Country Link
US (1) US8124907B2 (en)
JP (1) JP5745764B2 (en)
KR (1) KR101323224B1 (en)
CN (2) CN101496158B (en)
TW (1) TWI393206B (en)
WO (1) WO2008019317A2 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130153547A1 (en) * 2010-07-02 2013-06-20 Kazuhiko Katsumata Multi-chamber heat treatment device
US20150303085A1 (en) * 2014-04-17 2015-10-22 Lam Research Corporation Processing chamber with features from side wall
US20180047598A1 (en) * 2016-08-10 2018-02-15 Lam Research Corporation Platform architecture to improve system productivity
US10991600B2 (en) 2017-06-19 2021-04-27 Samsung Electronics Co., Ltd. Process chamber and substrate processing apparatus including the same
US11610787B2 (en) * 2007-05-18 2023-03-21 Brooks Automation Us, Llc Load lock fast pump vent

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7822324B2 (en) * 2006-08-14 2010-10-26 Applied Materials, Inc. Load lock chamber with heater in tube
EP2336611A1 (en) * 2009-12-15 2011-06-22 Applied Materials, Inc. Water cooled valve
CN104471700B (en) * 2012-03-28 2016-10-26 盛美半导体设备(上海)有限公司 Vacuum fixture
WO2013143079A1 (en) * 2012-03-28 2013-10-03 Acm Research (Shanghai) Inc. Vacuum chuck for electropolishing and/or electroplating
TWM476362U (en) 2012-09-07 2014-04-11 Applied Materials Inc Load lock chamber with slit valve doors
USD734377S1 (en) * 2013-03-28 2015-07-14 Hirata Corporation Top cover of a load lock chamber
TWI576468B (en) * 2013-07-31 2017-04-01 Acm Res (Shanghai) Inc Suitable for electrolyte polishing and / or electroplating of vacuum fixtures
US10115605B2 (en) * 2016-07-06 2018-10-30 Rjr Technologies, Inc. Vacuum assisted sealing processes and systems for increasing air cavity package manufacturing rates
US10636629B2 (en) * 2017-10-05 2020-04-28 Applied Materials, Inc. Split slit liner door
US20200194234A1 (en) * 2018-12-17 2020-06-18 Lam Research Corporation Vacuum chamber opening system
JP7280132B2 (en) * 2019-07-12 2023-05-23 株式会社アルバック Vacuum chamber and substrate processing equipment
KR102544775B1 (en) * 2020-11-05 2023-06-20 주식회사 케이씨텍 Substrate processing apparatus

Citations (158)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3976330A (en) 1975-10-01 1976-08-24 International Business Machines Corporation Transport system for semiconductor wafer multiprocessing station system
US4047624A (en) 1975-10-21 1977-09-13 Airco, Inc. Workpiece handling system for vacuum processing
US4178113A (en) 1977-12-05 1979-12-11 Macronetics, Inc. Buffer storage apparatus for semiconductor wafer processing
US4311542A (en) 1979-02-07 1982-01-19 Breveteam S.A. Method for manufacturing a strip-shaped composite body
US4512391A (en) 1982-01-29 1985-04-23 Varian Associates, Inc. Apparatus for thermal treatment of semiconductor wafers by gas conduction incorporating peripheral gas inlet
US4680061A (en) 1979-12-21 1987-07-14 Varian Associates, Inc. Method of thermal treatment of a wafer in an evacuated environment
US4687542A (en) 1985-10-24 1987-08-18 Texas Instruments Incorporated Vacuum processing system
US4693777A (en) 1984-11-30 1987-09-15 Kabushiki Kaisha Toshiba Apparatus for producing semiconductor devices
US4709655A (en) 1985-12-03 1987-12-01 Varian Associates, Inc. Chemical vapor deposition apparatus
US4759681A (en) 1985-01-22 1988-07-26 Nissin Electric Co. Ltd. End station for an ion implantation apparatus
US4770590A (en) 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
US4775281A (en) 1986-12-02 1988-10-04 Teradyne, Inc. Apparatus and method for loading and unloading wafers
US4784377A (en) 1986-12-23 1988-11-15 Northern Telecom Limited Apparatus for locating and supporting ceramic substrates
US4785962A (en) 1987-04-20 1988-11-22 Applied Materials, Inc. Vacuum chamber slit valve
US4801241A (en) 1984-03-09 1989-01-31 Tegal Corporation Modular article processing machine and method of article handling therein
US4816098A (en) 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4828224A (en) 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
US4836733A (en) 1986-04-28 1989-06-06 Varian Associates, Inc. Wafer transfer system
US4846102A (en) 1987-06-24 1989-07-11 Epsilon Technology, Inc. Reaction chambers for CVD systems
US4857689A (en) 1988-03-23 1989-08-15 High Temperature Engineering Corporation Rapid thermal furnace for semiconductor processing
US4863547A (en) 1987-05-24 1989-09-05 Tazmo Co., Ltd. Equipment for heating and cooling substrates for coating photo resist thereto
US4870923A (en) 1987-06-26 1989-10-03 Dainippon Screen Mfg. Co., Ltd. Apparatus for treating the surfaces of wafers
US4895107A (en) 1987-07-06 1990-01-23 Kabushiki Kaisha Toshiba Photo chemical reaction apparatus
US4897963A (en) 1987-10-15 1990-02-06 Commissariat A L'energie Atomique Connector device for two reactor containments
US4911103A (en) 1987-07-17 1990-03-27 Texas Instruments Incorporated Processing apparatus and method
US4913929A (en) 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US4923584A (en) 1988-10-31 1990-05-08 Eaton Corporation Sealing apparatus for a vacuum processing system
US4952299A (en) 1988-10-31 1990-08-28 Eaton Corporation Wafer handling apparatus
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4966519A (en) 1985-10-24 1990-10-30 Texas Instruments Incorporated Integrated circuit processing system
US4990047A (en) 1988-05-24 1991-02-05 Balzers Aktiengesellschaft Vacuum apparatus
US4989543A (en) 1987-10-15 1991-02-05 Solems (S.A.) Process and means for producing films for use in electronics and/or optoelectronics using plasma
US5001327A (en) 1987-09-11 1991-03-19 Hitachi, Ltd. Apparatus and method for performing heat treatment on semiconductor wafers
US5020475A (en) 1987-10-15 1991-06-04 Epsilon Technology, Inc. Substrate handling and transporting apparatus
US5044871A (en) 1985-10-24 1991-09-03 Texas Instruments Incorporated Integrated circuit processing system
US5060354A (en) 1990-07-02 1991-10-29 George Chizinsky Heated plate rapid thermal processor
US5131460A (en) 1991-10-24 1992-07-21 Applied Materials, Inc. Reducing particulates during semiconductor fabrication
US5187115A (en) 1977-12-05 1993-02-16 Plasma Physics Corp. Method of forming semiconducting materials and barriers using a dual enclosure apparatus
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5199483A (en) 1991-05-15 1993-04-06 Applied Materials, Inc. Method and apparatus for cooling wafers
US5202716A (en) 1988-02-12 1993-04-13 Tokyo Electron Limited Resist process system
US5224809A (en) 1985-01-22 1993-07-06 Applied Materials, Inc. Semiconductor processing system with robotic autoloader and load lock
US5227708A (en) 1989-10-20 1993-07-13 Applied Materials, Inc. Two-axis magnetically coupled robot
US5252807A (en) 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
US5254170A (en) 1989-08-07 1993-10-19 Asm Vt, Inc. Enhanced vertical thermal reactor system
US5259883A (en) 1988-02-16 1993-11-09 Kabushiki Kaisha Toshiba Method of thermally processing semiconductor wafers and an apparatus therefor
US5259881A (en) 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
US5261935A (en) 1990-09-26 1993-11-16 Tokyo Electron Sagami Limited Clean air apparatus
US5288379A (en) 1991-12-04 1994-02-22 Anelva Corporation Multi-chamber integrated process system
US5292393A (en) 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US5314574A (en) 1992-06-26 1994-05-24 Tokyo Electron Kabushiki Kaisha Surface treatment method and apparatus
US5352294A (en) 1993-01-28 1994-10-04 White John M Alignment of a shadow frame and large flat substrates on a support
US5374147A (en) 1982-07-29 1994-12-20 Tokyo Electron Limited Transfer device for transferring a substrate
US5376212A (en) 1992-02-18 1994-12-27 Tokyo Electron Yamanashi Limited Reduced-pressure processing apparatus
US5404894A (en) 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
US5421889A (en) 1993-06-29 1995-06-06 Tokyo Electron Limited Method and apparatus for inverting samples in a process
US5443346A (en) 1992-07-03 1995-08-22 Shinko Electric Co., Ltd. Wafer conveying system in a clean room
US5445484A (en) 1990-11-26 1995-08-29 Hitachi, Ltd. Vacuum processing system
US5447409A (en) 1989-10-20 1995-09-05 Applied Materials, Inc. Robot assembly
US5464313A (en) 1993-02-08 1995-11-07 Tokyo Electron Kabushiki Kaisha Heat treating apparatus
US5469035A (en) 1989-10-20 1995-11-21 Applied Materials, Inc. Two-axis magnetically coupled robot
US5474410A (en) 1993-03-14 1995-12-12 Tel-Varian Limited Multi-chamber system provided with carrier units
US5512320A (en) 1993-01-28 1996-04-30 Applied Materials, Inc. Vacuum processing apparatus having improved throughput
US5516732A (en) 1992-12-04 1996-05-14 Sony Corporation Wafer processing machine vacuum front end method and apparatus
US5536128A (en) 1988-10-21 1996-07-16 Hitachi, Ltd. Method and apparatus for carrying a variety of products
US5562383A (en) 1993-04-13 1996-10-08 Tokyo Electron Kabushiki Kaisha Treatment apparatus
US5570994A (en) 1993-07-15 1996-11-05 Applied Materials, Inc. Wafer tray and ceramic blade for semiconductor processing apparatus
US5586585A (en) 1995-02-27 1996-12-24 Asyst Technologies, Inc. Direct loadlock interface
US5588827A (en) 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
US5609689A (en) 1995-06-09 1997-03-11 Tokyo Electron Limited Vacuum process apparaus
US5611655A (en) 1993-04-23 1997-03-18 Tokyo Electron Limited Vacuum process apparatus and vacuum processing method
US5615988A (en) 1995-07-07 1997-04-01 Pri Automation, Inc. Wafer transfer system having rotational capability
US5616208A (en) 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
US5655277A (en) 1995-10-17 1997-08-12 Balzers Aktiengesellschaft Vacuum apparatus for the surface treatment of workpieces
US5685684A (en) 1990-11-26 1997-11-11 Hitachi, Ltd. Vacuum processing system
US5695568A (en) 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
US5697749A (en) 1992-07-17 1997-12-16 Tokyo Electron Kabushiki Kaisha Wafer processing apparatus
US5700127A (en) 1995-06-27 1997-12-23 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
US5716207A (en) 1995-07-26 1998-02-10 Hitachi Techno Engineering Co., Ltd. Heating furnace
US5738767A (en) 1994-01-11 1998-04-14 Intevac, Inc. Substrate handling and processing system for flat panel displays
US5751003A (en) 1996-02-16 1998-05-12 Eaton Corporation Loadlock assembly for an ion implantation system
US5784799A (en) 1990-08-29 1998-07-28 Hitachi, Ltd. Vacuum processing apparatus for substate wafers
US5793050A (en) 1996-02-16 1998-08-11 Eaton Corporation Ion implantation system for implanting workpieces
US5795355A (en) 1996-12-24 1998-08-18 Applied Materials, Inc. Integrated micro-environment container loader apparatus having a semipermeable barrier
US5820679A (en) 1993-07-15 1998-10-13 Hitachi, Ltd. Fabrication system and method having inter-apparatus transporter
US5833426A (en) 1996-12-11 1998-11-10 Applied Materials, Inc. Magnetically coupled wafer extraction platform
US5855726A (en) 1995-07-19 1999-01-05 Hitachi, Ltd. Vacuum processing apparatus and semiconductor manufacturing line using the same
US5855681A (en) 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5882165A (en) 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US5884009A (en) 1997-08-07 1999-03-16 Tokyo Electron Limited Substrate treatment system
US5891251A (en) 1996-08-07 1999-04-06 Macleish; Joseph H. CVD reactor having heated process chamber within isolation chamber
US5902088A (en) 1996-11-18 1999-05-11 Applied Materials, Inc. Single loadlock chamber with wafer cooling function
US5909994A (en) 1996-11-18 1999-06-08 Applied Materials, Inc. Vertical dual loadlock chamber
US5913978A (en) 1995-04-20 1999-06-22 Tokyo Electron Ltd. Apparatus and method for regulating pressure in two chambers
US5934856A (en) 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
US5942013A (en) 1996-09-13 1999-08-24 Tokyo Electron Limited Substrate processing system
US5944857A (en) 1997-05-08 1999-08-31 Tokyo Electron Limited Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US5951770A (en) 1997-06-04 1999-09-14 Applied Materials, Inc. Carousel wafer transfer system
US5954472A (en) 1996-07-15 1999-09-21 Brooks Automation, Inc. Batch loader arm
US5961269A (en) 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
US5989346A (en) 1995-12-12 1999-11-23 Tokyo Electron Limited Semiconductor processing apparatus
US5997235A (en) 1996-09-20 1999-12-07 Brooks Automation, Inc. Swap out plate and assembly
US6007675A (en) 1996-07-09 1999-12-28 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US6012192A (en) 1997-04-21 2000-01-11 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus
US6016611A (en) 1998-07-13 2000-01-25 Applied Komatsu Technology, Inc. Gas flow control in a substrate processing system
US6034000A (en) 1997-07-28 2000-03-07 Applied Materials, Inc. Multiple loadlock system
US6039770A (en) 1997-06-25 2000-03-21 Samsung Electronics Co., Ltd. Semiconductor device manufacturing system having means for reducing a pressure difference between loadlock and processing chambers
US6042623A (en) 1998-01-12 2000-03-28 Tokyo Electron Limited Two-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US6044534A (en) 1995-12-07 2000-04-04 Nec Corporation Semiconductor device manufacturing machine and method for manufacturing a semiconductor device by using the same manufacturing machine
US6048154A (en) 1996-10-02 2000-04-11 Applied Materials, Inc. High vacuum dual stage load lock and method for loading and unloading wafers using a high vacuum dual stage load lock
US6059507A (en) 1997-04-21 2000-05-09 Brooks Automation, Inc. Substrate processing apparatus with small batch load lock
US6079693A (en) 1998-05-20 2000-06-27 Applied Komatsu Technology, Inc. Isolation valves
US6082950A (en) 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US6086362A (en) 1998-05-20 2000-07-11 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
US6089543A (en) 1997-07-11 2000-07-18 Applied Materials, Inc. Two-piece slit valve door with molded-in-place seal for a vacuum processing system
US6106634A (en) 1999-02-11 2000-08-22 Applied Materials, Inc. Methods and apparatus for reducing particle contamination during wafer transport
US6143083A (en) 1995-08-05 2000-11-07 Kokusai Electric Co., Ltd. Substrate transferring mechanism
US6145673A (en) 1999-03-31 2000-11-14 Applied Materials, Inc. Wafer transfer cassette
US6176668B1 (en) 1998-05-20 2001-01-23 Applied Komatsu Technology, Inc. In-situ substrate transfer shuttle
US6176667B1 (en) 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
US6192827B1 (en) 1998-07-03 2001-02-27 Applied Materials, Inc. Double slit-valve doors for plasma processing
US6206176B1 (en) 1998-05-20 2001-03-27 Applied Komatsu Technology, Inc. Substrate transfer shuttle having a magnetic drive
US6215897B1 (en) 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Automated substrate processing system
US6213704B1 (en) 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Method and apparatus for substrate transfer and processing
US6224680B1 (en) 1996-07-09 2001-05-01 Gamma Precision Technology, Inc. Wafer transfer system
US6235634B1 (en) 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6270582B1 (en) 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
US6315512B1 (en) 1997-11-28 2001-11-13 Mattson Technology, Inc. Systems and methods for robotic transfer of workpieces between a storage area and a processing chamber
US6318945B1 (en) 1999-07-28 2001-11-20 Brooks Automation, Inc. Substrate processing apparatus with vertically stacked load lock and substrate transport robot
US6338626B1 (en) 1997-09-10 2002-01-15 Tokyo Electron Limited Load-lock mechanism and processing apparatus
US6340405B2 (en) 1996-12-24 2002-01-22 Samsung Electronics Co., Ltd. Etching apparatus for manufacturing semiconductor devices
US20020034886A1 (en) 2000-09-15 2002-03-21 Applied Materials, Inc. Double dual slot load lock for process equipment
US6382895B1 (en) 1998-12-28 2002-05-07 Anelva Corporation Substrate processing apparatus
US6410455B1 (en) 1999-11-30 2002-06-25 Wafermasters, Inc. Wafer processing system
US6431807B1 (en) 1998-07-10 2002-08-13 Novellus Systems, Inc. Wafer processing architecture including single-wafer load lock with cooling unit
US20020137346A1 (en) 2001-03-12 2002-09-26 Applied Materials. Inc. Workpiece distribution and processing in a high throughput stacked frame
US20020159864A1 (en) 2001-04-30 2002-10-31 Applied Materials, Inc. Triple chamber load lock
US6486444B1 (en) 1999-06-03 2002-11-26 Applied Materials, Inc. Load-lock with external staging area
US6503365B1 (en) 1998-04-21 2003-01-07 Samsung Electronics Co., Ltd. Multi-chamber system having compact installation set-up for an etching facility for semiconductor device manufacturing
US20030026677A1 (en) 2001-08-03 2003-02-06 Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd) High-pressure process apparatus
US6517303B1 (en) 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6558509B2 (en) 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6568899B1 (en) 1999-11-30 2003-05-27 Wafermasters, Inc. Wafer processing system including a robot
US6610150B1 (en) 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
US6609869B2 (en) 2001-01-04 2003-08-26 Asm America Transfer chamber with integral loadlock and staging station
KR20040013294A (en) 2002-08-05 2004-02-14 삼성전자주식회사 Dry etching apparatus for manufacturing semiconductor device
US20040119240A1 (en) 1998-10-26 2004-06-24 Teijin Seiki Co., Ltd. Sealing mechanism for sealing a vacuum chamber
US20040149210A1 (en) 2001-05-17 2004-08-05 Fink Steven T Cylinder-based plasma processing system
US20040206921A1 (en) * 2003-04-17 2004-10-21 Applied Materials, Inc. Slit valve method and apparatus
US20050095088A1 (en) 2003-10-20 2005-05-05 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US6949143B1 (en) 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
US20050285992A1 (en) 2004-06-02 2005-12-29 Applied Materials, Inc. Methods and apparatus for sealing a chamber
US20060028596A1 (en) 2004-06-02 2006-02-09 Leung Billy C Methods and apparatus for providing a floating seal for chamber doors
US20070166133A1 (en) * 2006-01-13 2007-07-19 Applied Materials, Inc. Decoupled chamber body
US7282097B2 (en) * 2004-06-14 2007-10-16 Applied Materials, Inc. Slit valve door seal
US20110120017A1 (en) * 2004-06-02 2011-05-26 Applied Materials, Inc. Variable seal pressure slit valve doors for semiconductor manufacturing equipment
CN1789485B (en) 2004-08-02 2011-12-07 应用材料股份有限公司 Methods and apparatus for providing a floating seal for chamber doors

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4755281A (en) * 1984-05-01 1988-07-05 Mobil Oil Corporation Countercurrent process with froth control for treating heavy hydrocarbons
US5784377A (en) * 1993-03-09 1998-07-21 Hubbell Incorporated Integrated digital loop carrier system with virtual tributary mapper circuit
JP3445257B2 (en) * 1993-07-21 2003-09-08 キヤノン株式会社 Processing system, exposure apparatus and device manufacturing method using the same
JPH0864542A (en) * 1994-08-25 1996-03-08 Plasma Syst:Kk Vacuum chamber for semiconductor processor and manufacture thereof
KR100218269B1 (en) * 1996-05-30 1999-09-01 윤종용 A removing apparatus and method of residual gas in dry etcher
KR980012103A (en) * 1996-07-29 1998-04-30 김광호 Hot-wall type high-speed heat treatment device
JP3437734B2 (en) * 1997-02-26 2003-08-18 富士通株式会社 manufacturing device
JP2002329763A (en) * 2001-04-27 2002-11-15 Yaskawa Electric Corp Connecting structure between hermetic chambers
US20030086774A1 (en) * 2001-11-07 2003-05-08 Schlumberger Technologies, Inc. System and method for inhibiting motion of semiconductor wafers in a variable-pressure chamber
JP2003229348A (en) * 2002-01-31 2003-08-15 Canon Inc Semiconductor aligner
US7129694B2 (en) * 2002-05-23 2006-10-31 Applied Materials, Inc. Large substrate test system
US20040141832A1 (en) * 2003-01-10 2004-07-22 Jang Geun-Ha Cluster device having dual structure
CN1618716B (en) * 2003-11-12 2011-03-16 周星工程股份有限公司 Loading lock and loading lock chamber therewith
US7575220B2 (en) * 2004-06-14 2009-08-18 Applied Materials, Inc. Curved slit valve door

Patent Citations (172)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3976330A (en) 1975-10-01 1976-08-24 International Business Machines Corporation Transport system for semiconductor wafer multiprocessing station system
US4047624A (en) 1975-10-21 1977-09-13 Airco, Inc. Workpiece handling system for vacuum processing
US4178113A (en) 1977-12-05 1979-12-11 Macronetics, Inc. Buffer storage apparatus for semiconductor wafer processing
US5470784A (en) 1977-12-05 1995-11-28 Plasma Physics Corp. Method of forming semiconducting materials and barriers using a multiple chamber arrangement
US5187115A (en) 1977-12-05 1993-02-16 Plasma Physics Corp. Method of forming semiconducting materials and barriers using a dual enclosure apparatus
US4311542A (en) 1979-02-07 1982-01-19 Breveteam S.A. Method for manufacturing a strip-shaped composite body
US4680061A (en) 1979-12-21 1987-07-14 Varian Associates, Inc. Method of thermal treatment of a wafer in an evacuated environment
US4512391A (en) 1982-01-29 1985-04-23 Varian Associates, Inc. Apparatus for thermal treatment of semiconductor wafers by gas conduction incorporating peripheral gas inlet
US5374147A (en) 1982-07-29 1994-12-20 Tokyo Electron Limited Transfer device for transferring a substrate
US4801241A (en) 1984-03-09 1989-01-31 Tegal Corporation Modular article processing machine and method of article handling therein
US4693777A (en) 1984-11-30 1987-09-15 Kabushiki Kaisha Toshiba Apparatus for producing semiconductor devices
US4759681A (en) 1985-01-22 1988-07-26 Nissin Electric Co. Ltd. End station for an ion implantation apparatus
US5224809A (en) 1985-01-22 1993-07-06 Applied Materials, Inc. Semiconductor processing system with robotic autoloader and load lock
US5044871A (en) 1985-10-24 1991-09-03 Texas Instruments Incorporated Integrated circuit processing system
US4966519A (en) 1985-10-24 1990-10-30 Texas Instruments Incorporated Integrated circuit processing system
US4687542A (en) 1985-10-24 1987-08-18 Texas Instruments Incorporated Vacuum processing system
US4709655A (en) 1985-12-03 1987-12-01 Varian Associates, Inc. Chemical vapor deposition apparatus
US4836733A (en) 1986-04-28 1989-06-06 Varian Associates, Inc. Wafer transfer system
US4770590A (en) 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
US4775281A (en) 1986-12-02 1988-10-04 Teradyne, Inc. Apparatus and method for loading and unloading wafers
US5292393A (en) 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5882165A (en) 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US4784377A (en) 1986-12-23 1988-11-15 Northern Telecom Limited Apparatus for locating and supporting ceramic substrates
US4785962A (en) 1987-04-20 1988-11-22 Applied Materials, Inc. Vacuum chamber slit valve
US4913929A (en) 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US4863547A (en) 1987-05-24 1989-09-05 Tazmo Co., Ltd. Equipment for heating and cooling substrates for coating photo resist thereto
US4846102A (en) 1987-06-24 1989-07-11 Epsilon Technology, Inc. Reaction chambers for CVD systems
US4870923A (en) 1987-06-26 1989-10-03 Dainippon Screen Mfg. Co., Ltd. Apparatus for treating the surfaces of wafers
US4895107A (en) 1987-07-06 1990-01-23 Kabushiki Kaisha Toshiba Photo chemical reaction apparatus
US4816098A (en) 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4911103A (en) 1987-07-17 1990-03-27 Texas Instruments Incorporated Processing apparatus and method
US5001327A (en) 1987-09-11 1991-03-19 Hitachi, Ltd. Apparatus and method for performing heat treatment on semiconductor wafers
US4897963A (en) 1987-10-15 1990-02-06 Commissariat A L'energie Atomique Connector device for two reactor containments
US4989543A (en) 1987-10-15 1991-02-05 Solems (S.A.) Process and means for producing films for use in electronics and/or optoelectronics using plasma
US5020475A (en) 1987-10-15 1991-06-04 Epsilon Technology, Inc. Substrate handling and transporting apparatus
US4828224A (en) 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
US5202716A (en) 1988-02-12 1993-04-13 Tokyo Electron Limited Resist process system
US5259883A (en) 1988-02-16 1993-11-09 Kabushiki Kaisha Toshiba Method of thermally processing semiconductor wafers and an apparatus therefor
US4857689A (en) 1988-03-23 1989-08-15 High Temperature Engineering Corporation Rapid thermal furnace for semiconductor processing
US4990047A (en) 1988-05-24 1991-02-05 Balzers Aktiengesellschaft Vacuum apparatus
US5536128A (en) 1988-10-21 1996-07-16 Hitachi, Ltd. Method and apparatus for carrying a variety of products
US4923584A (en) 1988-10-31 1990-05-08 Eaton Corporation Sealing apparatus for a vacuum processing system
US4952299A (en) 1988-10-31 1990-08-28 Eaton Corporation Wafer handling apparatus
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5254170A (en) 1989-08-07 1993-10-19 Asm Vt, Inc. Enhanced vertical thermal reactor system
US5355066A (en) 1989-10-20 1994-10-11 Applied Materials, Inc. Two-axis magnetically coupled robot
US5227708A (en) 1989-10-20 1993-07-13 Applied Materials, Inc. Two-axis magnetically coupled robot
US5469035A (en) 1989-10-20 1995-11-21 Applied Materials, Inc. Two-axis magnetically coupled robot
US5447409A (en) 1989-10-20 1995-09-05 Applied Materials, Inc. Robot assembly
US5060354A (en) 1990-07-02 1991-10-29 George Chizinsky Heated plate rapid thermal processor
US5252807A (en) 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
US5784799A (en) 1990-08-29 1998-07-28 Hitachi, Ltd. Vacuum processing apparatus for substate wafers
US5261935A (en) 1990-09-26 1993-11-16 Tokyo Electron Sagami Limited Clean air apparatus
US5685684A (en) 1990-11-26 1997-11-11 Hitachi, Ltd. Vacuum processing system
US5445484A (en) 1990-11-26 1995-08-29 Hitachi, Ltd. Vacuum processing system
US5199483A (en) 1991-05-15 1993-04-06 Applied Materials, Inc. Method and apparatus for cooling wafers
US5259881A (en) 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
US5131460A (en) 1991-10-24 1992-07-21 Applied Materials, Inc. Reducing particulates during semiconductor fabrication
US5288379A (en) 1991-12-04 1994-02-22 Anelva Corporation Multi-chamber integrated process system
US5376212A (en) 1992-02-18 1994-12-27 Tokyo Electron Yamanashi Limited Reduced-pressure processing apparatus
US5404894A (en) 1992-05-20 1995-04-11 Tokyo Electron Kabushiki Kaisha Conveyor apparatus
US5314574A (en) 1992-06-26 1994-05-24 Tokyo Electron Kabushiki Kaisha Surface treatment method and apparatus
US5443346A (en) 1992-07-03 1995-08-22 Shinko Electric Co., Ltd. Wafer conveying system in a clean room
US5697749A (en) 1992-07-17 1997-12-16 Tokyo Electron Kabushiki Kaisha Wafer processing apparatus
US5516732A (en) 1992-12-04 1996-05-14 Sony Corporation Wafer processing machine vacuum front end method and apparatus
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
US5611865A (en) 1993-01-28 1997-03-18 Applied Materials, Inc. Alignment of a shadow frame and large flat substrates on a heated support
US5674786A (en) 1993-01-28 1997-10-07 Applied Materials, Inc. Method of heating and cooling large area glass substrates
US5512320A (en) 1993-01-28 1996-04-30 Applied Materials, Inc. Vacuum processing apparatus having improved throughput
US5352294A (en) 1993-01-28 1994-10-04 White John M Alignment of a shadow frame and large flat substrates on a support
US5464313A (en) 1993-02-08 1995-11-07 Tokyo Electron Kabushiki Kaisha Heat treating apparatus
US5474410A (en) 1993-03-14 1995-12-12 Tel-Varian Limited Multi-chamber system provided with carrier units
US5695568A (en) 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
US5562383A (en) 1993-04-13 1996-10-08 Tokyo Electron Kabushiki Kaisha Treatment apparatus
US5611655A (en) 1993-04-23 1997-03-18 Tokyo Electron Limited Vacuum process apparatus and vacuum processing method
US5421889A (en) 1993-06-29 1995-06-06 Tokyo Electron Limited Method and apparatus for inverting samples in a process
US5820679A (en) 1993-07-15 1998-10-13 Hitachi, Ltd. Fabrication system and method having inter-apparatus transporter
US5636964A (en) 1993-07-15 1997-06-10 Applied Materials, Inc. Wafer tray and ceramic blade for semiconductor processing apparatus
US5570994A (en) 1993-07-15 1996-11-05 Applied Materials, Inc. Wafer tray and ceramic blade for semiconductor processing apparatus
US5616208A (en) 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
US5588827A (en) 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
US5738767A (en) 1994-01-11 1998-04-14 Intevac, Inc. Substrate handling and processing system for flat panel displays
US5934856A (en) 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
US5586585A (en) 1995-02-27 1996-12-24 Asyst Technologies, Inc. Direct loadlock interface
US5913978A (en) 1995-04-20 1999-06-22 Tokyo Electron Ltd. Apparatus and method for regulating pressure in two chambers
US5609689A (en) 1995-06-09 1997-03-11 Tokyo Electron Limited Vacuum process apparaus
US5700127A (en) 1995-06-27 1997-12-23 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
US5615988A (en) 1995-07-07 1997-04-01 Pri Automation, Inc. Wafer transfer system having rotational capability
US5855726A (en) 1995-07-19 1999-01-05 Hitachi, Ltd. Vacuum processing apparatus and semiconductor manufacturing line using the same
US5716207A (en) 1995-07-26 1998-02-10 Hitachi Techno Engineering Co., Ltd. Heating furnace
US6143083A (en) 1995-08-05 2000-11-07 Kokusai Electric Co., Ltd. Substrate transferring mechanism
US5655277A (en) 1995-10-17 1997-08-12 Balzers Aktiengesellschaft Vacuum apparatus for the surface treatment of workpieces
US6044534A (en) 1995-12-07 2000-04-04 Nec Corporation Semiconductor device manufacturing machine and method for manufacturing a semiconductor device by using the same manufacturing machine
US5989346A (en) 1995-12-12 1999-11-23 Tokyo Electron Limited Semiconductor processing apparatus
US5793050A (en) 1996-02-16 1998-08-11 Eaton Corporation Ion implantation system for implanting workpieces
US5751003A (en) 1996-02-16 1998-05-12 Eaton Corporation Loadlock assembly for an ion implantation system
US6176667B1 (en) 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
US6224680B1 (en) 1996-07-09 2001-05-01 Gamma Precision Technology, Inc. Wafer transfer system
US6007675A (en) 1996-07-09 1999-12-28 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US5954472A (en) 1996-07-15 1999-09-21 Brooks Automation, Inc. Batch loader arm
US5891251A (en) 1996-08-07 1999-04-06 Macleish; Joseph H. CVD reactor having heated process chamber within isolation chamber
US5942013A (en) 1996-09-13 1999-08-24 Tokyo Electron Limited Substrate processing system
US5997235A (en) 1996-09-20 1999-12-07 Brooks Automation, Inc. Swap out plate and assembly
US6048154A (en) 1996-10-02 2000-04-11 Applied Materials, Inc. High vacuum dual stage load lock and method for loading and unloading wafers using a high vacuum dual stage load lock
US6254328B1 (en) 1996-10-02 2001-07-03 Applied Materials, Inc. High vacuum dual stage load lock and method for loading and unloading wafers using a high vacuum dual stage load lock
US5855681A (en) 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5909994A (en) 1996-11-18 1999-06-08 Applied Materials, Inc. Vertical dual loadlock chamber
US5961269A (en) 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
US6082950A (en) 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US6250869B1 (en) 1996-11-18 2001-06-26 Applied Materials, Inc. Three chamber load lock apparatus
US5902088A (en) 1996-11-18 1999-05-11 Applied Materials, Inc. Single loadlock chamber with wafer cooling function
US5833426A (en) 1996-12-11 1998-11-10 Applied Materials, Inc. Magnetically coupled wafer extraction platform
US6340405B2 (en) 1996-12-24 2002-01-22 Samsung Electronics Co., Ltd. Etching apparatus for manufacturing semiconductor devices
US5795355A (en) 1996-12-24 1998-08-18 Applied Materials, Inc. Integrated micro-environment container loader apparatus having a semipermeable barrier
US6059507A (en) 1997-04-21 2000-05-09 Brooks Automation, Inc. Substrate processing apparatus with small batch load lock
US6012192A (en) 1997-04-21 2000-01-11 Dainippon Screen Mfg. Co., Ltd. Substrate processing apparatus
US5944857A (en) 1997-05-08 1999-08-31 Tokyo Electron Limited Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US5951770A (en) 1997-06-04 1999-09-14 Applied Materials, Inc. Carousel wafer transfer system
US6039770A (en) 1997-06-25 2000-03-21 Samsung Electronics Co., Ltd. Semiconductor device manufacturing system having means for reducing a pressure difference between loadlock and processing chambers
US6089543A (en) 1997-07-11 2000-07-18 Applied Materials, Inc. Two-piece slit valve door with molded-in-place seal for a vacuum processing system
US6034000A (en) 1997-07-28 2000-03-07 Applied Materials, Inc. Multiple loadlock system
US6450750B1 (en) 1997-07-28 2002-09-17 Applied Materials, Inc. Multiple loadlock system
US5884009A (en) 1997-08-07 1999-03-16 Tokyo Electron Limited Substrate treatment system
US6338626B1 (en) 1997-09-10 2002-01-15 Tokyo Electron Limited Load-lock mechanism and processing apparatus
US6235634B1 (en) 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
US6315512B1 (en) 1997-11-28 2001-11-13 Mattson Technology, Inc. Systems and methods for robotic transfer of workpieces between a storage area and a processing chamber
US6568552B1 (en) 1997-11-28 2003-05-27 Mattson Technology, Inc. Systems and methods for low contamination, high throughput handling of workpieces for vacuum processing
US6647665B1 (en) 1997-11-28 2003-11-18 Mattson Technology, Inc. Door systems for low contamination, high throughput handling of workpieces for vacuum processing
US6270582B1 (en) 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
US6042623A (en) 1998-01-12 2000-03-28 Tokyo Electron Limited Two-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US6503365B1 (en) 1998-04-21 2003-01-07 Samsung Electronics Co., Ltd. Multi-chamber system having compact installation set-up for an etching facility for semiconductor device manufacturing
US6206176B1 (en) 1998-05-20 2001-03-27 Applied Komatsu Technology, Inc. Substrate transfer shuttle having a magnetic drive
US6517303B1 (en) 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
US6215897B1 (en) 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Automated substrate processing system
US6193507B1 (en) 1998-05-20 2001-02-27 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
US6086362A (en) 1998-05-20 2000-07-11 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
US6213704B1 (en) 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Method and apparatus for substrate transfer and processing
US6176668B1 (en) 1998-05-20 2001-01-23 Applied Komatsu Technology, Inc. In-situ substrate transfer shuttle
US6435868B2 (en) 1998-05-20 2002-08-20 Applied Komatsu Technology, Inc. Multi-function chamber for a substrate processing system
US6079693A (en) 1998-05-20 2000-06-27 Applied Komatsu Technology, Inc. Isolation valves
US6192827B1 (en) 1998-07-03 2001-02-27 Applied Materials, Inc. Double slit-valve doors for plasma processing
US6431807B1 (en) 1998-07-10 2002-08-13 Novellus Systems, Inc. Wafer processing architecture including single-wafer load lock with cooling unit
US6286230B1 (en) 1998-07-13 2001-09-11 Applied Komatsu Technology, Inc. Method of controlling gas flow in a substrate processing system
US6016611A (en) 1998-07-13 2000-01-25 Applied Komatsu Technology, Inc. Gas flow control in a substrate processing system
US20040119240A1 (en) 1998-10-26 2004-06-24 Teijin Seiki Co., Ltd. Sealing mechanism for sealing a vacuum chamber
US6382895B1 (en) 1998-12-28 2002-05-07 Anelva Corporation Substrate processing apparatus
US6106634A (en) 1999-02-11 2000-08-22 Applied Materials, Inc. Methods and apparatus for reducing particle contamination during wafer transport
US6145673A (en) 1999-03-31 2000-11-14 Applied Materials, Inc. Wafer transfer cassette
US6610150B1 (en) 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
US6486444B1 (en) 1999-06-03 2002-11-26 Applied Materials, Inc. Load-lock with external staging area
US6318945B1 (en) 1999-07-28 2001-11-20 Brooks Automation, Inc. Substrate processing apparatus with vertically stacked load lock and substrate transport robot
US6568899B1 (en) 1999-11-30 2003-05-27 Wafermasters, Inc. Wafer processing system including a robot
US6558509B2 (en) 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6410455B1 (en) 1999-11-30 2002-06-25 Wafermasters, Inc. Wafer processing system
US6602797B2 (en) 1999-11-30 2003-08-05 Wafermasters, Inc. Wafer processing method
US6949143B1 (en) 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
US20020034886A1 (en) 2000-09-15 2002-03-21 Applied Materials, Inc. Double dual slot load lock for process equipment
US6609869B2 (en) 2001-01-04 2003-08-26 Asm America Transfer chamber with integral loadlock and staging station
US20020137346A1 (en) 2001-03-12 2002-09-26 Applied Materials. Inc. Workpiece distribution and processing in a high throughput stacked frame
US20020159864A1 (en) 2001-04-30 2002-10-31 Applied Materials, Inc. Triple chamber load lock
US20040149210A1 (en) 2001-05-17 2004-08-05 Fink Steven T Cylinder-based plasma processing system
US20030026677A1 (en) 2001-08-03 2003-02-06 Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd) High-pressure process apparatus
KR20040013294A (en) 2002-08-05 2004-02-14 삼성전자주식회사 Dry etching apparatus for manufacturing semiconductor device
US20040206921A1 (en) * 2003-04-17 2004-10-21 Applied Materials, Inc. Slit valve method and apparatus
US20050095088A1 (en) 2003-10-20 2005-05-05 Applied Materials, Inc. Load lock chamber for large area substrate processing system
US20050285992A1 (en) 2004-06-02 2005-12-29 Applied Materials, Inc. Methods and apparatus for sealing a chamber
US20060028596A1 (en) 2004-06-02 2006-02-09 Leung Billy C Methods and apparatus for providing a floating seal for chamber doors
US20110120017A1 (en) * 2004-06-02 2011-05-26 Applied Materials, Inc. Variable seal pressure slit valve doors for semiconductor manufacturing equipment
US7282097B2 (en) * 2004-06-14 2007-10-16 Applied Materials, Inc. Slit valve door seal
CN1789485B (en) 2004-08-02 2011-12-07 应用材料股份有限公司 Methods and apparatus for providing a floating seal for chamber doors
US20070166133A1 (en) * 2006-01-13 2007-07-19 Applied Materials, Inc. Decoupled chamber body

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
CN Office Action No. 200780027858.5 dated Jan. 29, 2010.
PCT Search Report and Written Opinion in PCT/US07/75190 dated Jul. 8, 2008, 9 pages.

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11610787B2 (en) * 2007-05-18 2023-03-21 Brooks Automation Us, Llc Load lock fast pump vent
US20130153547A1 (en) * 2010-07-02 2013-06-20 Kazuhiko Katsumata Multi-chamber heat treatment device
US20150303085A1 (en) * 2014-04-17 2015-10-22 Lam Research Corporation Processing chamber with features from side wall
US9484243B2 (en) * 2014-04-17 2016-11-01 Lam Research Corporation Processing chamber with features from side wall
US20180047598A1 (en) * 2016-08-10 2018-02-15 Lam Research Corporation Platform architecture to improve system productivity
US10559483B2 (en) * 2016-08-10 2020-02-11 Lam Research Corporation Platform architecture to improve system productivity
US10991600B2 (en) 2017-06-19 2021-04-27 Samsung Electronics Co., Ltd. Process chamber and substrate processing apparatus including the same
US11610788B2 (en) 2017-06-19 2023-03-21 Samsung Electronics Co., Ltd. Process chamber and substrate processing apparatus including the same

Also Published As

Publication number Publication date
JP2010500740A (en) 2010-01-07
WO2008019317A3 (en) 2008-09-18
TWI393206B (en) 2013-04-11
US20080087214A1 (en) 2008-04-17
KR101323224B1 (en) 2013-10-30
WO2008019317A2 (en) 2008-02-14
JP5745764B2 (en) 2015-07-08
CN101496158B (en) 2011-08-17
CN101496158A (en) 2009-07-29
KR20090046917A (en) 2009-05-11
CN102254791A (en) 2011-11-23
TW200816355A (en) 2008-04-01

Similar Documents

Publication Publication Date Title
US8124907B2 (en) Load lock chamber with decoupled slit valve door seal compartment
US7822324B2 (en) Load lock chamber with heater in tube
US7665951B2 (en) Multiple slot load lock chamber and method of operation
US7845891B2 (en) Decoupled chamber body
US7651315B2 (en) Large area substrate transferring method for aligning with horizontal actuation of lever arm
US20070006936A1 (en) Load lock chamber with substrate temperature regulation
US20060151735A1 (en) Curved slit valve door with flexible coupling
CN116411265A (en) Chemical vapor deposition device and method thereof
US20090060687A1 (en) Transfer chamber with rolling diaphragm
KR20200134460A (en) Apparatus for processing substrate

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LEE, JAE-CHULL`;KURITA, SHINICHI;ANWAR, SUHAIL;REEL/FRAME:020167/0509;SIGNING DATES FROM 20071005 TO 20071017

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LEE, JAE-CHULL`;KURITA, SHINICHI;ANWAR, SUHAIL;SIGNING DATES FROM 20071005 TO 20071017;REEL/FRAME:020167/0509

ZAAA Notice of allowance and fees due

Free format text: ORIGINAL CODE: NOA

ZAAB Notice of allowance mailed

Free format text: ORIGINAL CODE: MN/=.

STCF Information on status: patent grant

Free format text: PATENTED CASE

CC Certificate of correction
FPAY Fee payment

Year of fee payment: 4

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8

FEPP Fee payment procedure

Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

LAPS Lapse for failure to pay maintenance fees

Free format text: PATENT EXPIRED FOR FAILURE TO PAY MAINTENANCE FEES (ORIGINAL EVENT CODE: EXP.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362