USRE35671E - Predictive capacitance layout method for integrated circuits - Google Patents

Predictive capacitance layout method for integrated circuits Download PDF

Info

Publication number
USRE35671E
USRE35671E US08/683,618 US68361896A USRE35671E US RE35671 E USRE35671 E US RE35671E US 68361896 A US68361896 A US 68361896A US RE35671 E USRE35671 E US RE35671E
Authority
US
United States
Prior art keywords
capacitance
predictive
wire length
nets
net
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
US08/683,618
Inventor
Mark R. Hartoog
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Philips Semiconductors Inc
Lineage Overseas Corp
Original Assignee
VLSI Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by VLSI Technology Inc filed Critical VLSI Technology Inc
Priority to US08/683,618 priority Critical patent/USRE35671E/en
Application granted granted Critical
Publication of USRE35671E publication Critical patent/USRE35671E/en
Assigned to LINEAGE OVERSEAS CORP. reassignment LINEAGE OVERSEAS CORP. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: TYCO ELECTRONICS LOGISTICS AG
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3308Design verification, e.g. functional simulation or model checking using simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing

Definitions

  • the present invention generally relates to methods for laying out integrated circuits.
  • a standard cell within an application-specific integrated circuit may comprise, for example, one or more random access memories (RAMs), read-only memories (ROMs), or arithmetic logic units.
  • RAMs random access memories
  • ROMs read-only memories
  • arithmetic logic units arithmetic logic units
  • signal delays can arise from various sources, including the resistance and capacitance of wires that connect elements within the circuits. Also, delays through gates in integrated circuits depend upon the loads driven by the gates drive and upon the quantity of interconnecting logic. Signal delays increase as integrated circuits become denser (i.e., contain increased numbers of elements).
  • Computer-based simulations are often used for estimating signal delays in integrated circuits during design.
  • a computer program solves systems of nonlinear differential equations that are derived from the state equations which describe an integrated circuit.
  • Such simulation programs require substantial computational time and data storage and, therefore, are impractical for routine analysis of most integrated circuits of the LSI and VLSI class.
  • a technique called “macro-medelling” has also been used by circuit designers for simulating LSI and VLSI integrated circuits.
  • macrocells of an integrated circuit design are modelled as a small number of "standard" blocks, each of whose electrical characteristics are pre-characterized by, for example, circuit simulation.
  • a block in a macro-modelled circuit might be, for example, a NAND gate.
  • the pre-characterization of the block might provide a simple rule for calculating the delay at the block output as a function of the driven capacitance and of the signals arriving at input pins of the block.
  • a system such as a logic simulator or timing verifier can predict the delay through the block.
  • nets refers to sets of two or more circuit nodes, such as the inputs or outputs of a gate, which are joined by an interconnection.
  • fanout one net refers to a circuit layout net wherein a single output node of a circuit net directly drives only one other circuit net.
  • One problem with using conventional techniques using predictive capacitance for estimating interconnect timing delays in integrated circuits is that, after placing and routing an integrated circuit, some nets in the circuit may have capacitances substantially larger than the predicted values. In fact, the actual capacitances may exceed the predicted values even if the majority of the nets in a circuit have capacitances that are substantially smaller than their predicted values. In such cases, the majority of nets in the circuit may run faster than expected while some of the nets run much slower. Such operating speed differentials can cause timing problems which, in turn, require either manual rerouting or redesign of a circuit.
  • timing-driven layout techniques can be used.
  • timing constraints are employed while placing and routing an integrated circuit. This usually involves determining timing requirements between input and output signals, and using a timing analysis tool to convert the timing requirements into layout constraints. The layout constraints can be revised as more information becomes available concerning the layout appearance. Timing-driven layout techniques are described by Michel Burnstein and Mary N. Youssef in an article entitled “Timing Influenced Layout Design” IEEE Paper 9.2, 22nd Design Automation Conference, 1982, pages 124-130.
  • Timing driven layout techniques cannot, however, simultaneously satisfy a large number of critical paths in an integrated circuit. Because of this limitation, circuit designers cannot specify all critical paths in large circuits without causing the timing analysis tools (which specify maximum lengths for nets) to be too slow for practical use. Consequently, timing driven layout techniques have not achieved widespread use.
  • the present invention provides a technique for designing circuit layouts to achieve specified maximum delay times through the circuits. More particularly, the present invention provides a method for designing circuit layouts comprising the steps of: supplying a predictive capacitance value for at least one net of a circuit layout, and placing and routing all nets of the circuit layout using the at least one predictive capacitance value as a layout design constraint. Because the predictive capacitance value corresponds closely with actual interconnection capacitances, the method of the present invention significantly reduces the need for manual intervention while layout circuits.
  • predictive interconnect capacitance is statistically determined for different types of integrated circuit elements such as, for example, gate array circuits and cell-based circuits.
  • the components that compose a given circuit layout usually can be classified according to their fanout nets. Then, different predictive interconnect capacitances would be statistically determined for fanout one nets, fanout two nets, fanout three nets, and so forth.
  • predictive interconnect capacitance values for each type of circuit layout net could be determined using a histogram comprised of previously placed and routed circuit designs of similar size.
  • FIG. 1 is a histogram of interconnect capacitances of fanout one nets for a given circuit
  • FIGS. 2A and 2B show a comparison between the initial capacitance distribution of a circuit and the capacitance distribution that results from using a predictive capacitance driven layout technique in accordance with the method of the present invention.
  • FIGS. 3a-d are a flowchart of the method for laying out circuits in accordance with the present invention.
  • FIG. 1 shows an example of an interconnect capacitance histogram for a fanout one net of an integrated circuit.
  • the predictive capacitance could be chosen as the value along the abscissa of the histogram of FIG. 1 indicated by the arrow. This value would define a range wherein a given percentage of the fanout one nets are expected to fall, and could be used to predict the capacitance of all fanout one nets prior to routing.
  • c 1 and c 2 are constants. These constants normally are determined from statistics compiled from previous designs.
  • the value of the variable FANOUT in the equation represents the number of inputs to other cells that are driven by a given output.
  • the value of the predictive capacitance, C, chosen for any given histogram depends upon the desired performance accuracy of circuit layouts.
  • the predictive capacitance value is chosen to define a range including eighty percent of the nets in the histogram. In terms of FIG. 1, eighty percent of the nets in the histogram would fall between a range of zero and the selected predictive capacitance value, while a few nets have values as large as four or five times the predictive value.
  • FIG. 3a at block 300 a predictive capacitance value is supplied for at least one net of a circuit layout.
  • a predictive capacitance value itself can be used for circuit layout design
  • such a value (or values) is usually converted to a form that can be directly used by a place-and-route system.
  • the predictive capacitance determined from the histogram of FIG. 1 can be convened to a wire length value.
  • a value of an average capacitance per unit wire length can be computed for the circuit layout under consideration. Then, the predictive capacitance for each type of circuit net is converted into a maximum wire length value by dividing each predictive capacitance value by the average capacitance per unit wire length.
  • a circuit layout place-and-route system can begin to place and route cells.
  • the place-and-route system shown in FIG. 3a block 310, can be, for example, the one described in the article "Timing Influenced Layout Design," except that the system will be driven by the predictive capacitance supplied to the system.
  • the place-and-route system After placing and routing at least one cell (block 500), the place-and-route system is used for estimating wire length and capacitance for each net in the partially completed circuit layout (block 510). (If wire length is used as the predicted parameter, an estimated capacitance due to wire length need not be determined). Then, at block 520, the place-and-route system compares the estimated capacitance (or wire length) of each net with the predictive capacitance or (maximum wire length) for that net.
  • the results of the above-described comparison can substantially reduce the number of nets whose estimated capacitance (or wire length) exceeds the statistically determined value.
  • such reduction shown in FIG. 3d is achieved by assigning weights to the nets such that larger weights are assigned to nets whose estimated capacitance exceeds their statistically determined predictive capacitance (block 600), while lower weights are assigned to nets whose estimated capacitance is less than their statistically determined predictive capacitance (block 610).
  • the weights assigned to each net can be proportional to the magnitude of the difference between the estimated capacitance and the statistically determined predictive capacitance for that net.
  • weights can be assigned to each net on the basis of the difference between the estimated wire length and the statistically determined maximum wire length of that net.
  • a cost function is used to reduce the number of circuit paths whose total estimated capacitance or wire length exceeds the total predicted capacitance or wire length. This can be done, for example, by assigning a cost value to each net prior to placing and routing the net.
  • the assigned cost values can, for example, be directly related to the delay criticality of the path in which a net resides to the overall circuit layout. If a place-and-route system is unable to provide all nets with estimated capacitance (or wire length) values lower than the predictive values, increases in the estimated capacitances (or wire lengths) associated with nets having lower cost will be permitted in order to accommodate the higher cost nets.
  • a path through a circuit layout will be composed of a number of nets. If a given net in a given circuit layout path possesses an estimated capacitance (or wire length) which exceeds the predictive value by a negligible amount, the error may be balanced by other nets along the path having estimated capacitance (or wire length) values significantly less than the statistically determined predictive value. Thus, by assigning a weighted cost to each net, an acceptable total path signal delay can be obtained (block 620).
  • an equal cost value is applied to all nets before a circuit layout is placed and routed.
  • the estimated capacitance (or wire length) values for an initial circuit layout is compared with the predictive capacitance or maximum wire length values for that net, thereby ensuring that the predictive capacitance or maximum wire length value is not exceeded. If the predictive value is exceeded, alternative placements (e.g., circuit net partitions) can be examined for that net, with the placement providing the smallest difference being chosen.
  • each net is assigned a weight on the basis of its location. Then, the cost of each net is multiplied by its weight. The next circuit layout net is then placed and routed, and the weight assignment step is performed again. The steps of placing, comparing and assigning weights are repeated until all nets in the design have been placed and routed (blocks 500-530).
  • the estimated capacitance (or wire length) of any placed net exceeds its predictive value by the minimum necessary for all circuit nets to be placed and routed in the circuit layout.
  • the cost function will, therefore, minimize differences between estimated and predictive values throughout the circuit layout paths such that the minimum total cost for the entire circuit layout can be obtained.
  • the above-described techniques substantially reduce the number of nets whose actual capacitance would appear to the extreme right in a histogram such as shown in FIG. 1.
  • the above-described techniques substantially increase the probability that total path delays comply with the performance expected from the predictive capacitances values.
  • FIGS. 2A and 2B show a comparison of an initial capacitance distribution for a given circuit layout with a distribution after use of a predictive capacitance driven layout.
  • line 2 represents the original distribution of capacitances for a given circuit layout
  • line 4 represents the distribution resulting from use of the predictive capacitance driven layout.
  • FIG. 2A it is evident that the distribution of capacitances for nets having less than the predictive capacitance value, as represented by the arrow 6, has been flattened.
  • FIG. 2B shows that the layout using the predictive capacitance method has reduced the number of nets having capacitances much larger than the predictive capacitance value indicated by the arrow 6.
  • circuit layouts according to the above-described procedure are likely to have fewer nets having capacitances that substantially exceed the predictive capacitance values for that type of net.
  • circuit logic can be designed and simulated prior to layout with increased confidence that the logic will meet pre-layout predictive performance criteria.
  • the above-described techniques significantly reduce the time and expense of laying out complex integrated circuits.
  • the present invention is not limited to the design of gate array circuits or standard cell circuits but, instead, is equally applicable to transistor-level simulations, where the driving device is a transistor or a collection of transistors, as well as to the circuit layout design of a printed circuit board. Further, the method is equally applicable to analog circuit layout simulation.
  • specific reference will be made in the following description to fanout one nets, it would be readily apparent to one skilled in the art that the present invention is equally applicable to the design of circuit layouts which include a variety of fanout nets having any number of outputs.

Abstract

A method for designing a circuit layout which includes the steps of supplying a predictive capacitance value for at least one net of a circuit layout, and placing and routing all nets of the circuit layout using at least one predictive capacitance value as a layout design constraint.

Description

BACKGROUND OF THE INVENTION
1. Field of the Invention
The present invention generally relates to methods for laying out integrated circuits.
2. State of the Art
It is well known to use computerized systems for laying out integrated circuits such as application-specific integrated circuits that include a number of functional blocks or "cells." A standard cell within an application-specific integrated circuit may comprise, for example, one or more random access memories (RAMs), read-only memories (ROMs), or arithmetic logic units. Daring the design of integrated circuits, it is usually necessary to determine the signal delays. Although signal delays can be determined by testing prototype of integrated circuits, prototypes are usually expensive. Accordingly, it is desirable to develop techniques for calculating signal delays prior to laying out integrated circuits.
In practice, however, it is difficult to calculate signal delays in integrated circuits. In part, the difficulties arise because the computational techniques, to be of practical and convenient, must be capable of computing all interconnect delays in integrated circuits having, for example, one-hundred thousand transistors by using a desktop work station over a time period less than about one hour.
In integrated circuits, signal delays can arise from various sources, including the resistance and capacitance of wires that connect elements within the circuits. Also, delays through gates in integrated circuits depend upon the loads driven by the gates drive and upon the quantity of interconnecting logic. Signal delays increase as integrated circuits become denser (i.e., contain increased numbers of elements).
Computer-based simulations are often used for estimating signal delays in integrated circuits during design. In one common simulation method, a computer program solves systems of nonlinear differential equations that are derived from the state equations which describe an integrated circuit. Such simulation programs require substantial computational time and data storage and, therefore, are impractical for routine analysis of most integrated circuits of the LSI and VLSI class.
A technique called "macro-medelling" has also been used by circuit designers for simulating LSI and VLSI integrated circuits. According to this technique, macrocells of an integrated circuit design are modelled as a small number of "standard" blocks, each of whose electrical characteristics are pre-characterized by, for example, circuit simulation. A block in a macro-modelled circuit might be, for example, a NAND gate. In this example, the pre-characterization of the block might provide a simple rule for calculating the delay at the block output as a function of the driven capacitance and of the signals arriving at input pins of the block. Using the pro-characterization rule, a system such as a logic simulator or timing verifier can predict the delay through the block.
In current practice, most logic simulators employ macro-modelling to compute delays through integrated circuits as functions of total capacitive load. These logic simulators typically perform their functions without explicitly accounting for the resistance of interconnecting paths in the simulated circuits.
Because the length of interconnecting paths in an integrated circuit normally are not known until after a physical design of the circuit has been completed, standard design practice is to use "predictive" capacitance to approximate timing delays caused by interconnecting wires in the circuit nets. (In this context, the term "nets" refers to sets of two or more circuit nodes, such as the inputs or outputs of a gate, which are joined by an interconnection.) The term "fanout one net" refers to a circuit layout net wherein a single output node of a circuit net directly drives only one other circuit net.
One problem with using conventional techniques using predictive capacitance for estimating interconnect timing delays in integrated circuits is that, after placing and routing an integrated circuit, some nets in the circuit may have capacitances substantially larger than the predicted values. In fact, the actual capacitances may exceed the predicted values even if the majority of the nets in a circuit have capacitances that are substantially smaller than their predicted values. In such cases, the majority of nets in the circuit may run faster than expected while some of the nets run much slower. Such operating speed differentials can cause timing problems which, in turn, require either manual rerouting or redesign of a circuit.
To avoid the above-discussed drawbacks, "timing-driven layout" techniques can be used. In such techniques, timing constraints are employed while placing and routing an integrated circuit. This usually involves determining timing requirements between input and output signals, and using a timing analysis tool to convert the timing requirements into layout constraints. The layout constraints can be revised as more information becomes available concerning the layout appearance. Timing-driven layout techniques are described by Michel Burnstein and Mary N. Youssef in an article entitled "Timing Influenced Layout Design" IEEE Paper 9.2, 22nd Design Automation Conference, 1982, pages 124-130.
Timing driven layout techniques cannot, however, simultaneously satisfy a large number of critical paths in an integrated circuit. Because of this limitation, circuit designers cannot specify all critical paths in large circuits without causing the timing analysis tools (which specify maximum lengths for nets) to be too slow for practical use. Consequently, timing driven layout techniques have not achieved widespread use.
SUMMARY OF THE INVENTION
Generally speaking, the present invention provides a technique for designing circuit layouts to achieve specified maximum delay times through the circuits. More particularly, the present invention provides a method for designing circuit layouts comprising the steps of: supplying a predictive capacitance value for at least one net of a circuit layout, and placing and routing all nets of the circuit layout using the at least one predictive capacitance value as a layout design constraint. Because the predictive capacitance value corresponds closely with actual interconnection capacitances, the method of the present invention significantly reduces the need for manual intervention while layout circuits.
As will be described in greater detail in the following, predictive interconnect capacitance is statistically determined for different types of integrated circuit elements such as, for example, gate array circuits and cell-based circuits. The components that compose a given circuit layout usually can be classified according to their fanout nets. Then, different predictive interconnect capacitances would be statistically determined for fanout one nets, fanout two nets, fanout three nets, and so forth. For example, predictive interconnect capacitance values for each type of circuit layout net could be determined using a histogram comprised of previously placed and routed circuit designs of similar size.
BRIEF DESCRIPTION OF THE DRAWINGS
The present invention can be further understood with reference to the following description in conjunction with the appended drawings. In the drawings:
FIG. 1 is a histogram of interconnect capacitances of fanout one nets for a given circuit; and,
FIGS. 2A and 2B show a comparison between the initial capacitance distribution of a circuit and the capacitance distribution that results from using a predictive capacitance driven layout technique in accordance with the method of the present invention; and
FIGS. 3a-d are a flowchart of the method for laying out circuits in accordance with the present invention.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
FIG. 1 shows an example of an interconnect capacitance histogram for a fanout one net of an integrated circuit. Using such a net as an example, the predictive capacitance could be chosen as the value along the abscissa of the histogram of FIG. 1 indicated by the arrow. This value would define a range wherein a given percentage of the fanout one nets are expected to fall, and could be used to predict the capacitance of all fanout one nets prior to routing.
To determine the predictive capacitance C, a formula such as the following can be used:
C=c.sub.1 +c.sub.2 *FANOUT
In the foregoing formula, c1 and c2 are constants. These constants normally are determined from statistics compiled from previous designs. The value of the variable FANOUT in the equation represents the number of inputs to other cells that are driven by a given output.
The value of the predictive capacitance, C, chosen for any given histogram depends upon the desired performance accuracy of circuit layouts. Preferably, the predictive capacitance value is chosen to define a range including eighty percent of the nets in the histogram. In terms of FIG. 1, eighty percent of the nets in the histogram would fall between a range of zero and the selected predictive capacitance value, while a few nets have values as large as four or five times the predictive value.
A preferred embodiment of the present method for utilizing predictive capacitance to lay out a circuit design will now be described with reference to FIGS. 3a through 3d. Referring now to FIG. 3a, at block 300 a predictive capacitance value is supplied for at least one net of a circuit layout.
Although a predictive capacitance value itself can be used for circuit layout design, such a value (or values) is usually converted to a form that can be directly used by a place-and-route system. For example, the predictive capacitance determined from the histogram of FIG. 1 can be convened to a wire length value. Thus, in FIG. 3b, blocks 400-420, using previously placed and routed circuit designs, a value of an average capacitance per unit wire length can be computed for the circuit layout under consideration. Then, the predictive capacitance for each type of circuit net is converted into a maximum wire length value by dividing each predictive capacitance value by the average capacitance per unit wire length.
Having determined a predictive capacitance value (or maximum wire length value) for each circuit layout net, a circuit layout place-and-route system can begin to place and route cells. The place-and-route system, shown in FIG. 3a block 310, can be, for example, the one described in the article "Timing Influenced Layout Design," except that the system will be driven by the predictive capacitance supplied to the system.
The place-and-route system will now be described in more detail with reference to FIG. 3c. After placing and routing at least one cell (block 500), the place-and-route system is used for estimating wire length and capacitance for each net in the partially completed circuit layout (block 510). (If wire length is used as the predicted parameter, an estimated capacitance due to wire length need not be determined). Then, at block 520, the place-and-route system compares the estimated capacitance (or wire length) of each net with the predictive capacitance or (maximum wire length) for that net.
As shown in block 530, the results of the above-described comparison can substantially reduce the number of nets whose estimated capacitance (or wire length) exceeds the statistically determined value. Preferably, such reduction shown in FIG. 3d, is achieved by assigning weights to the nets such that larger weights are assigned to nets whose estimated capacitance exceeds their statistically determined predictive capacitance (block 600), while lower weights are assigned to nets whose estimated capacitance is less than their statistically determined predictive capacitance (block 610). For example, the weights assigned to each net can be proportional to the magnitude of the difference between the estimated capacitance and the statistically determined predictive capacitance for that net. Similarly, if wire length values are used, weights can be assigned to each net on the basis of the difference between the estimated wire length and the statistically determined maximum wire length of that net.
In practice, a cost function is used to reduce the number of circuit paths whose total estimated capacitance or wire length exceeds the total predicted capacitance or wire length. This can be done, for example, by assigning a cost value to each net prior to placing and routing the net. The assigned cost values can, for example, be directly related to the delay criticality of the path in which a net resides to the overall circuit layout. If a place-and-route system is unable to provide all nets with estimated capacitance (or wire length) values lower than the predictive values, increases in the estimated capacitances (or wire lengths) associated with nets having lower cost will be permitted in order to accommodate the higher cost nets.
Typically, a path through a circuit layout will be composed of a number of nets. If a given net in a given circuit layout path possesses an estimated capacitance (or wire length) which exceeds the predictive value by a negligible amount, the error may be balanced by other nets along the path having estimated capacitance (or wire length) values significantly less than the statistically determined predictive value. Thus, by assigning a weighted cost to each net, an acceptable total path signal delay can be obtained (block 620).
Preferably, an equal cost value is applied to all nets before a circuit layout is placed and routed. To begin placing and routing cells within a layout, the estimated capacitance (or wire length) values for an initial circuit layout is compared with the predictive capacitance or maximum wire length values for that net, thereby ensuring that the predictive capacitance or maximum wire length value is not exceeded. If the predictive value is exceeded, alternative placements (e.g., circuit net partitions) can be examined for that net, with the placement providing the smallest difference being chosen.
Following placement of an initial circuit layout net, each net is assigned a weight on the basis of its location. Then, the cost of each net is multiplied by its weight. The next circuit layout net is then placed and routed, and the weight assignment step is performed again. The steps of placing, comparing and assigning weights are repeated until all nets in the design have been placed and routed (blocks 500-530). Thus, the estimated capacitance (or wire length) of any placed net exceeds its predictive value by the minimum necessary for all circuit nets to be placed and routed in the circuit layout. The cost function will, therefore, minimize differences between estimated and predictive values throughout the circuit layout paths such that the minimum total cost for the entire circuit layout can be obtained.
At this juncture, it can be understood that the above-described techniques substantially reduce the number of nets whose actual capacitance would appear to the extreme right in a histogram such as shown in FIG. 1. Thus, the above-described techniques substantially increase the probability that total path delays comply with the performance expected from the predictive capacitances values.
FIGS. 2A and 2B show a comparison of an initial capacitance distribution for a given circuit layout with a distribution after use of a predictive capacitance driven layout. In FIG. 2A, line 2 represents the original distribution of capacitances for a given circuit layout, and line 4 represents the distribution resulting from use of the predictive capacitance driven layout.
In FIG. 2A, it is evident that the distribution of capacitances for nets having less than the predictive capacitance value, as represented by the arrow 6, has been flattened. FIG. 2B shows that the layout using the predictive capacitance method has reduced the number of nets having capacitances much larger than the predictive capacitance value indicated by the arrow 6. Thus, circuit layouts according to the above-described procedure are likely to have fewer nets having capacitances that substantially exceed the predictive capacitance values for that type of net. Further, circuit logic can be designed and simulated prior to layout with increased confidence that the logic will meet pre-layout predictive performance criteria. Thus, the above-described techniques significantly reduce the time and expense of laying out complex integrated circuits.
The foregoing has described the principles, preferred embodiments and modes of operation of the present invention. However, the invention should not be construed as limited to the particular embodiments discussed. Instead, the above-described embodiments should be regarded as illustrative rather than restrictive, and it should be appreciated that variations may be made in those embodiments by workers skilled in the art without departing from the scope of present invention as defined by the following claims.
For example, although particular reference has been made to a method of custom designing of application-specific integrated circuits using a gate array block compiler system, the present invention is not limited to the design of gate array circuits or standard cell circuits but, instead, is equally applicable to transistor-level simulations, where the driving device is a transistor or a collection of transistors, as well as to the circuit layout design of a printed circuit board. Further, the method is equally applicable to analog circuit layout simulation. In addition, although specific reference will be made in the following description to fanout one nets, it would be readily apparent to one skilled in the art that the present invention is equally applicable to the design of circuit layouts which include a variety of fanout nets having any number of outputs.

Claims (15)

What is claimed is:
1. A method for designing circuit layouts, including simulating a circuit on a computer, comprising the steps of:
supplying a predictive capacitance value to the computer for at least one net of a circuit layout by calculating an average capacitance per unit wire length for the circuit layout and converting, via the average capacitance per unit wire length, the predictive capacitance for the at least one net of the circuit layout into a maximum wire length value for use as the layout design constraint;
using the estimated wire length value in the computer for predicting the performance of the circuit prior to the layout;
placing and routing all nets of the circuit layout on the simulated circuit using the at least one predictive capacitance value as a layout design constraint during the placing and routing.
2. The method of claim 1, wherein the step of supplying includes the step of:
statistically determining predictive capacitances for different types of circuit nets based on at least one prior circuit design.
3. The method of claim 1, wherein predictive capacitance values are supplied for all nets of the circuit layout, and all of the predictive capacitance values are used as layout design constraints.
4. The method of claim 3, wherein the step of supplying includes the step of statistically determining predictive capacitance values for different types of circuit nets based on at least one prior circuit design.
5. The method of claim 4, wherein the step of supplying further includes the step of:
converting, via the average capacitance per unit wire length, the predictive capacitances for all nets of the circuit layout into maximum wire length values for use as the layout design constraints, respectively.
6. The method of claim 4, wherein the step of placing and routing further includes steps of:
estimating a capacitance value for each net placed in the circuit layout;
comparing the estimated capacitance for each net placed in the circuit layout with the statistically determined predictive capacitance for that net; and
minimizing the number of nets whose estimated capacitance exceeds their respective statistically determined predictive capacitance.
7. The method of claim 6, wherein the step of minimizing uses a cost evaluation function.
8. The method of claim 6, wherein the step of minimizing includes the steps of:
assigning first weights to nets whose estimated capacitance exceeds their statistically determined predictive capacitance;
assigning second weights, lower than the first weights, to nets whose estimated capacitance is less than their statistically determined predictive capacitance; and,
locating the nets in the circuit layout, with nets assigned the first weights receiving priority.
9. The method of claim 8, wherein the steps of comparing and minimizing are repeated until all nets have been placed and routed in the circuit layout, and a cost function value for the circuit layout is minimized.
10. The method according to claim 9, wherein the cost function value reflects the number of nets whose estimated capacitance exceeds their respective statistically determined predictive capacitance and the magnitude by which the statistically determined predictive capacitance has been exceeded.
11. The method according to claim 10, wherein the statistically determined predictive capacitances and the estimated capacitances are formed as statistically determined wire lengths and estimated wire lengths, respectively, the statistically determined wire lengths and the estimated wire lengths being used in place of the statistically determined predictive capacitances and the estimated capacitances during the steps of comparing and minimizing.
12. The method of claim 1, wherein the circuit layout is a standard cell integrated circuit.
13. The method of claim 1, wherein the circuit layout is a gate array integrated circuit.
14. A method for designing an integrated circuit layout, including simulating an integrated circuit on a computer, comprising the steps of:
statistically determining a predictive interconnect capacitance for different types of integrated circuit layout nets;
on the computer, calculating an average capacitance per unit wire length for the integrated circuit layout;
on the computer, converting the predictive interconnect capacitance for each different type of net into a maximum wire length value using the calculated average capacitance;
placing at least one net at a desired location in an integrated circuit layout design on the simulated integrated circuit, and estimating wire length of each net on the basis of the placement;
on the computer, comparing the estimated wire length of each net with the maximum wire length for that net;
on the computer, assigning first weights to nets whose estimated wire length value exceeds its maximum wire length value and assigning second weights, lower than the first weights, to nets whose estimated wire length value is less than its maximum wire length value; and,
repeating the steps of placing, comparing and assigning until all nets have been placed in the integrated circuit layout design on the simulated integrated circuit.
15. A computer implemented method for designing an integrated circuit layout comprising the computer implemented steps of:
statistically determining a predictive interconnect capacitance for different types of integrated circuit layout nets;
calculating an average capacitance per unit wire length for the integrated circuit layout;
converting the predictive interconnect capacitance for each different type of net into a maximum wire length value using the calculated average capacitance;
placing at least one net at a desired location in an integrated circuit layout design, and estimating wire length of each net on the basis of the placement;
comparing the estimated wire length of each net with the maximum wire length for that net;
assigning first weights to nets whose estimated wire length value exceeds its maximum wire length value and assigning second weights, lower than the first weights, to nets whose estimated wire length value is less than its maximum wire length value; and,
repeating the steps of placing, comparing and assigning until all nets have been placed in the integrated circuit layout design.
US08/683,618 1990-12-13 1996-07-17 Predictive capacitance layout method for integrated circuits Expired - Fee Related USRE35671E (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US08/683,618 USRE35671E (en) 1990-12-13 1996-07-17 Predictive capacitance layout method for integrated circuits

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US07/627,085 US5367469A (en) 1990-12-13 1990-12-13 Predictive capacitance layout method for integrated circuits
US08/683,618 USRE35671E (en) 1990-12-13 1996-07-17 Predictive capacitance layout method for integrated circuits

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US07/627,085 Reissue US5367469A (en) 1990-12-13 1990-12-13 Predictive capacitance layout method for integrated circuits

Publications (1)

Publication Number Publication Date
USRE35671E true USRE35671E (en) 1997-11-25

Family

ID=24513133

Family Applications (2)

Application Number Title Priority Date Filing Date
US07/627,085 Ceased US5367469A (en) 1990-12-13 1990-12-13 Predictive capacitance layout method for integrated circuits
US08/683,618 Expired - Fee Related USRE35671E (en) 1990-12-13 1996-07-17 Predictive capacitance layout method for integrated circuits

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US07/627,085 Ceased US5367469A (en) 1990-12-13 1990-12-13 Predictive capacitance layout method for integrated circuits

Country Status (1)

Country Link
US (2) US5367469A (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5879021A (en) * 1996-04-09 1999-03-09 Decoma International Inc. Expandable painting wagon
US5923565A (en) * 1997-01-02 1999-07-13 Vlsi Technology, Inc. Apparatus and method for extracting capacitance in the presence of two ground planes
US6675139B1 (en) 1999-03-16 2004-01-06 Lsi Logic Corporation Floor plan-based power bus analysis and design tool for integrated circuits
US6832182B1 (en) 1999-04-08 2004-12-14 Transim Technology Corporation Circuit simulator
US6917849B1 (en) * 2002-12-18 2005-07-12 Advanced Micro Devices, Inc. Method and apparatus for predicting electrical parameters using measured and predicted fabrication parameters
US20060010413A1 (en) * 2004-07-12 2006-01-12 International Business Machines Corporation Methods for placement which maintain optimized behavior, while improving wireability potential
US7016794B2 (en) 1999-03-16 2006-03-21 Lsi Logic Corporation Floor plan development electromigration and voltage drop analysis tool
US7107564B1 (en) * 2001-06-03 2006-09-12 Cadence Design Systems, Inc. Method and apparatus for routing a set of nets

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0793386A (en) * 1993-09-28 1995-04-07 Fujitsu Ltd Lsi package designing system
JPH07129647A (en) * 1993-11-01 1995-05-19 Nec Corp Cad system
US5608645A (en) * 1994-03-17 1997-03-04 Vlsi Technology, Inc. Method of finding a critical path in a circuit by considering the clock skew
US5548747A (en) * 1995-02-10 1996-08-20 International Business Machines Corporation Bit stack wiring channel optimization with fixed macro placement and variable pin placement
US5761080A (en) * 1995-11-22 1998-06-02 International Business Machines Corporation Method and apparatus for modeling capacitance in an integrated circuit
US5666290A (en) * 1995-12-27 1997-09-09 Vlsi Technology, Inc. Interactive time-driven method of component placement that more directly constrains critical paths using net-based constraints
JPH09246391A (en) * 1996-03-06 1997-09-19 Sharp Corp Wiring designing method and device
US5787008A (en) * 1996-04-10 1998-07-28 Motorola, Inc. Simulation corrected sensitivity
US5790415A (en) * 1996-04-10 1998-08-04 Pullela; Satyamurthy Complementary network reduction for load modeling
US5825661A (en) * 1996-05-01 1998-10-20 International Business Machines Corporation Method and apparatus for automatic post-layout optimization of an integrated circuit
US5757653A (en) * 1996-05-16 1998-05-26 International Business Machines Corporation Method and apparatus for dynamically varying net rules
US5838582A (en) * 1996-10-07 1998-11-17 International Business Machines Corporation Method and system for performing parasitic capacitance estimations on interconnect data within an integrated circuit
US5831870A (en) * 1996-10-07 1998-11-03 International Business Machines Corporation Method and system for characterizing interconnect data within an integrated circuit for facilitating parasitic capacitance estimation
US6086238A (en) * 1996-10-07 2000-07-11 International Business Machines Corporation Method and system for shape processing within an integrated circuit layout for parasitic capacitance estimation
JPH10313058A (en) * 1997-05-13 1998-11-24 Toshiba Corp Semiconductor integrated circuit designing device and method therefor and computer readable recording medium for recording semiconductor integrated circuit design program and manufacture of the same circuit
US5845233A (en) * 1997-07-30 1998-12-01 Lucent Technologies, Inc. Method and apparatus for calibrating static timing analyzer to path delay measurements
US6230302B1 (en) * 1998-07-20 2001-05-08 International Business Machines Corporation Method and system for performing timing analysis on an integrated circuit design
US6243653B1 (en) * 1998-08-17 2001-06-05 Vlsi Technology, Inc. Methods and apparatus for extracting parasitic capacitance values from a physical design of an integrated circuit
US6613581B1 (en) * 1999-08-26 2003-09-02 Caliper Technologies Corp. Microfluidic analytic detection assays, devices, and integrated systems
US6323050B1 (en) 2000-10-02 2001-11-27 International Business Machines Corporation Method for evaluating decoupling capacitor placement for VLSI chips
US6883156B1 (en) * 2002-05-31 2005-04-19 Mips Technologies, Inc. Apparatus and method for relative position annotation of standard cell components to facilitate datapath design
JP4464158B2 (en) * 2004-02-13 2010-05-19 キヤノン株式会社 Biochemical reaction cartridge

Citations (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4263651A (en) * 1979-05-21 1981-04-21 International Business Machines Corporation Method for determining the characteristics of a logic block graph diagram to provide an indication of path delays between the blocks
US4615011A (en) * 1983-12-19 1986-09-30 Ibm Iterative method for establishing connections and resulting product
US4694403A (en) * 1983-08-25 1987-09-15 Nec Corporation Equalized capacitance wiring method for LSI circuits
US4698760A (en) * 1985-06-06 1987-10-06 International Business Machines Method of optimizing signal timing delays and power consumption in LSI circuits
US4701860A (en) * 1985-03-07 1987-10-20 Harris Corporation Integrated circuit architecture formed of parametric macro-cells
US4823278A (en) * 1984-07-25 1989-04-18 Fujitsu Limited Method of logic design of integrated circuit
US4827428A (en) * 1985-11-15 1989-05-02 American Telephone And Telegraph Company, At&T Bell Laboratories Transistor sizing system for integrated circuits
US4896272A (en) * 1987-03-31 1990-01-23 Kabushiki Kaisha Toshiba Computer-controlled automatic logic design system for semiconductor integrated circuit devices
US4924430A (en) * 1988-01-28 1990-05-08 Teradyne, Inc. Static timing analysis of semiconductor digital circuits
US4947365A (en) * 1987-07-23 1990-08-07 Kabushiki Kaisha Toshiba Network path trace apparatus and network path trace method
US4967367A (en) * 1988-11-21 1990-10-30 Vlsi Technology, Inc. Synthetic netlist system and method
US5029102A (en) * 1987-06-08 1991-07-02 International Business Machines, Corp. Logical synthesis
US5077676A (en) * 1990-03-30 1991-12-31 International Business Machines Corporation Reducing clock skew in large-scale integrated circuits
US5095454A (en) * 1989-05-25 1992-03-10 Gateway Design Automation Corporation Method and apparatus for verifying timing during simulation of digital circuits
US5111413A (en) * 1989-03-24 1992-05-05 Vantage Analysis Systems, Inc. Computer-aided engineering
US5164907A (en) * 1989-02-15 1992-11-17 Nec Corporation Computer aided design system capable of placing functional blocks with a circuit constraint satisfied
US5191541A (en) * 1990-05-14 1993-03-02 Sun Microsystems, Inc. Method and apparatus to improve static path analysis of digital circuits
US5218551A (en) * 1990-04-30 1993-06-08 International Business Machines Corporation Timing driven placement
US5235521A (en) * 1990-03-30 1993-08-10 International Business Machines Corporation Reducing clock skew in large-scale integrated circuits
US5262959A (en) * 1990-12-07 1993-11-16 Hewlett-Packard Co. Representation and processing of hierarchical block designs
US5274568A (en) * 1990-12-05 1993-12-28 Ncr Corporation Method of estimating logic cell delay time
US5278769A (en) * 1991-04-12 1994-01-11 Lsi Logic Corporation Automatic logic model generation from schematic data base
US5282148A (en) * 1989-05-23 1994-01-25 Vlsi Technology, Inc. Method and apparatus for the design and fabrication of integrated circuits employing logic decomposition algorithms for the timing optimization of multilevel logic
US5287289A (en) * 1990-04-13 1994-02-15 Hitachi, Ltd. Logic synthesis method
US5293327A (en) * 1990-06-25 1994-03-08 Mitsubishi Denki Kabushiki Kaisha Method of logic circuit simulation
US5353433A (en) * 1990-09-06 1994-10-04 Digital Equipment Corporation Method and apparatus for organizing and analyzing timing information
US5406497A (en) * 1990-09-05 1995-04-11 Vlsi Technology, Inc. Methods of operating cell libraries and of realizing large scale integrated circuits using a programmed compiler including a cell library
US5459673A (en) * 1990-10-29 1995-10-17 Ross Technology, Inc. Method and apparatus for optimizing electronic circuits
US5519631A (en) * 1989-03-15 1996-05-21 Fujitsu Limited Method of arranging components in semiconductor device

Patent Citations (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4263651A (en) * 1979-05-21 1981-04-21 International Business Machines Corporation Method for determining the characteristics of a logic block graph diagram to provide an indication of path delays between the blocks
US4694403A (en) * 1983-08-25 1987-09-15 Nec Corporation Equalized capacitance wiring method for LSI circuits
US4615011A (en) * 1983-12-19 1986-09-30 Ibm Iterative method for establishing connections and resulting product
US4823278A (en) * 1984-07-25 1989-04-18 Fujitsu Limited Method of logic design of integrated circuit
US4701860A (en) * 1985-03-07 1987-10-20 Harris Corporation Integrated circuit architecture formed of parametric macro-cells
US4698760A (en) * 1985-06-06 1987-10-06 International Business Machines Method of optimizing signal timing delays and power consumption in LSI circuits
US4827428A (en) * 1985-11-15 1989-05-02 American Telephone And Telegraph Company, At&T Bell Laboratories Transistor sizing system for integrated circuits
US4896272A (en) * 1987-03-31 1990-01-23 Kabushiki Kaisha Toshiba Computer-controlled automatic logic design system for semiconductor integrated circuit devices
US5029102A (en) * 1987-06-08 1991-07-02 International Business Machines, Corp. Logical synthesis
US4947365A (en) * 1987-07-23 1990-08-07 Kabushiki Kaisha Toshiba Network path trace apparatus and network path trace method
US4924430A (en) * 1988-01-28 1990-05-08 Teradyne, Inc. Static timing analysis of semiconductor digital circuits
US4967367A (en) * 1988-11-21 1990-10-30 Vlsi Technology, Inc. Synthetic netlist system and method
US5164907A (en) * 1989-02-15 1992-11-17 Nec Corporation Computer aided design system capable of placing functional blocks with a circuit constraint satisfied
US5519631A (en) * 1989-03-15 1996-05-21 Fujitsu Limited Method of arranging components in semiconductor device
US5111413A (en) * 1989-03-24 1992-05-05 Vantage Analysis Systems, Inc. Computer-aided engineering
US5282148A (en) * 1989-05-23 1994-01-25 Vlsi Technology, Inc. Method and apparatus for the design and fabrication of integrated circuits employing logic decomposition algorithms for the timing optimization of multilevel logic
US5095454A (en) * 1989-05-25 1992-03-10 Gateway Design Automation Corporation Method and apparatus for verifying timing during simulation of digital circuits
US5235521A (en) * 1990-03-30 1993-08-10 International Business Machines Corporation Reducing clock skew in large-scale integrated circuits
US5077676A (en) * 1990-03-30 1991-12-31 International Business Machines Corporation Reducing clock skew in large-scale integrated circuits
US5287289A (en) * 1990-04-13 1994-02-15 Hitachi, Ltd. Logic synthesis method
US5218551A (en) * 1990-04-30 1993-06-08 International Business Machines Corporation Timing driven placement
US5191541A (en) * 1990-05-14 1993-03-02 Sun Microsystems, Inc. Method and apparatus to improve static path analysis of digital circuits
US5293327A (en) * 1990-06-25 1994-03-08 Mitsubishi Denki Kabushiki Kaisha Method of logic circuit simulation
US5406497A (en) * 1990-09-05 1995-04-11 Vlsi Technology, Inc. Methods of operating cell libraries and of realizing large scale integrated circuits using a programmed compiler including a cell library
US5353433A (en) * 1990-09-06 1994-10-04 Digital Equipment Corporation Method and apparatus for organizing and analyzing timing information
US5459673A (en) * 1990-10-29 1995-10-17 Ross Technology, Inc. Method and apparatus for optimizing electronic circuits
US5274568A (en) * 1990-12-05 1993-12-28 Ncr Corporation Method of estimating logic cell delay time
US5262959A (en) * 1990-12-07 1993-11-16 Hewlett-Packard Co. Representation and processing of hierarchical block designs
US5278769A (en) * 1991-04-12 1994-01-11 Lsi Logic Corporation Automatic logic model generation from schematic data base

Non-Patent Citations (4)

* Cited by examiner, † Cited by third party
Title
"Analytical Power/Timing Optimization Technique for Digital System" by Ruehli et al., IEEE 14th Design Automation Conf., 1977, pp. 142-146.
"Circuit Placement for Predicatable Performance" by Hauge et al., IEEE International Conf. on Computer Aided Design, ICCAD-87, pp. 88-91, 1987.
Analytical Power/Timing Optimization Technique for Digital System by Ruehli et al., IEEE 14th Design Automation Conf., 1977, pp. 142 146. *
Circuit Placement for Predicatable Performance by Hauge et al., IEEE International Conf. on Computer Aided Design, ICCAD 87, pp. 88 91, 1987. *

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5879021A (en) * 1996-04-09 1999-03-09 Decoma International Inc. Expandable painting wagon
US5923565A (en) * 1997-01-02 1999-07-13 Vlsi Technology, Inc. Apparatus and method for extracting capacitance in the presence of two ground planes
US6675139B1 (en) 1999-03-16 2004-01-06 Lsi Logic Corporation Floor plan-based power bus analysis and design tool for integrated circuits
US7016794B2 (en) 1999-03-16 2006-03-21 Lsi Logic Corporation Floor plan development electromigration and voltage drop analysis tool
US6832182B1 (en) 1999-04-08 2004-12-14 Transim Technology Corporation Circuit simulator
US7107564B1 (en) * 2001-06-03 2006-09-12 Cadence Design Systems, Inc. Method and apparatus for routing a set of nets
US6917849B1 (en) * 2002-12-18 2005-07-12 Advanced Micro Devices, Inc. Method and apparatus for predicting electrical parameters using measured and predicted fabrication parameters
US20060010413A1 (en) * 2004-07-12 2006-01-12 International Business Machines Corporation Methods for placement which maintain optimized behavior, while improving wireability potential
US7376924B2 (en) * 2004-07-12 2008-05-20 International Business Machines Corporation Methods for placement which maintain optimized behavior, while improving wireability potential
US20080163149A1 (en) * 2004-07-12 2008-07-03 International Business Machines Corporation System and Medium for Placement Which Maintain Optimized Timing Behavior, While Improving Wireability Potential
US7921398B2 (en) 2004-07-12 2011-04-05 International Business Machines Corporation System and medium for placement which maintain optimized timing behavior, while improving wireability potential

Also Published As

Publication number Publication date
US5367469A (en) 1994-11-22

Similar Documents

Publication Publication Date Title
USRE35671E (en) Predictive capacitance layout method for integrated circuits
US6272668B1 (en) Method for cell swapping to improve pre-layout to post-layout timing
US6578183B2 (en) Method for generating a partitioned IC layout
US6591407B1 (en) Method and apparatus for interconnect-driven optimization of integrated circuit design
US5778216A (en) Method for hierarchical time drive circuit layout by rebudgeting timing constraints of plurality of logical blocks after placement
US6216252B1 (en) Method and system for creating, validating, and scaling structural description of electronic device
US6131182A (en) Method and apparatus for synthesizing and optimizing control logic based on SRCMOS logic array macros
US5896300A (en) Methods, apparatus and computer program products for performing post-layout verification of microelectronic circuits by filtering timing error bounds for layout critical nets
US5870308A (en) Method and system for creating and validating low-level description of electronic design
US7117466B2 (en) System and method for correlated process pessimism removal for static timing analysis
US6543036B1 (en) Non-linear, gain-based modeling of circuit delay for an electronic design automation system
Ababei et al. Multi-objective circuit partitioning for cutsize and path-based delay minimization
CN106326510B (en) Verifying clock tree delays
US6954915B2 (en) System and methods for pre-artwork signal-timing verification of an integrated circuit design
US20060107244A1 (en) Method for designing semiconductor intgrated circuit and system for designing the same
US7188327B2 (en) Method and system for logic-level circuit modeling
US20020124230A1 (en) Timing optimization for integrated circuit design
US5636130A (en) Method of determining signal propagation delay through circuit elements
US20040025136A1 (en) Method for designing a custom ASIC library
US6751744B1 (en) Method of integrated circuit design checking using progressive individual network analysis
US6829755B2 (en) Variable detail automatic invocation of transistor level timing for application specific integrated circuit static timing analysis
Tehrani et al. Deep sub-micron static timing analysis in presence of crosstalk
US6560571B1 (en) Method and apparatus for prioritizing the order in which checks are performed on a node in an integrated circuit
US6389578B1 (en) Method and apparatus for determining the strengths and weaknesses of paths in an integrated circuit
US6449578B1 (en) Method and apparatus for determining the RC delays of a network of an integrated circuit

Legal Events

Date Code Title Description
FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

LAPS Lapse for failure to pay maintenance fees
AS Assignment

Owner name: LINEAGE OVERSEAS CORP., DELAWARE

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:TYCO ELECTRONICS LOGISTICS AG;REEL/FRAME:020609/0580

Effective date: 20080228