USRE43023E1 - Dual loading port semiconductor processing equipment - Google Patents

Dual loading port semiconductor processing equipment Download PDF

Info

Publication number
USRE43023E1
USRE43023E1 US12/724,026 US72402610A USRE43023E US RE43023 E1 USRE43023 E1 US RE43023E1 US 72402610 A US72402610 A US 72402610A US RE43023 E USRE43023 E US RE43023E
Authority
US
United States
Prior art keywords
pod
door
opening
substrates
loading
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
US12/724,026
Inventor
Takanobu Nakashima
Tatsuhisa Matsunaga
Hidehiro Yanagawa
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kokusai Electric Corp
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=18626558&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=USRE43023(E1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Priority to US12/724,026 priority Critical patent/USRE43023E1/en
Application granted granted Critical
Publication of USRE43023E1 publication Critical patent/USRE43023E1/en
Assigned to Kokusai Electric Corporation reassignment Kokusai Electric Corporation ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: HITACHI KOKUSAI ELECTRIC INC.
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Definitions

  • the present invention relates to a semiconductor processing equipment; and, more particularly, to a device for moving doors of substrate carriers, e.g., for use in a semiconductor processing equipment such as a batch-type vertical apparatus for performing a diffusion or a CVD (chemical vapor deposition) process to form diffusion, dielectric or metallic layers on semiconductor wafers.
  • a semiconductor processing equipment such as a batch-type vertical apparatus for performing a diffusion or a CVD (chemical vapor deposition) process to form diffusion, dielectric or metallic layers on semiconductor wafers.
  • a semiconductor processing equipment such as a batch-type vertical apparatus for performing a diffusion or a CVD process
  • semiconductor wafers are loaded into and unloaded from the apparatus while being kept in cassettes.
  • Two kinds of carriers have been conventionally used.
  • One is a box-shaped cassette having a pair of openings on two opposite sides and the other is a box-shaped FOUP (front opening unified pod; hereinafter, pod) having an opening on one side thereof with a pod door removably mounted thereon.
  • FOUP front opening unified pod
  • the wafers can be kept protected from contaminations of ambient atmosphere while being transferred since the pod containing the wafers is airtightly closed. Accordingly, the degree of cleanliness required for a clean room of the semiconductor processing equipment may be lowered, which in turn reduces cost for the maintenance of the clean room. For such reasons, the pod is gaining popularity as the carrier in the semiconductor processing equipment recently.
  • the semiconductor processing equipment using the pod as the wafer carrier is provided with a pod door opener for remaining and restoring the pod door.
  • a pod door opener for remaining and restoring the pod door.
  • U.S. Pat. No. 5,772,386 wherein the pod door opener is disposed on a wafer loading port and equipped with a closure capable of frictionally engaging with a door of the pod located on the wafer loading port. The pod can be uncovered by lowering down the closure while the closure engages with the door.
  • the conventional semiconductor processing equipment is provided with only a single wafer loading port, the lead time required in preparing wafers for an actual process increases due to replacement of a pod on the wafer loading port with another, which in turn lengthens the overall processing time of the semiconductor manufacturing process, thereby reducing the throughput thereof.
  • a semiconductor processing equipment comprising:
  • a method for processing wafers for use in a method for processing substrates for use in a semiconductor processing equipment having at least two loading ports, a plurality of carriers each of which contains a portion of the substrates, a carrier shelf for storing the carriers, a reaction chamber and a boat for loading and unloading the substrates into and out of the reaction chamber comprising the step of transferring the substrates between the carriers and the boat, wherein the transferring step includes the steps of:
  • FIG. 1 schematically shows a semiconductor processing equipment in accordance with the present invention
  • FIG. 2 illustrates a perspective front view of a pod door opener
  • FIG. 3 is a perspective view of the pod door opener with pods disposed on the wafer loading ports;
  • FIG. 4 describes a schematic perspective rear view of the pod door opener with some parts eliminated
  • FIG. 5 represents a perspective view of the eliminated parts V in FIG. 4 ;
  • FIG. 6A shows a plan view of a mechanism for mapping with the arm retracted
  • FIG. 6B shows a plan view of a mechanism for mapping with the arm in operation position
  • FIG. 7 illustrates a sequence for wafer loading and unloading in accordance with a first preferred embodiment of the present invention
  • FIG. 8 illustrates another sequence for wafer loading and unloading in accordance with a second preferred embodiment of the present invention
  • FIG. 9 illustrates still another sequence for wafer loading and unloading in accordance with a third preferred embodiment of the present invention.
  • FIG. 10 illustrates still another sequence for wafer loading and unloading in accordance with a fourth preferred embodiment of the present invention.
  • FIG. 1 shows a semiconductor processing equipment 1 having a batch-type vertical apparatus for performing, e.g., a diffusion or a CVD process.
  • the semiconductor processing equipment 1 is provided with an airtightly sealed housing 2 .
  • a heater unit 3 is vertically installed and a process tube 4 is concentrically disposed within the heater unit 3 .
  • the process tube 4 has a gas supply line 5 for supplying a process gas or a purge gas into the process tube 4 , and an exhaust line 6 for use in evacuating the process tube 4 .
  • a boat elevator 7 is installed below the process tube 4 to move a boat 8 having a boat receptacle 8 a up and down, thereby loading or unloading the boat 8 into or from the process tube 4 .
  • a plurality of wafers 9 can be loaded in the boat 8 in such a manner that the centers of the wafers are vertically aligned while maintaining a predetermined distance between two neighboring wafers.
  • a pod load/unload opening (not shown) through which pods 10 can be loaded into or unloaded from the housing 2 .
  • the pod load/unload opening can be open and closed by a shutter (not shown).
  • a pod stage 11 is provided for receiving multiple, e.g., two, pods at a time.
  • a rotatable pod shelf 12 is arranged.
  • the pod shelf 12 is capable of holding, e.g., eight pods 10 .
  • the numbers of pods that the pod shelf 12 can support is not limited to eight but may be increased, e.g., up to sixteen.
  • the pod shelf 12 has two vertically disposed swastika-shaped pod supporting plates, each being capable of holding, e.g., 4 pods simultaneously.
  • the pod shelf 12 is uni-directionally rotatable in a horizontal plane on a pitch-by-pitch basis by a rotary actuator (not shown), e.g., a stepping motor.
  • a two pod openers 20 each of which includes a wafer loading port 13 , bulkhead 21 and a closure 40 .
  • the wafer loading ports 13 through which the wafers are carried into or out of the pod 10 are vertically stacked.
  • a pod handler 14 is installed between the pod stage 11 and the pod shelf 12 .
  • the pod handler 14 is adapted to transfer pods between the pod shelf 12 and the wafer loading ports 13 and between the pod shelf 12 and the pod stage 11 .
  • Pod transfer may also be conducted between the pod stage 11 and the wafer loading ports 13 , if necessary.
  • a wafer carry assembly 15 is provided between the boat 8 and the wafer loading ports 13 to transfer wafers 9 therebetween.
  • the semiconductor processing equipment in accordance with the present invention includes a vertically oriented bulkhead 21 which is used by both of the pod openers 20 in common.
  • the wafer loading ports 13 are vertically provided on the front surface of the bulkhead 21 facing the pod stage 11 and the corresponding closures 40 are provided on the rear surface of the bulkhead 21 facing the wafer carry assembly 15 as shown in FIGS. 2 and 3 .
  • the bulkhead 21 has rectangular-shaped openings 22 through which pod doors 10 a are coupled with the corresponding door openers 20 .
  • the size of an opening 22 is larger than that of the pod door 10 a, which also has a rectangular shape, as shown in FIGS. 6A and 6B .
  • the rectangular-shaped openings 22 are vertically provided in the bulkhead 21 .
  • a support 23 for each of the wafer loading ports 13 is horizontally provided on the front surface of the bulkhead 21 below each opening 22 .
  • the plan view of the support 23 is of a substantially square frame shape having some cutout portion at the distal end thereof away from the bulkhead 21 .
  • a pair of parallel guide rails 24 are mounted on an upper plate of the support 23 , the rails 24 running normal to the front surface of the bulkhead 21 .
  • a loading platform 27 is slidably mounted on the guide rails 24 through guide blocks 25 . The loading platform 27 can move toward and away from the opening 22 , i.e., in a to-and-fro direction, by an air cylinder 26 mounted on the upper plate of the support 23 .
  • the loading platform 27 also has a substantially square frame shape with some cutout portion at the distal end thereof away from the bulkhead 21 .
  • vertically oriented alignment pins 28 provided at locations corresponding to, e.g., three corner points of an equilateral triangle. These pins are adapted to match with corresponding holes (not shown) formed at a bottom surface of the pod 10 .
  • a guide rail 30 for each of the pod openers 20 is mounted on the rear surface of the bulkhead 21 below the corresponding opening 22 .
  • the guide rail 30 is extended horizontally parallel to the rear surface of the bulkhead 21 , i.e., along the left-right direction.
  • An angle-shaped slider 31 is slidably supported by the guide rail 30 and movable in the left-right direction.
  • An air cylinder 32 is mounted on a vertical portion of the angle-shaped slider 31 along the left-right direction.
  • An end portion of a piston rod 32 a of the air cylinder 32 is anchored to the bulkhead 21 . The movement of the angle-shaped slider 31 is controlled by the retraction and extension of the air cylinder 32 .
  • a pair of parallel guide rails 33 running along the to-and-fro direction are installed on an upper surface of a horizontal portion of the angle-shaped slider 31 .
  • a back/forth slider 34 is slidably mounted on the guide rails 33 .
  • the back/forth slider 34 has a guide hole 35 which extends in the left-right direction in one end portion along the left-right direction, e.g., a left end portion of the back/forth slider 34 .
  • a bracket 36 is fixedly mounted on the left side portion of the angle-shaped slider 31 and a rotary actuator 37 is vertically mounted on the bracket 36 .
  • a circularly moving guide pin 38 provided at an arm 37 a of the rotary actuator 37 is slidably engaged with the guide hole 35 . Therefore, the back/forth slider 34 is driven to move toward and away from the bulkhead 21 linearly along the to-and-fro direction by the rotating movement of the rotary actuator 37 .
  • a square-shaped closure 40 larger than the opening 22 is vertically fixed to the bracket 39 .
  • the square-shaped closure 40 is movable in the to-and-fro direction by the movement of the back/forth slider 34 and in the left-right direction by the movement of the angle-shaped slider 31 .
  • the front surface of the closure 40 facing toward the wafer loading ports 13 has a peripheral region and a central region thicker than the peripheral region. That is, the distance from the front surface at the central region (hereinafter, referred to as central front surface) to the rear surface of the closure 40 is greater than that for the front surface at the peripheral region (hereinafter, referred to as peripheral surface) of the closure 40 .
  • the size of the central region of the front surface of the closure 40 is slightly smaller than the opening 22 , so that the central region can get into the opening 22 .
  • a peripheral front surface of the closure 40 can firmly abuts with the periphery of the opening 22 by moving forward the back/forth slider 34 against the bulkhead 21 and, thereby closing the opening 22 can be closed.
  • a packing member 55 e.g., an O-ring, may be provided around the peripheral surface of the closure 40 in order to air-tightly seal against the rear side wall of the bulkhead 21 around the opening 22 when the closure 40 abuts with the bulkhead 21 .
  • Another packing member 56 may be provided on the peripheral region of the central front surface in order to seal against the pod door 10 a lodged on the wafer loading port 13 when the closure 40 abuts with the bulkhead 21 .
  • the packing member 56 serves to prevent potential contaminants on the door 10 a of the pod 10 from entering into the processing area where the wafer carry assembly 15 is located.
  • An additional packing member 54 may also be provided on the region of the front side wall of the bulkhead 21 around the opening 22 in order to seal against the door frame of the pod 10 when the pod 10 is arranged to move against the bulkhead 21 .
  • each key 41 includes a coupling member 41 a at the end portion thereof for engaging with a locking mechanism (not shown) on the door 10 a of the pod 10 .
  • a pair of suction elements 46 capable of holding the pod door 10 a by vacuum suction are diagonally provided on two corner regions of the central front surface of the closure 40 .
  • Each suction element 46 has a suction pipe 47 and the suction pipe 47 is connected with an air exhaust/supply pipe (not shown). End portions of the suction pipes 47 are adapted to match with aligning holes in the pod door 10 a. Therefore, the suction pipes also act as supporting members for holding the pod door 10 a.
  • a rotary actuator 50 having a vertically oriented rotary shaft 50 a is installed beside the opening 22 .
  • a C-shaped arm 51 is provided to pass through an opening 52 in the bulkhead 21 .
  • One end of the C-shaped arm 51 is connected to the rotary shaft 50 a and a mapping device 53 for detecting the locations of wafers in the pod 10 is installed at the other end.
  • the C-shaped arm 51 can be rotated in a horizontal plane.
  • the pods 10 are loaded onto the pod stage 11 through the pod load/unload opening and then transferred by the pod handler 14 to predetermined positions on the pod shelf 12 for temporary storage as shown in FIG. 1 .
  • FIG. 7 illustrates the pod transferring process between the pod shelf 12 and the wafer loading ports 13 and also the wafer transferring process between the pods on the wafer loading ports 13 and the wafer boat 8 in accordance with the first embodiment of the present invention.
  • the two pod openers 20 are arranged to close the openings 22 such that the packing member 55 seals against the rear side wall of the bulkhead 21 .
  • One pod 10 is transferred from the pod shelf 12 to, e.g., the upper wafer loading port 13 by the pod handler 14 and disposed on the loading platform 27 .
  • the three alignment pins 28 on the loading platform 27 engage with the corresponding three holes (not shown) formed under the pod 10 to thereby complete the alignment of the pod 10 on the loading platform 27 .
  • the pod 10 provided on the loading platform 27 is moved toward the bulkhead 21 by the extension of the air cylinder 26 in such a manner that the respective packing members 54 and 56 are airtightly in contact with the pod door 10 a and the pod frame therearound as shown in FIG. 6A .
  • the keys 41 and the suction pipes 47 of the closure 40 are also inserted in the key holes (not shown) and the aligning holes provided on the door 10 a, respectively.
  • the pod transferring process described above is generally represented as a process “A” at the first stage in FIG. 7 .
  • a negative pressure is applied through the air exhaust/supply pipes 47 inside the suction elements 46 so that the suction elements 46 hold the door 10 a by vacuum suction.
  • the keys 41 are rotated by the air cylinder 45 so that the coupling members 41 a unlock the door 10 a.
  • the back/forth slider 34 is moved away from the bulkhead 21 by the rotary actuator 37 and then the angle-shaped slider 31 is moved away from the opening 22 by the air cylinder 32 so that the closure 40 holding the pod door 10 a by the suction elements 46 is moved to a retreated position.
  • the closure 40 By such movement of the closure 40 , the door 10 a is separated from the pod 10 and the pod is opened as shown in FIG. 6B , thereby the wafers 9 loaded in the pod 10 is put under a condition that the wafer carry assembly 15 can access thereto.
  • the pod door opening process described above is represented as a process “B” at the first stage in FIG. 7 .
  • mapping device 53 is moved to the wafers inside the pod 10 through the opening 22 by the rotary actuator 50 and performs mapping by detecting the positions of the wafers, i.e., by identifying slots holding the wafers. After mapping is completed, the mapping apparatus 53 is returned to its initial position by the rotary actuator 50 .
  • the mapping process described above is generally represented as a process “C” at the first stage in FIG. 7 .
  • the wafer transferring process described above is generally represented as a process “D” at after the first stage in FIG. 7 .
  • the wafer transferring process “D” is performed at after the first stage, e.g., the upper wafer loading port 13
  • the pod transferring process “A”, the pod door opening process “B” and the mapping process “C” are sequentially carried out at the second stage, e.g., the lower wafer loading port 13 .
  • the The second wafer loading port 13 waits (process E “F”) until the wafer transferring process “D” at the first wafer loading port 13 is completed.
  • the wafer transferring process “D” can be started at the second wafer loading port 13 as shown in FIG. 7 (third stage).
  • the wafer transferring operation can be alternatively performed by the wafer loading port ports 13 without interruption due to the replacement of the pods 10 and thus the system efficiency or the throughput of the semiconductor processing equipment can be improved.
  • a pod door closing process “E”, a pod changing process “A′”, the pod door opening process “B”, the mapping process “C” and the waiting process “F” are sequentially carried out in that order, so that the wafer transferring process “D” can be started by the first wafer loading port 13 immediately after the completion of the process “D” at the second wafer loading port 13 (fourth stage).
  • the pod door closing process is carried out as follows.
  • the closure 40 holding the pod door 10 a is removed from the retreated position toward the opening 22 by the air cylinder 32 and then toward the empty pod 10 by the rotary actuator 37 to close the pod 10 by the pod door 10 a thereafter, the keys 41 are rotated by the air cylinder 45 to actuate the locking mechanism of the pod door 10 a.
  • the negative pressure inside the suction element 46 is removed by supplying a positive pressure through the pipe 47 and the closure 40 .
  • the closure 40 remains in that position until the pod door opening process “B” is resumed.
  • the pod changing process “A” is carried out as follows. After the pod door 10 a is restored on the empty pod 10 by the pod door closing process “E”, the loading platform 27 of the first wafer loading port holding the empty pod is moved away from the bulkhead 21 by the air cylinder 26 . The empty pod 10 is then stored back to the pod shelf 12 and a new pod holding wafer therein is transferred to the first wafer loading port. Thereafter, the newly supplied pod is provided to the closure 40 in an identical manner as in the pod transferring process “A”. The remaining process “B”, “C” and “F” are identical to those of the second stage.
  • the wafer loading processes are repeated until the described number of wafers are loaded from the pods 10 to the wafer boat 8 .
  • the last two empty pods may be removed to the pod shelf 12 or stayed on the wafer loading ports 13 .
  • only one empty port 13 may remain at the one wafer loading port 13 .
  • the number of wafers which the wafer boat 8 can hold for one batch process is, e.g., 100 to 150, which is several times greater than that of wafers which one pod can contain therein, e.g., 25.
  • the boat elevator 7 lifts the wafer boat 8 into the process tube 4 .
  • a lower end opening of the process tube 4 is hermetically sealed by the boat receptacle 8 a.
  • the process tube 4 is evacuated through the exhaust pipe 6 to reduce the pressure therein down to a predetermined vacuum level.
  • a desired wafer process e.g., a diffusion or a CVD process, is carried out on the loaded wafers by controlling temperatures at desired levels by using the heater unit 3 while supplying predetermined process gases into the process tube 4 through the gas supply line 5 .
  • the wafer boat 8 holding processed wafers is discharged from the process tube 4 and returned to its initial position.
  • either one or both of the pods 10 may be prepared at the corresponding wafer loading ports 13 in order to receive the processed wafers.
  • the wafer transfer assembly 15 transfers a portion of the processed wafers held in the wafer boat 8 to one empty pod 10 disposed on, e.g., the first wafer loading port 13 (upper loading port) with the door 10 a opened.
  • This process corresponds to the wafer transferring process “D” at the second stage shown in FIG. 7 .
  • the same process is carried out at the other wafer loading port with the door thereof being opened. This process corresponds to the process “D” at the third stage in FIG. 7 .
  • the wafer loading process “D” is carried out at the second wafer loading port
  • the pod door closing process “E” the pod changing process “A”
  • the pod door opening process “B” the waiting process “F” are carried out at the first wafer loading port as in the third stage of FIG. 7 .
  • the mapping process “C” is not performed because the processed wafers are transferred into an empty pod at this time.
  • the process “E”, “A”, “B” and “F” are identical to those described with respect to the wafer loading process from the pods 10 to the wafer boat 8 , excepting that the pod changing process “A” represents the process transferring a pod containing the processed wafers to the pod shelf 12 from a wafer loading port and moving an empty pod from the pod shelf 12 to the wafer loading port 13 .
  • the processed wafer unloading process can be accomplished as follows. First, one empty pod is transferred from the pod shelf 12 to one of the wafer loading ports and the pod door 10 a thereof is opened. These correspond to the process “A” and “B” of the first stage in FIG. 7 .
  • the timing of the processes “A” and “B” can be controlled such that the wafer transferring process “D” at the second stage can be started immediately after completing the pod door opening process “B” at the first stage.
  • the mapping process “C” is omitted at the first stage because the pod is empty.
  • the wafer transferring process “D” is carried out at the first wafer loading port 12 , while the process “A”, “B” and “F” are sequentially performed at the second wafer loading port. Then, the process at the third stage can be carried out as described above.
  • the processes are repeated until transferring all the processed wafers from the wafer boat 8 to the empty pods, which in turn are returned to the pod shelf 12 .
  • the wafer transfer assembly 15 can transfer the processed wafers from the wafer boat 8 to the pods 10 continuously without having to wait for the replacement of the pods 10 on the wafer loading ports 13 , the throughput of semiconductor processing equipment 1 can be substantially increased.
  • the pods 10 containing the processed wafers are temporarily stored in the pod shelf 12 and then transferred to the pod stage 11 by the pod handler 14 .
  • the pods on the pod stage 11 are transferred through the pod load/unload opening (not shown) to another equipment for a subsequent process and new pods containing unprocessed wafers are charged on the pod stage 11 .
  • the processes of transferring pods between the pod shelf 12 and the pod stage 11 and charging and discharging pods into and from the semiconductor processing equipment 1 can be carried out while wafers are being processed in the process tube 4 and transferred between the wafer boat 8 and the pods 10 on the wafer loading ports 13 . As a result, the total process time of the semiconductor processing equipment 1 can be reduced.
  • FIGS. 8 to 10 there are illustrated wafer transferring sequences in accordance with further preferred embodiments of the present invention.
  • wafer mapping is completed at least for the pods containing wafers required for one batch process before the continuous wafer loading process begins for that batch process, e.g., by transferring the corresponding pods from the pod stage 11 to the wafer loading ports 13 in order to carry out the mapping and then moving them to pod shelf 12 . Therefore, the process sequences in FIGS. 8 to 10 will be described by assuming that the wafer mapping has been completed for the pods stored on the pod shelf 12 containing wafers needed for one batch process.
  • the processes identified as reference numerals “A” to “F” and “A” in FIGS. 8 to 10 are basically identical to those of FIG. 7 .
  • a first pod containing unprocessed wafers is transferred from the pod shelf 12 to a first wafer loading port (process “A”) and the door of the first pod is opened (process “B”).
  • wafer transferring from the first pod to the wafer boat 8 starts and, at the same time, a second pod containing the unprocessed wafers are transferred to a second wafer loading port (process “A”) and waits until the wafer transferring process “D” at the first wafer loading port is completed (process “F”).
  • process “B” the door of the second pod is opened (process “B”) and the wafers therein are transferred to the boat 8 (process “D”) and the door is restored on the empty first pod (process “E”), which is then replaced with another pod carrying unprocessed wafer (process “A”), the new pod remaining at the first wafer loading port until the wafer loading process at the second wafer loading port is completed (process “F”).
  • the processes described in connection with the third stage are alternately carried out until all the required wafers for one batch process are transferred to the wafer boat 8 .
  • the pod transferring process “A” and the pod changing process “A” for one wafer loading port are carried out during the wafer transferring process “D” at the other wafer loading port; and the pod door opening process “B” for one wafer loading port and the pod door closing process “E” for the other wafer loading port are simultaneously conducted.
  • the process sequence of the second embodiment for transferring processed wafers to empty pods is identical to that for transferring unprocessed wafers to the wafer boat 8 , excepting that the pod changing process “A” in the process sequence for transferring processed wafers represents the process of transferring a pod containing the processed wafers from a wafer loading port to the pod shelf 12 and then moving an empty pod from the pod shelf 12 to that wafer loading port.
  • the process “A” of transferring a first empty wafer to one of the wafer loading ports is controlled in such a manner that the wafer transferring from the boat to the first empty pod can be conducted immediately after completing the opening of the door of the first pod.
  • the sequence shown in FIG. 9 in accordance with the third embodiment of the present invention is identical to that of the second embodiment shown in FIG. 8 , excepting that the pod door opening process “B” at one wafer loading port is conducted during the wafer transferring process “D” at the other wafer loading port in such a manner that the process “D” at one wafer loading port can be started upon the completion of the process “D” at the other wafer loading port. Also, the door closing process “E” at one wafer loading port and the wafer transferring process “D” at the other wafer loading port start simultaneously.
  • FIG. 10 illustrates a wafer transferring process in accordance with the fourth embodiment of the present invention.
  • the process sequence shown in FIG. 10 is identical to that of the third embodiment shown in FIG. 9 , excepting that the sequence of the waiting process “F” and the pod door opening process “B” is reversed at every stage.
  • the pod openers 20 in accordance with the present invention solely operate along horizontal directions and do not contribute at all to the height increase of the equipment and the pod-transfer time.
  • the pod shelf is arranged to receive two columns of pods along the width direction of the processing equipment, whereas only one column of wafer transferring ports is provided under the pod shelf.
  • the number of the wafer loading ports is not limited to two but more than two wafer loading ports can be installed vertically of if the height increase can be accommodated.
  • mapping device in lieu of the rotary actuator for actuating the mapping device, another mechanism using an X-Y axis robot can be employed. Moreover, the mapping device can be omitted if so required.
  • the processing equipment can be of the type capable of processing other substrates, e.g., photo masks, printed circuit boards, liquid crystal panels, compact disks and magnetic disk, than the semiconductor wafers.
  • the processing equipment can be of the type adapted to perform, e.g., oxide formation, diffusion process and other types of heat treating process in place of the CVD.
  • the present invention is also applicable to other types of semiconductor processing equipments than the batch type vertical processor.

Abstract

A substrate processing equipment comprises two pod supporting stages and two independently operable pod door openers. Each pod supporting stage is capable of placing thereon a pod for containing substrates therein. Each pod door openers having means for permitting access to the substrates inside the pod placed on a corresponding pod supporting stage.

Description

CROSS-REFERENCES TO RELATED APPLICATIONS
The present application claims priority from Japanese Patent Application No. 2000-114818, filed Apr. 17, 2000, and is a reissue application of U.S. Pat. No. 6,641,350, issued Nov. 4, 2003, the contents of which are incorporated herein by reference. More than one reissue application has been filed for the reissue of U.S. Pat. No. 6,641,350. The reissue applications are application Ser. No. 12/724,026 (the present application), and application Ser. Nos. 12/724,613 and 12/724,625, both filed Mar. 16, 2010, and both of which are continuation reissue applications of the present application, and both of which are now abandoned.
FIELD OF THE INVENTION
The present invention relates to a semiconductor processing equipment; and, more particularly, to a device for moving doors of substrate carriers, e.g., for use in a semiconductor processing equipment such as a batch-type vertical apparatus for performing a diffusion or a CVD (chemical vapor deposition) process to form diffusion, dielectric or metallic layers on semiconductor wafers.
BACKGROUND OF THE INVENTION
In a semiconductor processing equipment such as a batch-type vertical apparatus for performing a diffusion or a CVD process, semiconductor wafers are loaded into and unloaded from the apparatus while being kept in cassettes. Two kinds of carriers have been conventionally used. One is a box-shaped cassette having a pair of openings on two opposite sides and the other is a box-shaped FOUP (front opening unified pod; hereinafter, pod) having an opening on one side thereof with a pod door removably mounted thereon.
In the semiconductor processing equipment using the pod as the carrier, the wafers can be kept protected from contaminations of ambient atmosphere while being transferred since the pod containing the wafers is airtightly closed. Accordingly, the degree of cleanliness required for a clean room of the semiconductor processing equipment may be lowered, which in turn reduces cost for the maintenance of the clean room. For such reasons, the pod is gaining popularity as the carrier in the semiconductor processing equipment recently.
The semiconductor processing equipment using the pod as the wafer carrier is provided with a pod door opener for remaining and restoring the pod door. One example of such conventional pod door opener is disclosed in U.S. Pat. No. 5,772,386, wherein the pod door opener is disposed on a wafer loading port and equipped with a closure capable of frictionally engaging with a door of the pod located on the wafer loading port. The pod can be uncovered by lowering down the closure while the closure engages with the door.
However, since the conventional semiconductor processing equipment is provided with only a single wafer loading port, the lead time required in preparing wafers for an actual process increases due to replacement of a pod on the wafer loading port with another, which in turn lengthens the overall processing time of the semiconductor manufacturing process, thereby reducing the throughput thereof.
Another equipment having a multi-stage pod door system is disclosed in U.S. Pat. No. 6,042,324. Since, however, the pod doors of the equipment are simultaneously opened as a single unit by a vertical actuator, the lead time may not be reduced and the height of the equipment increases.
SUMMARY OF THE INVENTION
It is, therefore, a primary object of the present invention to provide a semiconductor processing equipment capable of increasing the throughput thereof.
In accordance with one aspect of the present invention, there is provided a semiconductor processing equipment comprising:
    • a plurality of wafer loading ports for seating carriers containing a number of wafers, the wafer loading ports being vertically stacked; and
    • a same number of carrier door openers as the wafer loading ports for opening doors of the carriers while the carriers are disposed respectively on the wafer loading ports, the pod door openers being operated independently of each other,
    • wherein, while one carrier on one of the wafer loading ports is under wafer loading or unloading process, other carriers are prepared for the wafer loading or unloading process on other wafer loading ports.
In accordance with another aspect of the present invention, there is provided a method for processing wafers for use in a method for processing substrates for use in a semiconductor processing equipment having at least two loading ports, a plurality of carriers each of which contains a portion of the substrates, a carrier shelf for storing the carriers, a reaction chamber and a boat for loading and unloading the substrates into and out of the reaction chamber, the method comprising the step of transferring the substrates between the carriers and the boat, wherein the transferring step includes the steps of:
    • conveying one carrier between the carrier shelf and one loading port; and
    • carrying the portion of the substrates contained in the carrier between the carrier and the boat,
    • wherein, while the carrier on the loading port is under the transferring step, another carrier is transferred between the carrier shelf and another loading port.
BRIEF DESCRIPTION OF THE DRAWINGS
The above and other objects and features of the present invention will become apparent from the following description of preferred embodiments given in conjunction with the accompanying drawings, in which:
FIG. 1 schematically shows a semiconductor processing equipment in accordance with the present invention;
FIG. 2 illustrates a perspective front view of a pod door opener;
FIG. 3 is a perspective view of the pod door opener with pods disposed on the wafer loading ports;
FIG. 4 describes a schematic perspective rear view of the pod door opener with some parts eliminated;
FIG. 5 represents a perspective view of the eliminated parts V in FIG. 4;
FIG. 6A shows a plan view of a mechanism for mapping with the arm retracted;
FIG. 6B shows a plan view of a mechanism for mapping with the arm in operation position;
FIG. 7 illustrates a sequence for wafer loading and unloading in accordance with a first preferred embodiment of the present invention;
FIG. 8 illustrates another sequence for wafer loading and unloading in accordance with a second preferred embodiment of the present invention;
FIG. 9 illustrates still another sequence for wafer loading and unloading in accordance with a third preferred embodiment of the present invention; and
FIG. 10 illustrates still another sequence for wafer loading and unloading in accordance with a fourth preferred embodiment of the present invention.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
A preferred embodiment of the present invention will now be described with reference to the accompanying drawings.
FIG. 1 shows a semiconductor processing equipment 1 having a batch-type vertical apparatus for performing, e.g., a diffusion or a CVD process. The semiconductor processing equipment 1 is provided with an airtightly sealed housing 2. At an upper portion of the rear side of the housing 2, a heater unit 3 is vertically installed and a process tube 4 is concentrically disposed within the heater unit 3. The process tube 4 has a gas supply line 5 for supplying a process gas or a purge gas into the process tube 4, and an exhaust line 6 for use in evacuating the process tube 4. A boat elevator 7 is installed below the process tube 4 to move a boat 8 having a boat receptacle 8a up and down, thereby loading or unloading the boat 8 into or from the process tube 4. A plurality of wafers 9 can be loaded in the boat 8 in such a manner that the centers of the wafers are vertically aligned while maintaining a predetermined distance between two neighboring wafers.
Formed on a front wall of the housing 2 is a pod load/unload opening (not shown) through which pods 10 can be loaded into or unloaded from the housing 2. The pod load/unload opening can be open and closed by a shutter (not shown). In front of the pod load/unload opening, a pod stage 11 is provided for receiving multiple, e.g., two, pods at a time.
At the upper central portion of the semiconductor processing equipment 1, a rotatable pod shelf 12 is arranged. The pod shelf 12 is capable of holding, e.g., eight pods 10. The numbers of pods that the pod shelf 12 can support is not limited to eight but may be increased, e.g., up to sixteen. The pod shelf 12 has two vertically disposed swastika-shaped pod supporting plates, each being capable of holding, e.g., 4 pods simultaneously. The pod shelf 12 is uni-directionally rotatable in a horizontal plane on a pitch-by-pitch basis by a rotary actuator (not shown), e.g., a stepping motor.
Below the pod shelf 12, there is provided a two pod openers 20 each of which includes a wafer loading port 13, bulkhead 21 and a closure 40. The wafer loading ports 13 through which the wafers are carried into or out of the pod 10 are vertically stacked.
Inside the housing 2, a pod handler 14 is installed between the pod stage 11 and the pod shelf 12. The pod handler 14 is adapted to transfer pods between the pod shelf 12 and the wafer loading ports 13 and between the pod shelf 12 and the pod stage 11. Pod transfer may also be conducted between the pod stage 11 and the wafer loading ports 13, if necessary. Moreover, a wafer carry assembly 15 is provided between the boat 8 and the wafer loading ports 13 to transfer wafers 9 therebetween.
Details of the pod opener 20 will now be described with reference to FIGS. 1 to 6B.
As shown in FIG. 1, the semiconductor processing equipment in accordance with the present invention includes a vertically oriented bulkhead 21 which is used by both of the pod openers 20 in common. The wafer loading ports 13 are vertically provided on the front surface of the bulkhead 21 facing the pod stage 11 and the corresponding closures 40 are provided on the rear surface of the bulkhead 21 facing the wafer carry assembly 15 as shown in FIGS. 2 and 3. The bulkhead 21 has rectangular-shaped openings 22 through which pod doors 10a are coupled with the corresponding door openers 20. The size of an opening 22 is larger than that of the pod door 10a, which also has a rectangular shape, as shown in FIGS. 6A and 6B. The rectangular-shaped openings 22 are vertically provided in the bulkhead 21.
As shown in FIG. 2, a support 23 for each of the wafer loading ports 13 is horizontally provided on the front surface of the bulkhead 21 below each opening 22. The plan view of the support 23 is of a substantially square frame shape having some cutout portion at the distal end thereof away from the bulkhead 21. A pair of parallel guide rails 24 are mounted on an upper plate of the support 23, the rails 24 running normal to the front surface of the bulkhead 21. A loading platform 27 is slidably mounted on the guide rails 24 through guide blocks 25. The loading platform 27 can move toward and away from the opening 22, i.e., in a to-and-fro direction, by an air cylinder 26 mounted on the upper plate of the support 23.
The loading platform 27 also has a substantially square frame shape with some cutout portion at the distal end thereof away from the bulkhead 21. On the upper surface of the loading platform 27, vertically oriented alignment pins 28 provided at locations corresponding to, e.g., three corner points of an equilateral triangle. These pins are adapted to match with corresponding holes (not shown) formed at a bottom surface of the pod 10.
As shown in FIG. 4, a guide rail 30 for each of the pod openers 20 is mounted on the rear surface of the bulkhead 21 below the corresponding opening 22. The guide rail 30 is extended horizontally parallel to the rear surface of the bulkhead 21, i.e., along the left-right direction. An angle-shaped slider 31 is slidably supported by the guide rail 30 and movable in the left-right direction. An air cylinder 32 is mounted on a vertical portion of the angle-shaped slider 31 along the left-right direction. An end portion of a piston rod 32a of the air cylinder 32 is anchored to the bulkhead 21. The movement of the angle-shaped slider 31 is controlled by the retraction and extension of the air cylinder 32.
As shown in FIG. 5, a pair of parallel guide rails 33 running along the to-and-fro direction are installed on an upper surface of a horizontal portion of the angle-shaped slider 31. A back/forth slider 34 is slidably mounted on the guide rails 33. The back/forth slider 34 has a guide hole 35 which extends in the left-right direction in one end portion along the left-right direction, e.g., a left end portion of the back/forth slider 34. A bracket 36 is fixedly mounted on the left side portion of the angle-shaped slider 31 and a rotary actuator 37 is vertically mounted on the bracket 36. A circularly moving guide pin 38 provided at an arm 37a of the rotary actuator 37 is slidably engaged with the guide hole 35. Therefore, the back/forth slider 34 is driven to move toward and away from the bulkhead 21 linearly along the to-and-fro direction by the rotating movement of the rotary actuator 37.
Mounted on the top surface of the back/forth slider 34 is a bracket 39. A square-shaped closure 40 larger than the opening 22 is vertically fixed to the bracket 39. The square-shaped closure 40 is movable in the to-and-fro direction by the movement of the back/forth slider 34 and in the left-right direction by the movement of the angle-shaped slider 31. The front surface of the closure 40 facing toward the wafer loading ports 13 has a peripheral region and a central region thicker than the peripheral region. That is, the distance from the front surface at the central region (hereinafter, referred to as central front surface) to the rear surface of the closure 40 is greater than that for the front surface at the peripheral region (hereinafter, referred to as peripheral surface) of the closure 40. The size of the central region of the front surface of the closure 40 is slightly smaller than the opening 22, so that the central region can get into the opening 22.
By such configuration, a peripheral front surface of the closure 40 can firmly abuts with the periphery of the opening 22 by moving forward the back/forth slider 34 against the bulkhead 21 and, thereby closing the opening 22 can be closed.
Further, as shown in FIGS. 5 to 6A, a packing member 55, e.g., an O-ring, may be provided around the peripheral surface of the closure 40 in order to air-tightly seal against the rear side wall of the bulkhead 21 around the opening 22 when the closure 40 abuts with the bulkhead 21. Another packing member 56 may be provided on the peripheral region of the central front surface in order to seal against the pod door 10a lodged on the wafer loading port 13 when the closure 40 abuts with the bulkhead 21. The packing member 56 serves to prevent potential contaminants on the door 10a of the pod 10 from entering into the processing area where the wafer carry assembly 15 is located. An additional packing member 54 may also be provided on the region of the front side wall of the bulkhead 21 around the opening 22 in order to seal against the door frame of the pod 10 when the pod 10 is arranged to move against the bulkhead 21.
As shown in FIGS. 2 and 4, a pair of rotatable keys 41 are arranged on the left and the right sides of the central front surface of the closure 40. The keys 41 are located along the horizontal centerline on the central front surface. Each key is coupled with a pulley 42 provided on the rear surface of the closure 40. Both pulleys 42 are connected by a belt 43 which has a connection plate 44. An air cylinder 45 is horizontally mounted above one of the pulleys 42 and a piston rod thereof is connected to the connection plate 44 such that extension and retraction of the air cylinder 45 can produce a reciprocating rotary motion of the pulleys 42, thereby inducing the keys 41 to rotate. In addition, each key 41 includes a coupling member 41a at the end portion thereof for engaging with a locking mechanism (not shown) on the door 10a of the pod 10.
As shown in FIG. 2, a pair of suction elements 46 capable of holding the pod door 10a by vacuum suction are diagonally provided on two corner regions of the central front surface of the closure 40. Each suction element 46 has a suction pipe 47 and the suction pipe 47 is connected with an air exhaust/supply pipe (not shown). End portions of the suction pipes 47 are adapted to match with aligning holes in the pod door 10a. Therefore, the suction pipes also act as supporting members for holding the pod door 10a.
Referring to FIGS. 2, 4, 6A and 6B, on the front side wall of the bulkhead 21, a rotary actuator 50 having a vertically oriented rotary shaft 50a is installed beside the opening 22. A C-shaped arm 51 is provided to pass through an opening 52 in the bulkhead 21. One end of the C-shaped arm 51 is connected to the rotary shaft 50a and a mapping device 53 for detecting the locations of wafers in the pod 10 is installed at the other end. The C-shaped arm 51 can be rotated in a horizontal plane.
In operation, the pods 10 are loaded onto the pod stage 11 through the pod load/unload opening and then transferred by the pod handler 14 to predetermined positions on the pod shelf 12 for temporary storage as shown in FIG. 1.
FIG. 7 illustrates the pod transferring process between the pod shelf 12 and the wafer loading ports 13 and also the wafer transferring process between the pods on the wafer loading ports 13 and the wafer boat 8 in accordance with the first embodiment of the present invention.
The two pod openers 20 are arranged to close the openings 22 such that the packing member 55 seals against the rear side wall of the bulkhead 21. One pod 10 is transferred from the pod shelf 12 to, e.g., the upper wafer loading port 13 by the pod handler 14 and disposed on the loading platform 27. The three alignment pins 28 on the loading platform 27 engage with the corresponding three holes (not shown) formed under the pod 10 to thereby complete the alignment of the pod 10 on the loading platform 27.
The pod 10 provided on the loading platform 27 is moved toward the bulkhead 21 by the extension of the air cylinder 26 in such a manner that the respective packing members 54 and 56 are airtightly in contact with the pod door 10a and the pod frame therearound as shown in FIG. 6A. The keys 41 and the suction pipes 47 of the closure 40 are also inserted in the key holes (not shown) and the aligning holes provided on the door 10a, respectively. The pod transferring process described above is generally represented as a process “A” at the first stage in FIG. 7.
After completing the pod transferring process “A”, a negative pressure is applied through the air exhaust/supply pipes 47 inside the suction elements 46 so that the suction elements 46 hold the door 10a by vacuum suction. Thereafter, the keys 41 are rotated by the air cylinder 45 so that the coupling members 41a unlock the door 10a.
Next, the back/forth slider 34 is moved away from the bulkhead 21 by the rotary actuator 37 and then the angle-shaped slider 31 is moved away from the opening 22 by the air cylinder 32 so that the closure 40 holding the pod door 10a by the suction elements 46 is moved to a retreated position. By such movement of the closure 40, the door 10a is separated from the pod 10 and the pod is opened as shown in FIG. 6B, thereby the wafers 9 loaded in the pod 10 is put under a condition that the wafer carry assembly 15 can access thereto. The pod door opening process described above is represented as a process “B” at the first stage in FIG. 7.
Thereafter, as shown in FIG. 6B, the mapping device 53 is moved to the wafers inside the pod 10 through the opening 22 by the rotary actuator 50 and performs mapping by detecting the positions of the wafers, i.e., by identifying slots holding the wafers. After mapping is completed, the mapping apparatus 53 is returned to its initial position by the rotary actuator 50. The mapping process described above is generally represented as a process “C” at the first stage in FIG. 7.
Next, the wafers in the pod 10 on the wafer loading port 13 are transferred to the wafer boat 8 by the wafer transfer assembly 15. The wafer transferring process described above is generally represented as a process “D” at after the first stage in FIG. 7.
While the wafer transferring process “D” is performed at after the first stage, e.g., the upper wafer loading port 13, the pod transferring process “A”, the pod door opening process “B” and the mapping process “C” are sequentially carried out at the second stage, e.g., the lower wafer loading port 13. the The second wafer loading port 13 waits (process E “F”) until the wafer transferring process “D” at the first wafer loading port 13 is completed.
Accordingly, upon the completion of the wafer transferring process “D” of the first wafer loading port 13 at, for which the second stage is waiting (process “F”), the wafer transferring process “D” can be started at the second wafer loading port 13 as shown in FIG. 7 (third stage). As a result, the wafer transferring operation can be alternatively performed by the wafer loading port ports 13 without interruption due to the replacement of the pods 10 and thus the system efficiency or the throughput of the semiconductor processing equipment can be improved.
During the third stage shown in FIG. 7, where the wafer transferring process “D” is carried out by the second wafer loading port 13, a pod door closing process “E”, a pod changing process “A′”, the pod door opening process “B”, the mapping process “C” and the waiting process “F” are sequentially carried out in that order, so that the wafer transferring process “D” can be started by the first wafer loading port 13 immediately after the completion of the process “D” at the second wafer loading port 13 (fourth stage).
The pod door closing process is carried out as follows. The closure 40 holding the pod door 10a is removed from the retreated position toward the opening 22 by the air cylinder 32 and then toward the empty pod 10 by the rotary actuator 37 to close the pod 10 by the pod door 10a thereafter, the keys 41 are rotated by the air cylinder 45 to actuate the locking mechanism of the pod door 10a. After locking, the negative pressure inside the suction element 46 is removed by supplying a positive pressure through the pipe 47 and the closure 40. The closure 40 remains in that position until the pod door opening process “B” is resumed.
The pod changing process “A” is carried out as follows. After the pod door 10a is restored on the empty pod 10 by the pod door closing process “E”, the loading platform 27 of the first wafer loading port holding the empty pod is moved away from the bulkhead 21 by the air cylinder 26. The empty pod 10 is then stored back to the pod shelf 12 and a new pod holding wafer therein is transferred to the first wafer loading port. Thereafter, the newly supplied pod is provided to the closure 40 in an identical manner as in the pod transferring process “A”. The remaining process “B”, “C” and “F” are identical to those of the second stage.
The wafer loading processes are repeated until the described number of wafers are loaded from the pods 10 to the wafer boat 8. After transferring the described number of wafers, the last two empty pods may be removed to the pod shelf 12 or stayed on the wafer loading ports 13. Alternatively, only one empty port 13 may remain at the one wafer loading port 13. The number of wafers which the wafer boat 8 can hold for one batch process is, e.g., 100 to 150, which is several times greater than that of wafers which one pod can contain therein, e.g., 25.
After the predetermined number of unprocessed wafers are loaded on the wafer boat 8, the boat elevator 7 lifts the wafer boat 8 into the process tube 4. When the wafer boat 8 is introduced into the process tube 4, a lower end opening of the process tube 4 is hermetically sealed by the boat receptacle 8a.
Next, the process tube 4 is evacuated through the exhaust pipe 6 to reduce the pressure therein down to a predetermined vacuum level. Thereafter, a desired wafer process, e.g., a diffusion or a CVD process, is carried out on the loaded wafers by controlling temperatures at desired levels by using the heater unit 3 while supplying predetermined process gases into the process tube 4 through the gas supply line 5.
After a predetermined processing time has elapsed, the wafer boat 8 holding processed wafers is discharged from the process tube 4 and returned to its initial position. During the period in which the wafer boat 8 is loaded into and unloaded from the process tube 4 and the wafers are processed in the process tube 4, either one or both of the pods 10 may be prepared at the corresponding wafer loading ports 13 in order to receive the processed wafers.
Thereafter, the wafer transfer assembly 15 transfers a portion of the processed wafers held in the wafer boat 8 to one empty pod 10 disposed on, e.g., the first wafer loading port 13 (upper loading port) with the door 10a opened. This process corresponds to the wafer transferring process “D” at the second stage shown in FIG. 7. After completing the wafer transferring process “D” at one wafer loading port, the same process is carried out at the other wafer loading port with the door thereof being opened. This process corresponds to the process “D” at the third stage in FIG. 7.
While the wafer loading process “D” is carried out at the second wafer loading port, the pod door closing process “E”, the pod changing process “A”, the pod door opening process “B” and the waiting process “F” are carried out at the first wafer loading port as in the third stage of FIG. 7. The mapping process “C” is not performed because the processed wafers are transferred into an empty pod at this time.
The process “E”, “A”, “B” and “F” are identical to those described with respect to the wafer loading process from the pods 10 to the wafer boat 8, excepting that the pod changing process “A” represents the process transferring a pod containing the processed wafers to the pod shelf 12 from a wafer loading port and moving an empty pod from the pod shelf 12 to the wafer loading port 13.
In case all the empty pods have been transferred from the wafer loading ports 13 to the pod shelf 12 after loading all the wafers onto the boat 8, the processed wafer unloading process can be accomplished as follows. First, one empty pod is transferred from the pod shelf 12 to one of the wafer loading ports and the pod door 10a thereof is opened. These correspond to the process “A” and “B” of the first stage in FIG. 7. The timing of the processes “A” and “B” can be controlled such that the wafer transferring process “D” at the second stage can be started immediately after completing the pod door opening process “B” at the first stage. Of course, the mapping process “C” is omitted at the first stage because the pod is empty.
Thereafter at the second stage, the wafer transferring process “D” is carried out at the first wafer loading port 12, while the process “A”, “B” and “F” are sequentially performed at the second wafer loading port. Then, the process at the third stage can be carried out as described above.
The processes are repeated until transferring all the processed wafers from the wafer boat 8 to the empty pods, which in turn are returned to the pod shelf 12.
As described above, since the wafer transfer assembly 15 can transfer the processed wafers from the wafer boat 8 to the pods 10 continuously without having to wait for the replacement of the pods 10 on the wafer loading ports 13, the throughput of semiconductor processing equipment 1 can be substantially increased.
The pods 10 containing the processed wafers are temporarily stored in the pod shelf 12 and then transferred to the pod stage 11 by the pod handler 14. Next, the pods on the pod stage 11 are transferred through the pod load/unload opening (not shown) to another equipment for a subsequent process and new pods containing unprocessed wafers are charged on the pod stage 11.
The processes of transferring pods between the pod shelf 12 and the pod stage 11 and charging and discharging pods into and from the semiconductor processing equipment 1 can be carried out while wafers are being processed in the process tube 4 and transferred between the wafer boat 8 and the pods 10 on the wafer loading ports 13. As a result, the total process time of the semiconductor processing equipment 1 can be reduced.
Referring to FIGS. 8 to 10, there are illustrated wafer transferring sequences in accordance with further preferred embodiments of the present invention. In the sequences shown FIGS. 8 to 10, wafer mapping is completed at least for the pods containing wafers required for one batch process before the continuous wafer loading process begins for that batch process, e.g., by transferring the corresponding pods from the pod stage 11 to the wafer loading ports 13 in order to carry out the mapping and then moving them to pod shelf 12. Therefore, the process sequences in FIGS. 8 to 10 will be described by assuming that the wafer mapping has been completed for the pods stored on the pod shelf 12 containing wafers needed for one batch process. The processes identified as reference numerals “A” to “F” and “A” in FIGS. 8 to 10 are basically identical to those of FIG. 7.
The wafer transferring sequence in accordance with the second embodiment of the present invention will be described with reference to FIG. 8. At the first stage of the sequence for transferring unprocessed wafers to the wafer boat 8, a first pod containing unprocessed wafers is transferred from the pod shelf 12 to a first wafer loading port (process “A”) and the door of the first pod is opened (process “B”).
Immediately thereafter at the second stage, wafer transferring from the first pod to the wafer boat 8 (process “D”) starts and, at the same time, a second pod containing the unprocessed wafers are transferred to a second wafer loading port (process “A”) and waits until the wafer transferring process “D” at the first wafer loading port is completed (process “F”).
At the third stage, the door of the second pod is opened (process “B”) and the wafers therein are transferred to the boat 8 (process “D”) and the door is restored on the empty first pod (process “E”), which is then replaced with another pod carrying unprocessed wafer (process “A”), the new pod remaining at the first wafer loading port until the wafer loading process at the second wafer loading port is completed (process “F”). The processes described in connection with the third stage are alternately carried out until all the required wafers for one batch process are transferred to the wafer boat 8.
As described above in the second embodiment of the present invention, the pod transferring process “A” and the pod changing process “A” for one wafer loading port are carried out during the wafer transferring process “D” at the other wafer loading port; and the pod door opening process “B” for one wafer loading port and the pod door closing process “E” for the other wafer loading port are simultaneously conducted.
The process sequence of the second embodiment for transferring processed wafers to empty pods is identical to that for transferring unprocessed wafers to the wafer boat 8, excepting that the pod changing process “A” in the process sequence for transferring processed wafers represents the process of transferring a pod containing the processed wafers from a wafer loading port to the pod shelf 12 and then moving an empty pod from the pod shelf 12 to that wafer loading port. The process “A” of transferring a first empty wafer to one of the wafer loading ports is controlled in such a manner that the wafer transferring from the boat to the first empty pod can be conducted immediately after completing the opening of the door of the first pod.
The sequence shown in FIG. 9 in accordance with the third embodiment of the present invention is identical to that of the second embodiment shown in FIG. 8, excepting that the pod door opening process “B” at one wafer loading port is conducted during the wafer transferring process “D” at the other wafer loading port in such a manner that the process “D” at one wafer loading port can be started upon the completion of the process “D” at the other wafer loading port. Also, the door closing process “E” at one wafer loading port and the wafer transferring process “D” at the other wafer loading port start simultaneously.
FIG. 10 illustrates a wafer transferring process in accordance with the fourth embodiment of the present invention. The process sequence shown in FIG. 10 is identical to that of the third embodiment shown in FIG. 9, excepting that the sequence of the waiting process “F” and the pod door opening process “B” is reversed at every stage.
Following advantages can be achieved in accordance with the present invention.
    • 1) By vertically installing a pair of the pod door openers each of which is capable of independently opening and restoring the door of a pod on each wafer loading port, the wafer transferring process can be independently conducted at one wafer loading port while the other loading port is preparing for the subsequent wafer transferring process. As a result, the total process time can be considerably reduced and therefore the throughput of the semiconductor processing equipment can be increased.
    • 2) By vertically arranging the wafer loading ports, the system efficiency can be improved without increasing the floor area or footprint of the semiconductor processing equipment.
    • 3) The vertically arranged wafer loading ports eliminates the need for the left-right movement of the wafer carry assembly 15, thereby simplifying the structure thereof and improving the system efficiency without increasing the width of the processing equipment.
    • 4) The independently operable mapping devices provided to the respective wafer loading ports enables the mapping process at one wafer loading port and the wafer transferring process at the other to be conducted simultaneously. As a result, the loading time needed for the subsequent wafer transferring process can be eliminated and therefore the total process time of the semiconductor processing equipment can be considerably reduced, thereby increasing the system efficiency.
    • 5) Simplified and small sized mapping device can be obtained by employing the rotary actuating mechanism therefor, wherein the rotary actuator is mounted on the front side wall of the bulkhead and the arm fixedly coupled thereto passes through the opening in the bulkhead and the mapping device is attached at the end of the arm, enabling the mapping device to approach the wafers in a pod by the rotation of the rotary actuator.
    • 6) Any vertical component in the motion of the pod openers would result in the height increase thereof, which in turn makes the pod shelf located above the pod openers to be disposed at a higher position and increases the height of the semiconductor processing equipment. The increased number of vertically arranged pod openers would impose the multiplicative effect in the vertical position of the pod shelf and the height increase of the processing equipment itself. The higher vertical position of the pod shelf will entails the increase of the pod-transfer time, thereby decreasing the throughput of the equipment.
In contrast, the pod openers 20 in accordance with the present invention solely operate along horizontal directions and do not contribute at all to the height increase of the equipment and the pod-transfer time. Further, the pod shelf is arranged to receive two columns of pods along the width direction of the processing equipment, whereas only one column of wafer transferring ports is provided under the pod shelf. As a result, the purely transitional lateral motion of the pod openers can be accommodated by the reserved space under the pod shelf and, therefore, the system efficiency and the throughput can be improved without increasing the pod transfer time and sacrificing the floor area of the processing equipment.
It is to be appreciated that the configuration of the semiconductor processing equipment may be varied appropriately if necessary.
For instance, the number of the wafer loading ports is not limited to two but more than two wafer loading ports can be installed vertically of if the height increase can be accommodated.
In addition, in lieu of the rotary actuator for actuating the mapping device, another mechanism using an X-Y axis robot can be employed. Moreover, the mapping device can be omitted if so required.
Furthermore, the processing equipment can be of the type capable of processing other substrates, e.g., photo masks, printed circuit boards, liquid crystal panels, compact disks and magnetic disk, than the semiconductor wafers.
The processing equipment can be of the type adapted to perform, e.g., oxide formation, diffusion process and other types of heat treating process in place of the CVD. The present invention is also applicable to other types of semiconductor processing equipments than the batch type vertical processor.
While the invention has been shown and described with respect to the preferred embodiments, it will be understood by those skilled in the art that various changes and modifications may be made without departing from the spirit and scope of the invention as defined in the following claims.

Claims (20)

1. A substrate processing equipment comprising:
at least two pod supporting stages, each for placing thereon a pod for containing substrates therein, the pod having a door;
at least two independently operable pod doors opening mechanisms, each for permitting access to substrates inside the pod placed on a corresponding one of the pod supporting stages; and
wherein each of the pod door opening mechanisms horizontally removes the door from the corresponding pod to thereby allow substrates disposed inside of the corresponding pod to be unloaded therefrom.
2. The substrate processing equipment of claim 1, wherein the at least two pod supporting stages are vertically arranged.
3. The substrate processing equipment of claim 1, wherein each of the pod supporting stages includes a mapping apparatus for detecting locations of the substrates in the pod placed thereon.
4. The substrate processing equipment of claim 3, wherein the mapping apparatus moves between a mapping position at which a mapping process is carried out and a standby position located away from a pod entrance of the corresponding pod to allow a substrate loading or unloading process to be carried out through the pod entrance.
5. The substrate processing equipment of claim 1, further comprising:
a substrate handling apparatus for loading substrates to a pod or unloading substrates from a pod placed on one of the pod supporting stages; and
a pod transferring apparatus for placing another pod on the other pod supporting stage while the substrate handling apparatus loads substrates to the pod or unloads substrates from the pod placed on said one of the pod supporting stages.
6. The substrate processing equipment of claim 1, wherein each pod door opening mechanism moves the door of the pod between a position where the door of the pod is closed and a retreated position where the corresponding pod is completely opened.
7. A substrate processing equipment comprising:
at least one two pod door doors opening mechanism for permitting access to substrates disposed inside a pod having a door;
wherein each of the pod door opening mechanism moves the door of the pod horizontally from a position where the door of the pod is closed to a retreated position where the pod is completely open thereby allowing substrates to be unloaded from the pod.
8. A substrate processing equipment comprising:
a pod supporting stage for placing thereon a pod for containing substrates therein, the pod having an opening;
a mapping apparatus mounted on the pod supporting stage for detecting the locations of substrates in the pod placed on the pod supporting stage through the opening of the pod;
a substrate handling apparatus for unloading substrates from the pod placed on the pod supporting stage through the opening of the pod; and
wherein the mapping apparatus pivotally moves between a mapping position at which a mapping process is carried out and a standby position located away from the opening of the pod to allow a substrates unloading process to be carried out through the opening.
9. A substrate processing method for use in a substrate processing equipment including at least two pod supporting stages, each for placing thereon a pod for containing substrates therein, the pod having a door, the method comprising the steps of:
(a) placing a first pod on one pod supporting stage;
(b) opening a door of the first pod only independently of a door of a second pod in substantially horizontal directions;
(c) loading or unloading substrates to or from the first pod; and
(d) placing a the second pod on another pod supporting stage during the loading or unloading step (c).
10. A substrate processing equipment comprising:
at least two pod supporting stages, each for placing thereon a pod for containing a plurality of substrates therein, the pod having an opening for loading and unloading the substrates and a door for opening and closing the opening of the pod wherein at least two substrate loading ports for loading and unloading the plurality of substrates out of the opening of the pod are disposed vertically; and
at least two pod doors opening mechanisms, each for opening and closing the opening of the pod containing closures smaller than width in the vertical direction in the door at intervals in the vertical direction and horizontal guide rails which are parallel with the opening of the pod, provided at substrate loading ports, each for permitting access to substrates inside the pod placed on a corresponding one of the pod supporting stages,
wherein each of the closures moves the door horizontally with the opening of the opening of the pod in horizontal same direction independently by guide rails and the each of the closure moves the door horizontally with the opening of the closing of the pod in horizontal same direction independently by guide rails, such that each of the pod door opening mechanisms horizontally removes the door from the corresponding pod to thereby allow substrates disposed inside of the corresponding pod to be unloaded therefrom.
11. The substrate processing equipment of claim 10, further comprising:
an air cylinder for reciprocally operating the closure horizontally and in parallel with the opening of the pod, which are provided at each of the substrate loading ports.
12. The substrate processing equipment of claim 10, wherein each of the substrate loading ports includes a mapping apparatus for detecting locations of the substrates in the pod placed thereon.
13. The substrate processing equipment of claim 12, wherein the mapping apparatus moves between a mapping position at which a mapping process is carried out and a standby position located away from a pod entrance of the corresponding pod to allow a substrate loading or unloading process to be carried out through the pod entrance.
14. The substrate processing equipment of claim 10, further comprising:
a substrate handling apparatus for loading substrates to a pod or unloading substrates from a pod placed on one of the pod supporting stages; and
a pod transferring apparatus for placing another pod on the other pod supporting stage while the substrate handling apparatus loads substrates to the pod or unloads substrates from the pod places on said one of the pod supporting stages.
15. The substrates processing equipment of claim 10, wherein each pod door opening mechanism moves the door of the pod between a position where the door of the pod is closed and a retreated position where the corresponding pod is completely opened.
16. A substrate processing method for use in a substrate processing equipment including at least two pod supporting stages, each for placing thereon a pod for containing a plurality of substrates therein, the pod having an opening for loading and unloading the substrates and a door, for opening and closing the opening of the pod wherein at least two substrate loading ports for loading and unloading the plurality of substrates out of the opening of the pod are disposed vertically smaller than width in the vertical direction in the door at intervals in the vertical direction,
at least two pod doors opening mechanisms, each for opening and closing the opening of the pod containing closures smaller than width in the vertical direction in the door at intervals in the vertical direction and horizontal guide rails which are parallel with the opening of the pod, provided at substrate loading ports, each for permitting access to substrates inside the pod placed on a corresponding one of the pod supporting stages, the method comprising the steps of:
(a) placing a first pod on one pod supporting stage;
(b) opening a door of the first pod only in substantially the first horizontal direction and in parallel with the opening of the pod by a first closure and a first guide rail;
(c) loading or unloading substrates to or from the first pod; and
(d) placing a second pod on another pod supporting stage during the loading or unloading step (c),
wherein the another pod door opening mechanism moves the door of the second pod the first horizontal direction and in parallel with the opening of the pod by a second closure and a second guide rail.
17. A substrate processing method for use in a substrate processing equipment including at least two pod supporting stages, each for placing thereon a pod for containing substrates therein, the pod having a door, the method comprising the steps of:
(a) placing a first pod on one pod supporting stage;
(b) opening a door of the first pod only in substantially horizontal directions;
(c) loading or unloading substrates to or from the first pod;
(d) placing a second pod on another pod supporting stage during the loading or unloading step (c); and
(e) opening a door of the second pod from a position where the door of the second pod is closed to a retreated position where the second pod is open thereby allowing substrates to be loaded or be unloaded from the second pod during the loading or unloading step (c).
18. A substrate processing method for use in a substrate processing equipment including at least two pod supporting stages, each for placing thereon a pod for containing substrates therein, the pod having a door, the method comprising the steps of:
(a) placing a first pod on one pod supporting stage;
(b) opening a door of the first pod only in substantially horizontal directions;
(c) loading or unloading substrates to or from the first pod;
(d) placing a second pod on another pod supporting stage during the loading or unloading step (c);
(e) opening a door of the second pod during the loading or unloading step (c);
(f) loading or unloading substrates to or from the second pod; and
(g) closing the door of the first pod in substantially horizontal directions during the loading or unloading step (f).
19. A substrate processing method for use in a substrate processing equipment including at least two pod supporting stages, each for placing thereon a pod for containing substrates therein, the pod having a door, the method comprising the steps of:
(a) placing a first pod on one pod supporting stage;
(b) opening a door of the first pod only in substantially horizontal directions;
(c) loading or unloading substrates to or from the first pod;
(d) placing a second pod on another pod supporting stage during the loading or unloading step (c);
(e) opening a door of the second pod during the loading or unloading step (c); and
(f) detecting a position of the substrate in the second pod during the loading or unloading step (c).
20. The substrate processing method for use in a substrate processing equipment according to claim 17, wherein each of said at least two pod supporting stages is disposed vertically, the method further comprising: opening the door of the first pod in substantially horizontal and in parallel with the opening of the first pod in step (b); and opening the door of the second pod in substantially horizontal and in parallel with the opening of the second pod in step (e).
US12/724,026 2000-04-17 2010-03-15 Dual loading port semiconductor processing equipment Expired - Lifetime USRE43023E1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/724,026 USRE43023E1 (en) 2000-04-17 2010-03-15 Dual loading port semiconductor processing equipment

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2000-114818 2000-04-17
JP2000114818 2000-04-17
US09/834,913 US6641350B2 (en) 2000-04-17 2001-04-16 Dual loading port semiconductor processing equipment
US12/724,026 USRE43023E1 (en) 2000-04-17 2010-03-15 Dual loading port semiconductor processing equipment

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/834,913 Reissue US6641350B2 (en) 2000-04-17 2001-04-16 Dual loading port semiconductor processing equipment

Publications (1)

Publication Number Publication Date
USRE43023E1 true USRE43023E1 (en) 2011-12-13

Family

ID=18626558

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/834,913 Ceased US6641350B2 (en) 2000-04-17 2001-04-16 Dual loading port semiconductor processing equipment
US12/724,026 Expired - Lifetime USRE43023E1 (en) 2000-04-17 2010-03-15 Dual loading port semiconductor processing equipment

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/834,913 Ceased US6641350B2 (en) 2000-04-17 2001-04-16 Dual loading port semiconductor processing equipment

Country Status (4)

Country Link
US (2) US6641350B2 (en)
JP (5) JP4583461B2 (en)
KR (1) KR100639765B1 (en)
TW (1) TWI222108B (en)

Cited By (254)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100326354A1 (en) * 2008-08-28 2010-12-30 Tokyo Ohka Kogyo Co., Ltd. Substrate processing system, carrying device, and coating device
US20120059502A1 (en) * 2010-09-07 2012-03-08 Tokyo Electron Limited Substrate transfer method and storage medium
US9214372B2 (en) 2008-08-28 2015-12-15 Tokyo Ohka Kogyo Co., Ltd. Substrate processing system, carrying device and coating device
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11956977B2 (en) 2021-08-31 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices

Families Citing this family (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000286319A (en) * 1999-03-31 2000-10-13 Canon Inc Substrate transferring method and semiconductor manufacturing apparatus
WO2002004774A2 (en) * 2000-07-07 2002-01-17 Applied Materials, Inc. Automatic door opener
JP3581310B2 (en) * 2000-08-31 2004-10-27 Tdk株式会社 Semiconductor wafer processing equipment with dustproof function
JP4342745B2 (en) * 2000-09-27 2009-10-14 株式会社日立国際電気 Substrate processing method and semiconductor device manufacturing method
JP3699348B2 (en) * 2000-11-30 2005-09-28 平田機工株式会社 Drive unit isolation FOUP opener
US7021882B2 (en) 2000-11-30 2006-04-04 Hirata Corporation Drive-section-isolated FOUP opener
JP3697478B2 (en) * 2001-08-20 2005-09-21 ソニー株式会社 Substrate transfer method, load port device, and substrate transfer system
US6926489B2 (en) * 2002-05-09 2005-08-09 Taiwan Semiconductor Manufacturing Co., Ltd Latch sensor for pod transport gripper
EP1522090A4 (en) * 2002-07-15 2006-04-05 Aviza Tech Inc Thermal processing system and configurable vertical chamber
US7959395B2 (en) 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
US7988398B2 (en) * 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US8960099B2 (en) * 2002-07-22 2015-02-24 Brooks Automation, Inc Substrate processing apparatus
US20070183871A1 (en) * 2002-07-22 2007-08-09 Christopher Hofmeister Substrate processing apparatus
KR101028065B1 (en) * 2002-07-22 2011-04-08 브룩스 오토메이션 인코퍼레이티드 Substrate processing apparatus
US7537425B2 (en) * 2002-12-30 2009-05-26 Tdk Corporation Wafer processing apparatus having dust proof function
US7264331B2 (en) * 2003-10-29 2007-09-04 Konica Minolta Medical & Graphic, Inc. Ink jet recording apparatus
US7024275B2 (en) * 2003-11-05 2006-04-04 Taiwan Semiconductor Manufacturing Company Control method and system for an automated material handling system
US7218983B2 (en) 2003-11-06 2007-05-15 Applied Materials, Inc. Method and apparatus for integrating large and small lot electronic device fabrication facilities
US7720557B2 (en) * 2003-11-06 2010-05-18 Applied Materials, Inc. Methods and apparatus for enhanced operation of substrate carrier handlers
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US7210246B2 (en) * 2003-11-10 2007-05-01 Blueshift Technologies, Inc. Methods and systems for handling a workpiece in vacuum-based material handling system
US7413069B2 (en) * 2004-02-28 2008-08-19 Applied Materials, Inc. Methods and apparatus for transferring a substrate carrier within an electronic device manufacturing facility
US7177716B2 (en) 2004-02-28 2007-02-13 Applied Materials, Inc. Methods and apparatus for material control system interface
US7274971B2 (en) 2004-02-28 2007-09-25 Applied Materials, Inc. Methods and apparatus for electronic device manufacturing system monitoring and control
KR20070054683A (en) * 2004-08-23 2007-05-29 브룩스 오토메이션 인코퍼레이티드 Elevator-based tool loading and buffering system
FR2874744B1 (en) * 2004-08-30 2006-11-24 Cit Alcatel VACUUM INTERFACE BETWEEN A MINI-ENVIRONMENT BOX AND EQUIPMENT
TWI452643B (en) * 2006-05-11 2014-09-11 Tokyo Electron Ltd Inspection device and inspection method
KR100909494B1 (en) * 2006-05-11 2009-07-27 도쿄엘렉트론가부시키가이샤 Processing equipment
US8398355B2 (en) * 2006-05-26 2013-03-19 Brooks Automation, Inc. Linearly distributed semiconductor workpiece processing tool
JP4215079B2 (en) * 2006-07-31 2009-01-28 村田機械株式会社 Clean stocker and article storage method
WO2008035324A2 (en) * 2006-09-19 2008-03-27 Coreflow Scientific Solutions Ltd Apparatus for fluid treatment
US8814488B2 (en) * 2007-04-02 2014-08-26 Hitachi Kokusai Electric Inc. Substrate processing apparatus and semiconductor device manufacturing method
JP2009135232A (en) * 2007-11-29 2009-06-18 Sinfonia Technology Co Ltd Load port
KR101077566B1 (en) * 2008-08-20 2011-10-28 세메스 주식회사 Substrate processing apparatus and method for transferring substrate of the same
JP5227701B2 (en) * 2008-08-28 2013-07-03 東京応化工業株式会社 Substrate processing system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8602706B2 (en) * 2009-08-17 2013-12-10 Brooks Automation, Inc. Substrate processing apparatus
KR101152271B1 (en) * 2010-05-07 2012-06-08 유정호 One united type semiconductor processing device
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
JP5980551B2 (en) * 2011-07-13 2016-08-31 株式会社日立国際電気 Temperature detector, substrate processing apparatus, and semiconductor device manufacturing method
US20140286733A1 (en) * 2011-11-09 2014-09-25 Sinfonia Technology Co., Ltd. Load port and efem
JP2013143425A (en) * 2012-01-10 2013-07-22 Tokyo Electron Ltd Substrate processing system and substrate position correction method
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9142437B2 (en) * 2013-04-10 2015-09-22 Globalfoundries Inc. System for separately handling different size FOUPs
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (en) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. Exhaust apparatus and substrate processing apparatus and thin film fabricating method using the same
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP6819565B2 (en) * 2017-12-21 2021-01-27 株式会社ダイフク Goods storage facility
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
JP7300817B2 (en) 2018-09-21 2023-06-30 株式会社Screenホールディングス SUBSTRATE PROCESSING APPARATUS AND CONTROL METHOD OF SUBSTRATE PROCESSING APPARATUS
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11842913B2 (en) * 2021-09-24 2023-12-12 Applied Materials, Inc. Seal mechanisms for load ports

Citations (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5239182A (en) 1991-04-19 1993-08-24 Tokyo Electron Saga Kabushiki Kaisha Wafer conveyor apparatus and method for detecting inclination of wafer inside cassette
US5308993A (en) 1993-03-28 1994-05-03 Avalon Engineering, Inc. Semiconductor wafer cassette mapper having dual vertical column of light emitting apertures and a single vertical column of light receiving apertures
US5319216A (en) 1991-07-26 1994-06-07 Tokyo Electron Limited Substrate detector with light emitting and receiving elements arranged in staggered fashion and a polarization filter
US5407181A (en) 1992-11-26 1995-04-18 Tokyo Electron Kabushiki Kaisha Vertical heat treating device
US5609459A (en) 1995-07-06 1997-03-11 Brooks Automation, Inc. Door drive mechanisms for substrate carrier and load lock
JPH10125763A (en) 1996-08-29 1998-05-15 Tokyo Electron Ltd Treatment device
US5772386A (en) 1995-03-28 1998-06-30 Jenoptik Ag Loading and unloading station for semiconductor processing installations
JPH10256346A (en) 1997-03-13 1998-09-25 Tokyo Electron Ltd Cassette transferring mechanism and semiconductor manufacturing apparatus
JPH1131729A (en) 1997-07-14 1999-02-02 Dainippon Screen Mfg Co Ltd Substrate housing vessel supply device
JPH11204617A (en) 1998-01-13 1999-07-30 Dainippon Screen Mfg Co Ltd Substrate detecting device and substrate feed in/out apparatus
JPH11214483A (en) 1998-01-21 1999-08-06 Hitachi Techno Eng Co Ltd Wafer detector in cassette
JPH11251392A (en) 1998-02-27 1999-09-17 Dainippon Screen Mfg Co Ltd Device and method for carrying substrate
JPH11251421A (en) 1998-02-27 1999-09-17 Dainippon Screen Mfg Co Ltd Cover opening/closing equipment for substrate storing container and equipment for carrying in/out of substrate
JPH11345854A (en) 1998-06-02 1999-12-14 Dainippon Screen Mfg Co Ltd Board processor
JPH11354602A (en) 1998-06-03 1999-12-24 Mecs Corp Cover latch device for pod opener
JP2000012670A (en) 1998-06-19 2000-01-14 Nikon Corp Substrate cassette
US6042324A (en) 1999-03-26 2000-03-28 Asm America, Inc. Multi-stage single-drive FOUP door system
US6050891A (en) 1998-02-06 2000-04-18 Applied Materials, Inc. Vacuum processing system with turbo-axial fan in clean-air supply system of front end environment
US6057662A (en) 1998-02-25 2000-05-02 Applied Materials, Inc. Single motor control for substrate handler in processing system
JP2000150400A (en) 1998-11-18 2000-05-30 Tokyo Electron Ltd Vertical thermal processing device and boat transportation method
US6074154A (en) 1996-08-29 2000-06-13 Tokyo Electron Limited Substrate treatment system, substrate transfer system, and substrate transfer method
US6142722A (en) 1998-06-17 2000-11-07 Genmark Automation, Inc. Automated opening and closing of ultra clean storage containers
US6481945B1 (en) 1998-06-05 2002-11-19 Asm International N.V. Method and device for transferring wafers

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2725496B2 (en) * 1991-09-30 1998-03-11 三菱電機株式会社 Transfer equipment
JP3176160B2 (en) * 1993-01-21 2001-06-11 東京エレクトロン株式会社 Processing equipment
JP3625617B2 (en) * 1997-06-10 2005-03-02 東京エレクトロン株式会社 Substrate processing device, substrate detection device in cassette
US6579052B1 (en) * 1997-07-11 2003-06-17 Asyst Technologies, Inc. SMIF pod storage, delivery and retrieval system
JP3656701B2 (en) * 1998-03-23 2005-06-08 東京エレクトロン株式会社 Processing equipment
JP2987148B1 (en) * 1999-01-26 1999-12-06 国際電気株式会社 Substrate processing equipment
JP4578615B2 (en) * 1999-07-21 2010-11-10 東京エレクトロン株式会社 Heat treatment equipment

Patent Citations (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5239182A (en) 1991-04-19 1993-08-24 Tokyo Electron Saga Kabushiki Kaisha Wafer conveyor apparatus and method for detecting inclination of wafer inside cassette
US5319216A (en) 1991-07-26 1994-06-07 Tokyo Electron Limited Substrate detector with light emitting and receiving elements arranged in staggered fashion and a polarization filter
US5407181A (en) 1992-11-26 1995-04-18 Tokyo Electron Kabushiki Kaisha Vertical heat treating device
US5308993A (en) 1993-03-28 1994-05-03 Avalon Engineering, Inc. Semiconductor wafer cassette mapper having dual vertical column of light emitting apertures and a single vertical column of light receiving apertures
US5772386A (en) 1995-03-28 1998-06-30 Jenoptik Ag Loading and unloading station for semiconductor processing installations
US6071059A (en) 1995-03-28 2000-06-06 Brooks Automation Gmbh Loading and unloading station for semiconductor processing installations
US6609876B2 (en) 1995-03-28 2003-08-26 Brooks Automation, Inc. Loading and unloading station for semiconductor processing installations
US6461094B1 (en) 1995-03-28 2002-10-08 Jenoptik Ag Loading and unloading station for semiconductor processing installations
US6375403B1 (en) 1995-03-28 2002-04-23 Brooks Automation, Gmbh Loading and unloading station for semiconductor processing installations
US5609459A (en) 1995-07-06 1997-03-11 Brooks Automation, Inc. Door drive mechanisms for substrate carrier and load lock
JPH10125763A (en) 1996-08-29 1998-05-15 Tokyo Electron Ltd Treatment device
US6425722B1 (en) 1996-08-29 2002-07-30 Tokyo Electron Limited Substrate treatment system, substrate transfer system, and substrate transfer method
US6074154A (en) 1996-08-29 2000-06-13 Tokyo Electron Limited Substrate treatment system, substrate transfer system, and substrate transfer method
JPH10256346A (en) 1997-03-13 1998-09-25 Tokyo Electron Ltd Cassette transferring mechanism and semiconductor manufacturing apparatus
KR19980080191A (en) 1997-03-13 1998-11-25 히가시 데츠로 Cassette Carry-In / Out Device and Semiconductor Manufacturing Equipment
JPH1131729A (en) 1997-07-14 1999-02-02 Dainippon Screen Mfg Co Ltd Substrate housing vessel supply device
JPH11204617A (en) 1998-01-13 1999-07-30 Dainippon Screen Mfg Co Ltd Substrate detecting device and substrate feed in/out apparatus
JPH11214483A (en) 1998-01-21 1999-08-06 Hitachi Techno Eng Co Ltd Wafer detector in cassette
US6050891A (en) 1998-02-06 2000-04-18 Applied Materials, Inc. Vacuum processing system with turbo-axial fan in clean-air supply system of front end environment
US6057662A (en) 1998-02-25 2000-05-02 Applied Materials, Inc. Single motor control for substrate handler in processing system
JPH11251421A (en) 1998-02-27 1999-09-17 Dainippon Screen Mfg Co Ltd Cover opening/closing equipment for substrate storing container and equipment for carrying in/out of substrate
JPH11251392A (en) 1998-02-27 1999-09-17 Dainippon Screen Mfg Co Ltd Device and method for carrying substrate
JPH11345854A (en) 1998-06-02 1999-12-14 Dainippon Screen Mfg Co Ltd Board processor
JPH11354602A (en) 1998-06-03 1999-12-24 Mecs Corp Cover latch device for pod opener
US6481945B1 (en) 1998-06-05 2002-11-19 Asm International N.V. Method and device for transferring wafers
US6142722A (en) 1998-06-17 2000-11-07 Genmark Automation, Inc. Automated opening and closing of ultra clean storage containers
JP2000012670A (en) 1998-06-19 2000-01-14 Nikon Corp Substrate cassette
JP2000150400A (en) 1998-11-18 2000-05-30 Tokyo Electron Ltd Vertical thermal processing device and boat transportation method
US6247245B1 (en) 1998-11-18 2001-06-19 Tokyo Electron Limited Processing unit for substrate manufacture
US6042324A (en) 1999-03-26 2000-03-28 Asm America, Inc. Multi-stage single-drive FOUP door system

Non-Patent Citations (4)

* Cited by examiner, † Cited by third party
Title
Japanese Office Action, dated Apr. 8, 2009, issued in Japanese Patent Application No. 2001-115619 with English language translation.
Japanese Office Action, dated Dec. 18, 2008, issued in Japanese Patent Application No. 2001-115619 with English language translation.
Korean Office Action, dated Nov. 30, 2005, issued in Korea Patent Application No. 10-2001-0020422 with English language translation.
Taiwanese Office Action, dated May 17, 2002, issued in Taiwanese Patent Application No. 90109146 with English language translation.

Cited By (312)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9214372B2 (en) 2008-08-28 2015-12-15 Tokyo Ohka Kogyo Co., Ltd. Substrate processing system, carrying device and coating device
US8919756B2 (en) 2008-08-28 2014-12-30 Tokyo Ohka Kogyo Co., Ltd. Substrate processing system, carrying device, and coating device
US20100326354A1 (en) * 2008-08-28 2010-12-30 Tokyo Ohka Kogyo Co., Ltd. Substrate processing system, carrying device, and coating device
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9002494B2 (en) * 2010-09-07 2015-04-07 Tokyo Electron Limited Substrate transfer method and storage medium
US20120059502A1 (en) * 2010-09-07 2012-03-08 Tokyo Electron Limited Substrate transfer method and storage medium
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11961741B2 (en) 2021-03-04 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2021-04-26 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11956977B2 (en) 2021-08-31 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11959171B2 (en) 2022-07-18 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11952658B2 (en) 2022-10-24 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material

Also Published As

Publication number Publication date
JP5518132B2 (en) 2014-06-11
JP5237336B2 (en) 2013-07-17
JP2010147499A (en) 2010-07-01
KR20010098658A (en) 2001-11-08
JP4583461B2 (en) 2010-11-17
JP4581031B2 (en) 2010-11-17
JP2010161389A (en) 2010-07-22
US6641350B2 (en) 2003-11-04
JP2011040743A (en) 2011-02-24
JP2012199584A (en) 2012-10-18
TWI222108B (en) 2004-10-11
US20010038783A1 (en) 2001-11-08
JP4581032B2 (en) 2010-11-17
JP2008182255A (en) 2008-08-07
KR100639765B1 (en) 2006-10-31

Similar Documents

Publication Publication Date Title
USRE43023E1 (en) Dual loading port semiconductor processing equipment
JP6582676B2 (en) Load lock device and substrate processing system
US5607276A (en) Batchloader for substrate carrier on load lock
US5613821A (en) Cluster tool batchloader of substrate carrier
US5944857A (en) Multiple single-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US6042623A (en) Two-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US5664925A (en) Batchloader for load lock
US5609459A (en) Door drive mechanisms for substrate carrier and load lock
US6120229A (en) Substrate carrier as batchloader
KR20060017925A (en) Double dual slot load lock for process equipment
JP2001298069A (en) Device for storing and carrying cassette
US20070065581A1 (en) Substrate processing system and method
US10971382B2 (en) Loadlock module and semiconductor manufacturing apparatus including the same
JPH10256346A (en) Cassette transferring mechanism and semiconductor manufacturing apparatus
US6537012B2 (en) Vacuum processing apparatus and a vacuum processing system
US20020124960A1 (en) Substrate processing apparatus
JP3438826B2 (en) Processing device and method of using the same
US20230133714A1 (en) Apparatus for treating substrate and method for treating substrate
JP4728383B2 (en) Substrate processing apparatus and semiconductor device manufacturing method
KR20050015016A (en) Semiconductor manufacturing system for high temperature thermal process
JP2001358192A (en) Semiconductor manufacturing facilities
KR200444491Y1 (en) Double dual slot load lock for process equipment
JP2002043389A (en) Substrate treating apparatus

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 12

AS Assignment

Owner name: KOKUSAI ELECTRIC CORPORATION, JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:HITACHI KOKUSAI ELECTRIC INC.;REEL/FRAME:047082/0912

Effective date: 20181004

IPR Aia trial proceeding filed before the patent and appeal board: inter partes review

Free format text: TRIAL NO: IPR2019-00393

Opponent name: ASM IP HOLDING B.V.

Effective date: 20181203