WO1999039288A3 - Method and system for creating optimized physical implementations from high-level descriptions of electronic design - Google Patents

Method and system for creating optimized physical implementations from high-level descriptions of electronic design Download PDF

Info

Publication number
WO1999039288A3
WO1999039288A3 PCT/US1999/001965 US9901965W WO9939288A3 WO 1999039288 A3 WO1999039288 A3 WO 1999039288A3 US 9901965 W US9901965 W US 9901965W WO 9939288 A3 WO9939288 A3 WO 9939288A3
Authority
WO
WIPO (PCT)
Prior art keywords
design
partitions
chip
implementations
electronic
Prior art date
Application number
PCT/US1999/001965
Other languages
French (fr)
Other versions
WO1999039288A2 (en
Inventor
Tommy K Eng
Original Assignee
Tera Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=21772380&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=WO1999039288(A3) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Tera Systems Inc filed Critical Tera Systems Inc
Priority to JP53957299A priority Critical patent/JP2001519958A/en
Priority to IL13208299A priority patent/IL132082A/en
Priority to EP99903493A priority patent/EP0979471A2/en
Priority to AU23500/99A priority patent/AU2350099A/en
Publication of WO1999039288A2 publication Critical patent/WO1999039288A2/en
Publication of WO1999039288A3 publication Critical patent/WO1999039288A3/en

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • G06F30/3947Routing global
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/06Power analysis or power optimisation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/12Timing analysis or timing optimisation

Abstract

An electronic design automation system provides optimization of RTL models of electronic designs, to produce detailed constraints and data precisely defining the requirements for the back-end flows leading to design fabrication. The system takes an RTL model of an electronic design and maps it into an efficient, high level hierarchical representation of the hardware implementation of the design. Automatic partitioning partitions the hardware representation into functional partitions, and creates a fully characterized performance envelope for a range of feasible implementations for each of the partitions, using accurate placement based wire load models. Chip-level optimization selects and refines physical implementations of the partitions to produce compacted, globally routed floorplans. Chip-level optimization iteratively invokes re-partitioning passes to refine the partitions and to recompute the feasible implementations. In this fashion, a multiple-pass process converges on an optimal selection of physical implementations for all partitions for the entire chip that meet minimum timing requirements and other design goals. The system outputs specific control and data files which thoroughly define the implementation details of the design through the entire back-end flow process, thereby guaranteeing that the fabricated design meets all design goals without costly and time consuming design iterations.
PCT/US1999/001965 1998-01-30 1999-01-29 Method and system for creating optimized physical implementations from high-level descriptions of electronic design WO1999039288A2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP53957299A JP2001519958A (en) 1998-01-30 1999-01-29 Method and system for generating optimal physical embodiments from high-level descriptions of electronic designs
IL13208299A IL132082A (en) 1998-01-30 1999-01-29 Method and system for creating optimized physical implementation from high-level descriptions of electronic design
EP99903493A EP0979471A2 (en) 1998-01-30 1999-01-29 Method and system for creating optimized physical implementations from high-level descriptions of electronic design
AU23500/99A AU2350099A (en) 1998-01-30 1999-01-29 Method and system for creating optimized physical implementations from high-level descriptions of electronic design

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/015,602 1998-01-30
US09/015,602 US6145117A (en) 1998-01-30 1998-01-30 Creating optimized physical implementations from high-level descriptions of electronic design using placement based information

Publications (2)

Publication Number Publication Date
WO1999039288A2 WO1999039288A2 (en) 1999-08-05
WO1999039288A3 true WO1999039288A3 (en) 1999-09-30

Family

ID=21772380

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1999/001965 WO1999039288A2 (en) 1998-01-30 1999-01-29 Method and system for creating optimized physical implementations from high-level descriptions of electronic design

Country Status (6)

Country Link
US (4) US6145117A (en)
EP (1) EP0979471A2 (en)
JP (1) JP2001519958A (en)
AU (1) AU2350099A (en)
IL (1) IL132082A (en)
WO (1) WO1999039288A2 (en)

Families Citing this family (251)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6519749B1 (en) * 1998-01-09 2003-02-11 Silicon Perspective Corporation Integrated circuit partitioning placement and routing system
US6145117A (en) * 1998-01-30 2000-11-07 Tera Systems Incorporated Creating optimized physical implementations from high-level descriptions of electronic design using placement based information
US6286128B1 (en) * 1998-02-11 2001-09-04 Monterey Design Systems, Inc. Method for design optimization using logical and physical information
US6314552B1 (en) * 1998-05-18 2001-11-06 Lev A. Markov Electronic design creation through architectural exploration
US6442743B1 (en) * 1998-06-12 2002-08-27 Monterey Design Systems Placement method for integrated circuit design using topo-clustering
US6243653B1 (en) * 1998-08-17 2001-06-05 Vlsi Technology, Inc. Methods and apparatus for extracting parasitic capacitance values from a physical design of an integrated circuit
JP2000133718A (en) * 1998-10-23 2000-05-12 Mitsubishi Electric Corp Equipment and method for wiring capacitance improvement supporting and medium with program for recorded therein
TW476069B (en) * 1998-11-20 2002-02-11 Via Tech Inc Placement and routing for array device
US6381731B1 (en) * 1999-01-19 2002-04-30 Laurence W. Grodd Placement based design cells injection into an integrated circuit design
JP3250542B2 (en) * 1999-03-23 2002-01-28 日本電気株式会社 LSI design method
US6584605B1 (en) * 1999-04-15 2003-06-24 Sycon Design, Inc. Method for forming a relative placement of components of an integrated circuit using a structural similarity group
US6505328B1 (en) * 1999-04-27 2003-01-07 Magma Design Automation, Inc. Method for storing multiple levels of design data in a common database
US6438735B1 (en) 1999-05-17 2002-08-20 Synplicity, Inc. Methods and apparatuses for designing integrated circuits
US6519754B1 (en) * 1999-05-17 2003-02-11 Synplicity, Inc. Methods and apparatuses for designing integrated circuits
JP3231741B2 (en) * 1999-06-28 2001-11-26 エヌイーシーマイクロシステム株式会社 Standard cell, standard cell row, standard cell placement and routing device and placement and routing method
US6430731B1 (en) * 1999-08-04 2002-08-06 International Business Machines Corporation Methods and apparatus for performing slew dependent signal bounding for signal timing analysis
EP1126052B1 (en) * 1999-08-11 2003-09-03 Toyo Boseki Kabushiki Kaisha High strength polyethylene fiber and its use
US6397341B1 (en) * 1999-08-27 2002-05-28 Synopsys, Inc. Method for improving the speed of behavioral synthesis links to logic synthesis
US7810069B2 (en) * 1999-10-05 2010-10-05 Borland Software Corporation Methods and systems for relating data structures and object-oriented elements for distributed computing
US7036103B2 (en) * 1999-10-14 2006-04-25 Synopsys, Inc. Detailed placer for optimizing high density cell placement in a linear runtime
AU1232501A (en) * 1999-10-29 2001-05-14 Antrim Design Systems, Inc. Mixed signal synthesis behavioral models and use in circuit design optimization
JP2001142927A (en) * 1999-11-16 2001-05-25 Matsushita Electric Ind Co Ltd Design method for semiconductor integrated circuit device, power consumption analyzing method for circuit and power consumption analyzing device
US6557160B2 (en) * 1999-12-21 2003-04-29 Khalil Shalish Correlation of behavioral HDL signals
US6442745B1 (en) * 1999-12-29 2002-08-27 Intel Corporation Method and apparatus for layout-constrained global routing
US6591407B1 (en) * 2000-03-01 2003-07-08 Sequence Design, Inc. Method and apparatus for interconnect-driven optimization of integrated circuit design
US6817005B2 (en) 2000-05-25 2004-11-09 Xilinx, Inc. Modular design method and system for programmable logic devices
US6631508B1 (en) * 2000-06-07 2003-10-07 Xilinx, Inc. Method and apparatus for developing and placing a circuit design
US6539533B1 (en) * 2000-06-20 2003-03-25 Bae Systems Information And Electronic Systems Integration, Inc. Tool suite for the rapid development of advanced standard cell libraries
US6701496B1 (en) * 2000-07-20 2004-03-02 Silicon Graphics, Inc. Synthesis with automated placement information feedback
US6588001B1 (en) * 2000-08-31 2003-07-01 Micron Technology, Inc. Method for inserting repeater cells in a deep sub-micron design
US6567967B2 (en) 2000-09-06 2003-05-20 Monterey Design Systems, Inc. Method for designing large standard-cell base integrated circuits
US6721922B1 (en) * 2000-09-27 2004-04-13 Cadence Design Systems, Inc. System for electronic circuit characterization, analysis, modeling and plan development
US6622291B1 (en) * 2000-10-30 2003-09-16 Cadence Design Systems, Inc. Method and apparatus for physical budgeting during RTL floorplanning
US7013438B1 (en) * 2000-11-01 2006-03-14 Cadence Design Systems, Inc. System chip synthesis
US6857116B1 (en) * 2000-11-15 2005-02-15 Reshape, Inc. Optimization of abutted-pin hierarchical physical design
US6496962B1 (en) * 2000-11-17 2002-12-17 Lsi Logic Corporation Standard library generator for cell timing model
US6704917B1 (en) * 2000-11-21 2004-03-09 Micro Industries Corporation Table driven design system and method
US6530069B2 (en) * 2000-11-29 2003-03-04 Unisys Corporation Printed circuit board design, testing, and manufacturing process
US6449760B1 (en) * 2000-11-30 2002-09-10 Lsi Logic Corporation Pin placement method for integrated circuits
US6711729B1 (en) * 2000-12-05 2004-03-23 Synplicity, Inc. Methods and apparatuses for designing integrated circuits using automatic reallocation techniques
US6634014B1 (en) * 2000-12-12 2003-10-14 Lsi Logic Corporation Delay/load estimation for use in integrated circuit design
US7302670B2 (en) * 2000-12-21 2007-11-27 Bryan Darrell Bowyer Interactive interface resource allocation in a behavioral synthesis tool
US6584600B2 (en) * 2001-02-15 2003-06-24 Hewlett-Packard Development Company, L.P. Hierarchical metal one usage tool for child level leaf cell
US7103523B2 (en) * 2001-03-01 2006-09-05 International Business Machines Corporation Method and apparatus for implementing multiple configurations of multiple IO subsystems in a single simulation model
US6470476B2 (en) 2001-03-16 2002-10-22 International Business Machines Corporation Substitution of non-minimum groundrule cells for non-critical minimum groundrule cells to increase yield
US6480991B1 (en) * 2001-04-11 2002-11-12 International Business Machines Corporation Timing-driven global placement based on geometry-aware timing budgets
US6507939B1 (en) * 2001-05-15 2003-01-14 Lsi Logic Corporation Net delay optimization with ramptime violation removal
US7082104B2 (en) * 2001-05-18 2006-07-25 Intel Corporation Network device switch
US7107564B1 (en) * 2001-06-03 2006-09-12 Cadence Design Systems, Inc. Method and apparatus for routing a set of nets
US7103863B2 (en) * 2001-06-08 2006-09-05 Magma Design Automation, Inc. Representing the design of a sub-module in a hierarchical integrated circuit design and analysis system
JP2005517223A (en) * 2001-06-08 2005-06-09 マグマ・デザイン・オートメーション・インコーポレイテッド Method for generating design constraints for modules of hierarchical integrated circuit design system
US7363609B2 (en) * 2001-07-26 2008-04-22 International Business Machines Corporation Method of logic circuit synthesis and design using a dynamic circuit library
US6480999B1 (en) 2001-07-26 2002-11-12 Xilinx, Inc. Signal routing in programmable logic devices
DE10137574B4 (en) * 2001-07-31 2006-01-19 Infineon Technologies Ag Method, computer program and data processing system for processing network topologies
US6598216B2 (en) * 2001-08-08 2003-07-22 International Business Machines Corporation Method for enhancing a power bus in I/O regions of an ASIC device
US7093224B2 (en) 2001-08-28 2006-08-15 Intel Corporation Model-based logic design
US6859913B2 (en) * 2001-08-29 2005-02-22 Intel Corporation Representing a simulation model using a hardware configuration database
US7107201B2 (en) * 2001-08-29 2006-09-12 Intel Corporation Simulating a logic design
US6983427B2 (en) * 2001-08-29 2006-01-03 Intel Corporation Generating a logic design
US7130784B2 (en) * 2001-08-29 2006-10-31 Intel Corporation Logic simulation
US7073156B2 (en) * 2001-08-29 2006-07-04 Intel Corporation Gate estimation process and method
US20030046054A1 (en) * 2001-08-29 2003-03-06 Wheeler William R. Providing modeling instrumentation with an application programming interface to a GUI application
US20030046051A1 (en) * 2001-08-29 2003-03-06 Wheeler William R. Unified design parameter dependency management method and apparatus
US6766500B1 (en) * 2001-12-06 2004-07-20 Synopsys, Inc. Multiple pass optimization for automatic electronic circuit placement
US6567971B1 (en) 2001-12-20 2003-05-20 Logicvision, Inc. Circuit synthesis method using technology parameters extracting circuit
KR100429573B1 (en) * 2001-12-24 2004-05-03 주식회사 하이닉스반도체 Method for creating Register transfer level code
US6789234B2 (en) * 2001-12-28 2004-09-07 International Business Machines Corporation Method and system for a timing based logic entry
US7197724B2 (en) * 2002-01-17 2007-03-27 Intel Corporation Modeling a logic design
US20030145311A1 (en) * 2002-01-25 2003-07-31 Wheeler William R. Generating simulation code
US6763507B2 (en) * 2002-01-30 2004-07-13 Agilent Technologies, Inc. System and method for testing abstracted timing models
US7310787B2 (en) * 2002-03-08 2007-12-18 Shiv Prakash Array transformation in a behavioral synthesis tool
US7188327B2 (en) * 2002-04-11 2007-03-06 Cadence Design Systems, Inc. Method and system for logic-level circuit modeling
US8082138B1 (en) * 2002-04-11 2011-12-20 Synopsys, Inc. Automated bottom-up and top-down partitioned design synthesis
US6732343B2 (en) * 2002-05-13 2004-05-04 Agilent Technologies, Inc. System and methods for placing clock buffers in a datapath stack
US6880133B2 (en) * 2002-05-15 2005-04-12 Sonics, Inc. Method and apparatus for optimizing distributed multiplexed bus interconnects
US7149991B2 (en) * 2002-05-30 2006-12-12 Nec Electronics America, Inc. Calibrating a wire load model for an integrated circuit
US6980211B2 (en) * 2002-06-04 2005-12-27 Springsoft, Inc. Automatic schematic diagram generation using topology information
US6789248B1 (en) 2002-06-24 2004-09-07 Taiwan Semiconductor Manufacturing Company Method and apparatus to perform resistance and capacitance (RC) parameter customization for better timing closure results in physical synthesis and optimization
US7127692B2 (en) * 2002-06-27 2006-10-24 Lsi Logic Corporation Timing abstraction and partitioning strategy
US6848084B1 (en) * 2002-07-02 2005-01-25 Cadence Design Systems, Inc. Method and apparatus for verification of memories at multiple abstraction levels
US20040010766A1 (en) * 2002-07-10 2004-01-15 Swope John M. Method and system for automated design of printed circuit boards
US6971083B1 (en) * 2002-11-13 2005-11-29 Altera Corporation Method for programming programmable logic device with blocks that perform multiplication and other arithmetic functions
US6907588B2 (en) * 2002-12-31 2005-06-14 Lsi Logic Corporation Congestion estimation for register transfer level code
US6757885B1 (en) 2002-12-31 2004-06-29 Lsi Logic Corporation Length matrix generator for register transfer level code
US7137082B1 (en) 2003-03-28 2006-11-14 Magma Design Automation Inc. Reduced architecture processing paths
US7765506B2 (en) * 2003-04-04 2010-07-27 Synopsys, Inc. Method and apparatus for automated synthesis of multi-channel circuits
US7093204B2 (en) * 2003-04-04 2006-08-15 Synplicity, Inc. Method and apparatus for automated synthesis of multi-channel circuits
US7082584B2 (en) * 2003-04-30 2006-07-25 Lsi Logic Corporation Automated analysis of RTL code containing ASIC vendor rules
US6990651B2 (en) * 2003-05-14 2006-01-24 Lsi Logic Corporation Advanced design format library for integrated circuit design synthesis and floorplanning tools
US7757197B1 (en) 2003-05-29 2010-07-13 Altera Corporation Method and apparatus for utilizing constraints for the routing of a design on a programmable logic device
US7178124B1 (en) 2003-05-30 2007-02-13 Golden Gate Technology, Inc. Methods, algorithms, software, architectures and system for placing clocked components and routing timing signals in a circuit and/or layout
US7823112B1 (en) 2003-05-30 2010-10-26 Golden Gate Technology, Inc. Method, software and system for ensuring timing between clocked components in a circuit
US7178118B2 (en) * 2003-05-30 2007-02-13 Synplicity, Inc. Method and apparatus for automated circuit design
US7627842B1 (en) 2003-06-03 2009-12-01 Cadence Design Systems, Inc. Method and system for verification of circuits with encoded signals
CA2527970C (en) 2003-06-18 2014-07-29 Ambric, Inc Integrated circuit development system
DE10338964A1 (en) * 2003-08-25 2005-04-07 Kuratorium Offis E.V. Circuit design method using high level synthesis, involves dividing the circuit diagram into a number of syntactic and semantic elements and assigning classes to each element from a hierarchical class structure
US7437707B2 (en) * 2003-12-12 2008-10-14 International Business Machines Corporation Systems and methods for generating applications that are automatically optimized for network performance
US7213220B2 (en) * 2003-12-19 2007-05-01 International Business Machines Corporation Method for verification of gate level netlists using colored bits
JP4001584B2 (en) * 2004-02-26 2007-10-31 松下電器産業株式会社 Simulation device
US7117473B1 (en) * 2004-03-03 2006-10-03 Xilinx, Inc. System for creating a physical hierarchy of a chip without restriction by invading a logical hierarchy of logic blocks
US7073149B2 (en) * 2004-03-03 2006-07-04 Xilinx, Inc. System for representing the logical and physical information of an integrated circuit
US7437695B1 (en) 2004-03-03 2008-10-14 Xilinx, Inc. Method of memory and run-time efficient hierarchical timing analysis in programmable logic devices
US20050210430A1 (en) * 2004-03-18 2005-09-22 Keller S B System and method to optimize logical configuration relationships in VLSI circuit analysis tools
US20050210428A1 (en) * 2004-03-18 2005-09-22 Keller S B System and method for flattening hierarchical designs in VLSI circuit analysis tools
JP4159496B2 (en) * 2004-03-26 2008-10-01 エルピーダメモリ株式会社 CIRCUIT DIAGRAM CREATION DEVICE, CIRCUIT DIAGRAM CREATION METHOD, ITS PROGRAM, AND RECORDING MEDIUM CONTAINING THE PROGRAM
US7448012B1 (en) 2004-04-21 2008-11-04 Qi-De Qian Methods and system for improving integrated circuit layout
US20050268268A1 (en) * 2004-06-01 2005-12-01 Tera Systems, Inc. Methods and systems for structured ASIC electronic design automation
WO2005119440A2 (en) * 2004-06-01 2005-12-15 Tera Systems, Inc. Methods and systems for mixed-mode physical synthesis in electronic design automation
US20050268258A1 (en) * 2004-06-01 2005-12-01 Tera Systems, Inc. Rule-based design consultant and method for integrated circuit design
WO2005119442A2 (en) * 2004-06-01 2005-12-15 Tera Systems, Inc. Methods and systems for cross-probing in integrated circuit design
US7278122B2 (en) * 2004-06-24 2007-10-02 Ftl Systems, Inc. Hardware/software design tool and language specification mechanism enabling efficient technology retargeting and optimization
WO2006004710A2 (en) * 2004-06-30 2006-01-12 Coherent Logix Incorporated Execution of hardware description language (hdl) programs
US7331027B2 (en) * 2004-07-20 2008-02-12 International Business Machines Corporation Method for swapping circuits in a metal-only engineering change
US7260802B2 (en) * 2004-11-08 2007-08-21 Synopsys, Inc. Method and apparatus for partitioning an integrated circuit chip
US7305640B1 (en) * 2004-11-12 2007-12-04 Altera Corporation Programmable soft macro memory using gate array base cells
US7155688B2 (en) * 2004-11-17 2006-12-26 Lsi Logic Corporation Memory generation and placement
US7254789B1 (en) * 2004-12-01 2007-08-07 Altera Corporation Optimizing long-path and short-path timing and accounting for manufacturing and operating condition variability
US7290232B1 (en) * 2004-12-01 2007-10-30 Altera Corporation Optimizing long-path and short-path timing and accounting for manufacturing and operating condition variability
JP2006164132A (en) * 2004-12-10 2006-06-22 Matsushita Electric Ind Co Ltd Method for net list creation and method for layout design for semiconductor integrated circuit
US20060155520A1 (en) * 2005-01-11 2006-07-13 O'neill Peter M Model-based pre-assembly testing of multi-component production devices
US7493578B1 (en) * 2005-03-18 2009-02-17 Xilinx, Inc. Correlation of data from design analysis tools with design blocks in a high-level modeling system
JP2006301961A (en) * 2005-04-20 2006-11-02 Matsushita Electric Ind Co Ltd Automatic floor planning technique for semiconductor integrated circuit
US7281233B1 (en) * 2005-05-27 2007-10-09 Xilinx, Inc. Method and apparatus for implementing a circuit design for integrated circuitry on a circuit board
US7451427B2 (en) * 2005-06-13 2008-11-11 Atrenta, Inc. Bus representation for efficient physical synthesis of integrated circuit designs
WO2007002799A1 (en) * 2005-06-29 2007-01-04 Lightspeed Logic, Inc. Methods and systems for placement
US7752588B2 (en) * 2005-06-29 2010-07-06 Subhasis Bose Timing driven force directed placement flow
JP4321502B2 (en) * 2005-07-07 2009-08-26 セイコーエプソン株式会社 Drive circuit, electro-optical device, and electronic apparatus
US7444610B1 (en) 2005-08-03 2008-10-28 Xilinx, Inc. Visualizing hardware cost in high level modeling systems
US20070033557A1 (en) * 2005-08-08 2007-02-08 Byrn Jonathan W Method for creating constraints for integrated circuit design closure
GB0516634D0 (en) * 2005-08-12 2005-09-21 Univ Sussex Electronic circuit design
US7398494B2 (en) * 2005-08-30 2008-07-08 International Business Machines Corporation Method for performing verification of logic circuits
US7496869B1 (en) 2005-10-04 2009-02-24 Xilinx, Inc. Method and apparatus for implementing a program language description of a circuit design for an integrated circuit
US7363599B1 (en) 2005-10-04 2008-04-22 Xilinx, Inc. Method and system for matching a hierarchical identifier
US7424687B2 (en) * 2005-11-16 2008-09-09 Lsi Corporation Method and apparatus for mapping design memories to integrated circuit layout
US8069016B2 (en) * 2005-12-08 2011-11-29 3M Innovative Properties Company Virtual designer
US7735050B2 (en) * 2006-02-09 2010-06-08 Henry Yu Managing and controlling the use of hardware resources on integrated circuits
US7761272B1 (en) 2006-03-10 2010-07-20 Xilinx, Inc. Method and apparatus for processing a dataflow description of a digital processing system
US7380232B1 (en) 2006-03-10 2008-05-27 Xilinx, Inc. Method and apparatus for designing a system for implementation in a programmable logic device
US8402409B1 (en) 2006-03-10 2013-03-19 Xilinx, Inc. Method and apparatus for supporting run-time reconfiguration in a programmable logic integrated circuit
US7971173B1 (en) * 2006-04-28 2011-06-28 Cadence Design Systems, Inc. Method and system for implementing partial reconfiguration and rip-up of routing
US7614028B1 (en) 2006-04-28 2009-11-03 Cadence Design Systems, Inc. Representation, configuration, and reconfiguration of routing method and system
US7657860B1 (en) 2006-04-28 2010-02-02 Cadence Design Systems, Inc. Method and system for implementing routing refinement and timing convergence
US8332793B2 (en) * 2006-05-18 2012-12-11 Otrsotech, Llc Methods and systems for placement and routing
US20070283306A1 (en) * 2006-05-30 2007-12-06 Matthias Koefferlein Layout cells, layout cell arrangement, method of generating a layout cell, method of generating a layout cell arrangement, computer program products
US8065640B1 (en) * 2006-06-02 2011-11-22 Cadence Design Systems, Inc. Systems and methods for reduced test case generation
US8302042B2 (en) 2006-07-24 2012-10-30 Oasys Design Systems Generating a convergent circuit design from a functional description using entities having access to the functional description and to physical design information
US20080092113A1 (en) * 2006-10-12 2008-04-17 Weinstein Randall K System and method for configuring a programmable electronic device to include an execution engine
US20080109780A1 (en) * 2006-10-20 2008-05-08 International Business Machines Corporation Method of and apparatus for optimal placement and validation of i/o blocks within an asic
JP5248762B2 (en) * 2006-10-27 2013-07-31 富士通株式会社 Design data dependency management device, design data dependency management method and program
US7551985B1 (en) * 2006-10-30 2009-06-23 Cadence Design Systems, Inc. Method and apparatus for power consumption optimization for integrated circuits
US8127260B1 (en) * 2006-11-22 2012-02-28 Cadence Design Systems, Inc. Physical layout estimator
US7636902B1 (en) * 2006-12-15 2009-12-22 Sprint Communications Company L.P. Report validation tool
US8504978B1 (en) 2009-03-30 2013-08-06 Cadence Design Systems, Inc. User interface for timing budget analysis of integrated circuit designs
US8640066B1 (en) 2007-01-10 2014-01-28 Cadence Design Systems, Inc. Multi-phase models for timing closure of integrated circuit designs
US7926011B1 (en) * 2007-01-10 2011-04-12 Cadence Design Systems, Inc. System and method of generating hierarchical block-level timing constraints from chip-level timing constraints
US8365113B1 (en) 2007-01-10 2013-01-29 Cadence Design Systems, Inc. Flow methodology for single pass parallel hierarchical timing closure of integrated circuit designs
US8977995B1 (en) * 2007-01-10 2015-03-10 Cadence Design Systems, Inc. Timing budgeting of nested partitions for hierarchical integrated circuit designs
US7673257B1 (en) * 2007-03-05 2010-03-02 Calypto Design Systems, Inc. System, method and computer program product for word-level operator-to-cell mapping
US20080244472A1 (en) * 2007-03-29 2008-10-02 Atrenta, Inc. Method for accelerating the generation of an optimized gate-level representation from a rtl representation
DE102007017850A1 (en) * 2007-04-16 2008-10-23 Edaptability E.K. Complex circuits partitioning method for e.g. verilog, involves successively providing essential influence on decision point of partitioning process during complete processing of process algorithm
US7568176B2 (en) * 2007-06-04 2009-07-28 International Business Machines Corporation Method, system, and computer program product for hierarchical integrated circuit repartitioning
US20080307374A1 (en) * 2007-06-05 2008-12-11 International Business Machines Corporation Method, system, and computer program product for mapping a logical design onto an integrated circuit with slack apportionment
US8819608B2 (en) * 2007-07-23 2014-08-26 Synopsys, Inc. Architectural physical synthesis
US8595674B2 (en) 2007-07-23 2013-11-26 Synopsys, Inc. Architectural physical synthesis
JP4945360B2 (en) * 2007-07-27 2012-06-06 株式会社日立製作所 Design apparatus, design method, and program
US20090064082A1 (en) * 2007-08-27 2009-03-05 International Business Machines Corporation Method for custom register circuit design
US7684892B2 (en) * 2007-10-30 2010-03-23 Gm Global Technology Operations, Inc. Process for generating control sequence of operations
US7913203B1 (en) 2007-11-23 2011-03-22 Altera Corporation Method and apparatus for designing a system on multiple field programmable gate array device types
US7873934B1 (en) 2007-11-23 2011-01-18 Altera Corporation Method and apparatus for implementing carry chains on field programmable gate array devices
US8935651B1 (en) * 2007-12-28 2015-01-13 Cadence Design Systems, Inc. Methods and apparatus for data path cluster optimization
WO2009113312A1 (en) * 2008-03-13 2009-09-17 株式会社ニコン Semiconductor device design system, semiconductor device manufacturing method, semiconductor device, and substrate bonding device
US8010935B2 (en) 2008-05-07 2011-08-30 Lsi Corporation Electronic design automation tool and method for optimizing the placement of process monitors in an integrated circuit
US8099702B2 (en) * 2008-07-30 2012-01-17 Synopsys, Inc. Method and apparatus for proximate placement of sequential cells
US8307315B2 (en) * 2009-01-30 2012-11-06 Synopsys, Inc. Methods and apparatuses for circuit design and optimization
US8656332B2 (en) * 2009-02-26 2014-02-18 International Business Machines Corporation Automated critical area allocation in a physical synthesized hierarchical design
US8099701B2 (en) * 2009-02-27 2012-01-17 Oracle America, Inc. Efficient chip routing method and apparatus for integrated circuit blocks with multiple connections
US8587993B2 (en) 2009-03-02 2013-11-19 Qualcomm Incorporated Reducing source loading effect in spin torque transfer magnetoresisitive random access memory (STT-MRAM)
US8448122B1 (en) * 2009-04-01 2013-05-21 Xilinx, Inc. Implementing sub-circuits with predictable behavior within a circuit design
JP2010257164A (en) * 2009-04-24 2010-11-11 Renesas Electronics Corp Design method of semiconductor integrated circuit device, and program
US8239805B2 (en) 2009-07-27 2012-08-07 Lsi Corporation Method for designing integrated circuits employing a partitioned hierarchical design flow and an apparatus employing the method
US8185851B2 (en) * 2009-08-12 2012-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. Memory building blocks and memory design using automatic design tools
US8255847B1 (en) * 2009-10-01 2012-08-28 Altera Corporation Method and apparatus for automatic hierarchical design partitioning
US8762919B2 (en) * 2009-12-09 2014-06-24 International Business Machines Corporation Circuit macro placement using macro aspect ratio based on ports
US8281274B1 (en) 2010-01-08 2012-10-02 Altera Corporation Method and apparatus for performing efficient incremental compilation
US8185850B1 (en) * 2010-03-23 2012-05-22 Xilinx, Inc. Method of implementing a circuit design using control and data path information
US20110289396A1 (en) * 2010-05-19 2011-11-24 Kong Ping Oh Spreadsheet-Based Graphical User Interface for Dynamic System Modeling and Simulation
US9230047B1 (en) * 2010-06-11 2016-01-05 Altera Corporation Method and apparatus for partitioning a synthesis netlist for compile time and quality of results improvement
US8943451B2 (en) * 2010-06-23 2015-01-27 Mentor Graphics Corporation Hierarchical finite state machine generation for power state behavior in an electronic design
US8499266B2 (en) * 2010-06-30 2013-07-30 Terence Wai-kwok Chan Race logic synthesis for large-scale integrated circuit designs
US8484588B2 (en) * 2010-07-13 2013-07-09 Algo to Chip Corporation System, architecture and micro-architecture (SAMA) representation of an integrated circuit
US8234615B2 (en) * 2010-08-04 2012-07-31 International Business Machines Corporation Constraint programming based method for bus-aware macro-block pin placement in a hierarchical integrated circuit layout
US8271920B2 (en) * 2010-08-25 2012-09-18 International Business Machines Corporation Converged large block and structured synthesis for high performance microprocessor designs
JP5815717B2 (en) 2010-10-15 2015-11-17 コーヒレント・ロジックス・インコーポレーテッド Disabling communication in multiprocessor systems
US8316335B2 (en) 2010-12-09 2012-11-20 International Business Machines Corporation Multistage, hybrid synthesis processing facilitating integrated circuit layout
US8549461B2 (en) 2010-12-09 2013-10-01 Synopsys, Inc. Generation of independent logical and physical hierarchy
US8397197B1 (en) 2011-05-25 2013-03-12 Applied Micro Circuits Corporation Integrated circuit module time delay budgeting
US8875079B2 (en) * 2011-09-29 2014-10-28 Lsi Corporation System and method of automated design augmentation for efficient hierarchical implementation
US8769462B2 (en) * 2011-10-07 2014-07-01 Synopsys, Inc. Parasitic extraction for semiconductors
US8453093B2 (en) 2011-10-17 2013-05-28 International Business Machines Corporation Alignment net insertion for straightening the datapath in a force-directed placer
US8584062B2 (en) * 2011-10-27 2013-11-12 Apple Inc. Tool suite for RTL-level reconfiguration and repartitioning
US8667444B2 (en) * 2012-02-17 2014-03-04 Synopsys, Inc. Concurrent placement and routing using hierarchical constraints
US8756538B2 (en) * 2012-02-20 2014-06-17 International Business Machines Corporation Parsing data representative of a hardware design into commands of a hardware design environment
US8434052B1 (en) 2012-02-21 2013-04-30 Avago Technologies General Ip (Singapore) Pte. Ltd. System and method for ensuring partitioned block physical compatibility between revisions of an integrated circuit design
US8762904B2 (en) * 2012-03-28 2014-06-24 Synopsys, Inc. Optimizing logic synthesis for environmental insensitivity
US8589848B2 (en) * 2012-04-19 2013-11-19 International Business Machines Corporation Datapath placement using tiered assignment
US8589855B1 (en) * 2012-05-30 2013-11-19 International Business Machines Corporation Machine-learning based datapath extraction
US8863058B2 (en) * 2012-09-24 2014-10-14 Atrenta, Inc. Characterization based buffering and sizing for system performance optimization
US8769455B1 (en) 2012-12-18 2014-07-01 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for synchronous hierarchical implementation of electronic circuit designs
US10558437B1 (en) * 2013-01-22 2020-02-11 Altera Corporation Method and apparatus for performing profile guided optimization for high-level synthesis
US8751983B1 (en) * 2013-03-07 2014-06-10 Oracle International Corporation Method for design partitioning at the behavioral circuit design level
US9411925B2 (en) * 2014-04-14 2016-08-09 Lenovo Enterprise Solutions (Singapore) Pte. Ltd. Simultaneously viewing multi paired schematic and layout windows on printed circuit board (PCB) design software and tools
US11720736B2 (en) * 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US9529951B2 (en) 2014-05-29 2016-12-27 International Business Machines Corporation Synthesis tuning system for VLSI design optimization
US9286421B1 (en) * 2014-08-05 2016-03-15 Cadence Design Systems, Inc. Methods, systems, and articles of manufacture for back annotating and visualizing parasitic models of electronic designs
US9378326B2 (en) * 2014-09-09 2016-06-28 International Business Machines Corporation Critical region identification
US9940428B2 (en) * 2014-10-07 2018-04-10 Mentor Graphics Corporation Hierarchical fill in a design layout
US9881119B1 (en) 2015-06-29 2018-01-30 Cadence Design Systems, Inc. Methods, systems, and computer program product for constructing a simulation schematic of an electronic design across multiple design fabrics
US9881120B1 (en) 2015-09-30 2018-01-30 Cadence Design Systems, Inc. Method, system, and computer program product for implementing a multi-fabric mixed-signal design spanning across multiple design fabrics with electrical and thermal analysis awareness
US9852254B2 (en) * 2015-11-10 2017-12-26 Arteris, Inc. Automatic architecture placement guidance
US9934341B2 (en) 2015-11-11 2018-04-03 International Business Machines Corporation Simulation of modifications to microprocessor design
US9928329B2 (en) 2016-01-27 2018-03-27 International Business Machines Corporation Layout of large block synthesis blocks in integrated circuits
US9495501B1 (en) * 2016-01-29 2016-11-15 International Business Machines Corporation Large cluster persistence during placement optimization of integrated circuit designs
US10325050B2 (en) * 2016-04-14 2019-06-18 Oracle International Corporation User-defined partitions for logical and physical circuit syntheses
US9934354B1 (en) 2016-06-30 2018-04-03 Cadence Design Systems, Inc. Methods, systems, and computer program product for implementing a layout-driven, multi-fabric schematic design
US10664377B2 (en) * 2016-07-15 2020-05-26 Blackberry Limited Automation of software verification
CN117272924A (en) 2017-04-28 2023-12-22 三星电子株式会社 Method for designing integrated circuit
KR102402673B1 (en) * 2017-04-28 2022-05-26 삼성전자주식회사 Computer-implemented method and computing system for designing integrated circuit by considering process variations of Back-End-Of-Line
CN108052739B (en) * 2017-12-13 2021-07-20 嘉兴倚韦电子科技有限公司 Method for designing quick channel of semi-custom back-end design of integrated circuit
US10719651B2 (en) * 2017-12-30 2020-07-21 Arteris, Inc. Synthesizing topology for an interconnect network of a system-on-chip with intellectual property blocks
US10565347B2 (en) * 2018-03-29 2020-02-18 International Business Machines Corporation Global routing optimization
US10503861B1 (en) * 2018-05-21 2019-12-10 Xilinx, Inc. Placing and routing an interface portion and a main portion of a circuit design
CN110489814B (en) * 2019-07-26 2022-09-27 西安理工大学 Method for assisting chip layout planning by extracting data stream from code
US11120171B2 (en) * 2019-09-13 2021-09-14 Mccormick Systems Llc. System and method for construction cost estimation for non-computer aided design (CAD) files
US10831955B1 (en) 2019-11-19 2020-11-10 International Business Machines Corporation Prediction of closure feasibility in microprocessor design
US11080456B2 (en) 2019-11-28 2021-08-03 International Business Machines Corporation Automated design closure with abutted hierarchy
US10997333B1 (en) * 2019-12-05 2021-05-04 Cadence Design Systems, Inc. Methods, systems, and computer program product for characterizing an electronic design with a schematic driven extracted view
US10990724B1 (en) 2019-12-27 2021-04-27 Arteris, Inc. System and method for incremental topology synthesis of a network-on-chip
US11657203B2 (en) 2019-12-27 2023-05-23 Arteris, Inc. Multi-phase topology synthesis of a network-on-chip (NoC)
US11665776B2 (en) 2019-12-27 2023-05-30 Arteris, Inc. System and method for synthesis of a network-on-chip for deadlock-free transformation
US11558259B2 (en) 2019-12-27 2023-01-17 Arteris, Inc. System and method for generating and using physical roadmaps in network synthesis
US11418448B2 (en) 2020-04-09 2022-08-16 Arteris, Inc. System and method for synthesis of a network-on-chip to determine optimal path with load balancing
US10997350B1 (en) * 2020-07-02 2021-05-04 International Business Machines Corporation Semiconductor circuit design and unit pin placement
US11601357B2 (en) 2020-12-22 2023-03-07 Arteris, Inc. System and method for generation of quality metrics for optimization tasks in topology synthesis of a network
US11281827B1 (en) 2020-12-26 2022-03-22 Arteris, Inc. Optimization of parameters for synthesis of a topology using a discriminant function module
US11449655B2 (en) 2020-12-30 2022-09-20 Arteris, Inc. Synthesis of a network-on-chip (NoC) using performance constraints and objectives
US11956127B2 (en) 2021-03-10 2024-04-09 Arteris, Inc. Incremental topology modification of a network-on-chip
US20220300687A1 (en) * 2021-03-16 2022-09-22 Synopsys, Inc. Propagating Physical Design Information Through Logical Design Hierarchy of an Electronic Circuit
US11238206B1 (en) * 2021-03-26 2022-02-01 Xilinx, Inc. Partition wire assignment for routing multi-partition circuit designs
US11907634B2 (en) 2021-09-01 2024-02-20 International Business Machines Corporation Automating addition of power supply rails, fences, and level translators to a modular circuit design
US11663381B2 (en) 2021-09-07 2023-05-30 International Business Machines Corporation Clock mapping in an integrated circuit design
CN115017860B (en) * 2022-06-21 2022-12-13 正心元科技(杭州)有限公司 Incremental layout optimization method and device for layout and wiring synchronization and computer equipment

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0539641A1 (en) * 1991-10-31 1993-05-05 International Business Machines Corporation A design method for the automatic implementation of data-flow partitions in asic's
WO1996002038A1 (en) * 1992-05-01 1996-01-25 Vlsi Technology, Inc. Method and apparatus for synthesizing datapaths for integrated circuit design and fabrication
US5493508A (en) * 1994-06-01 1996-02-20 Lsi Logic Corporation Specification and design of complex digital systems
US5537580A (en) * 1994-12-21 1996-07-16 Vlsi Technology, Inc. Integrated circuit fabrication using state machine extraction from behavioral hardware description language

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5870308A (en) * 1990-04-06 1999-02-09 Lsi Logic Corporation Method and system for creating and validating low-level description of electronic design
US5544067A (en) * 1990-04-06 1996-08-06 Lsi Logic Corporation Method and system for creating, deriving and validating structural description of electronic system from higher level, behavior-oriented description, including interactive schematic design and simulation
US5222030A (en) * 1990-04-06 1993-06-22 Lsi Logic Corporation Methodology for deriving executable low-level structural descriptions and valid physical implementations of circuits and systems from high-level semantic specifications and descriptions thereof
US5572437A (en) * 1990-04-06 1996-11-05 Lsi Logic Corporation Method and system for creating and verifying structural logic model of electronic design from behavioral description, including generation of logic and timing models
US5572436A (en) * 1990-04-06 1996-11-05 Lsi Logic Corporation Method and system for creating and validating low level description of electronic design
US5544066A (en) * 1990-04-06 1996-08-06 Lsi Logic Corporation Method and system for creating and validating low level description of electronic design from higher level, behavior-oriented description, including estimation and comparison of low-level design constraints
US5557531A (en) * 1990-04-06 1996-09-17 Lsi Logic Corporation Method and system for creating and validating low level structural description of electronic design from higher level, behavior-oriented description, including estimating power dissipation of physical implementation
US5553002A (en) * 1990-04-06 1996-09-03 Lsi Logic Corporation Method and system for creating and validating low level description of electronic design from higher level, behavior-oriented description, using milestone matrix incorporated into user-interface
US5541849A (en) * 1990-04-06 1996-07-30 Lsi Logic Corporation Method and system for creating and validating low level description of electronic design from higher level, behavior-oriented description, including estimation and comparison of timing parameters
US5555201A (en) * 1990-04-06 1996-09-10 Lsi Logic Corporation Method and system for creating and validating low level description of electronic design from higher level, behavior-oriented description, including interactive system for hierarchical display of control and dataflow information
US5598344A (en) * 1990-04-06 1997-01-28 Lsi Logic Corporation Method and system for creating, validating, and scaling structural description of electronic device
US5680318A (en) * 1990-12-21 1997-10-21 Synopsys Inc. Synthesizer for generating a logic network using a hardware independent description
US5452239A (en) * 1993-01-29 1995-09-19 Quickturn Design Systems, Inc. Method of removing gated clocks from the clock nets of a netlist for timing sensitive implementation of the netlist in a hardware emulation system
US5764951A (en) * 1995-05-12 1998-06-09 Synopsys, Inc. Methods for automatically pipelining loops
US6026219A (en) * 1995-05-12 2000-02-15 Synopsys, Inc. Behavioral synthesis links to logic synthesis
US6192504B1 (en) * 1997-05-14 2001-02-20 International Business Machines Corporation Methods and systems for functionally describing a digital hardware design and for converting a functional specification of same into a netlist
US6135647A (en) * 1997-10-23 2000-10-24 Lsi Logic Corporation System and method for representing a system level RTL design using HDL independent objects and translation to synthesizable RTL code
US6145117A (en) * 1998-01-30 2000-11-07 Tera Systems Incorporated Creating optimized physical implementations from high-level descriptions of electronic design using placement based information
US6205572B1 (en) * 1998-02-20 2001-03-20 Lsi Logic Corporation Buffering tree analysis in mapped design
US6141631A (en) * 1998-03-25 2000-10-31 Lsi Logic Corporation Pulse rejection circuit model program and technique in VHDL
US6370493B1 (en) * 1998-09-10 2002-04-09 Lsi Logic Corporation Simulation format creation system and method
US6519754B1 (en) * 1999-05-17 2003-02-11 Synplicity, Inc. Methods and apparatuses for designing integrated circuits
US6438735B1 (en) * 1999-05-17 2002-08-20 Synplicity, Inc. Methods and apparatuses for designing integrated circuits
US6341361B1 (en) * 1999-06-01 2002-01-22 Advanced Micro Devices, Inc. Graphical user interface for testability operation

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0539641A1 (en) * 1991-10-31 1993-05-05 International Business Machines Corporation A design method for the automatic implementation of data-flow partitions in asic's
WO1996002038A1 (en) * 1992-05-01 1996-01-25 Vlsi Technology, Inc. Method and apparatus for synthesizing datapaths for integrated circuit design and fabrication
US5493508A (en) * 1994-06-01 1996-02-20 Lsi Logic Corporation Specification and design of complex digital systems
US5537580A (en) * 1994-12-21 1996-07-16 Vlsi Technology, Inc. Integrated circuit fabrication using state machine extraction from behavioral hardware description language

Non-Patent Citations (4)

* Cited by examiner, † Cited by third party
Title
DUTT N D ET AL: "RT component sets for high-level design applications", VLSI DESIGN, 1997, GORDON & BREACH, SWITZERLAND, vol. 5, no. 2, pages 155 - 165, XP002109791, ISSN: 1065-514X *
KUMAR T ET AL: "Hierarchical behavioral partitioning for multicomponent synthesis", PROCEEDINGS EURO-DAC '96. EUROPEAN DESIGN AUTOMATION CONFERENCE WITH EURO-VHDL '96 AND EXHIBITION (CAT. NO.96CB36000), PROCEEDINGS EURO-DAC '96. EUROPEAN DESIGN AUTOMATION CONFERENCE WITH EURO-VHDL '96 AND EXHIBITION, GENEVA, SWITZERLAND, 16-20 SEPT., 1996, Los Alamitos, CA, USA, IEEE Comput. Soc. Press, USA, pages 212 - 217, XP002109792, ISBN: 0-8186-7573-X *
SHAHID KHAM: "RTL FLOORPLANNING SPEEDS DEEP-SUBMICRON DESIGN", COMPUTER DESIGN, vol. 35, no. 2, 1 February 1996 (1996-02-01), pages 103 - 106, XP000555485, ISSN: 0010-4566 *
TUCK B: "RTL floorplanner predicts timing, power for deep-submicron ICs", COMPUT. DES. (INT. ED.) (USA), COMPUTER DESIGN (INTERNATIONAL EDITION), MARCH 1996, PENNWELL PUBLISHING, USA, vol. 35, no. 4, pages 56 - 59, XP002109793, ISSN: 0010-4566 *

Also Published As

Publication number Publication date
EP0979471A2 (en) 2000-02-16
US6360356B1 (en) 2002-03-19
US6145117A (en) 2000-11-07
AU2350099A (en) 1999-08-16
IL132082A (en) 2003-05-29
US7143367B2 (en) 2006-11-28
US20060053396A1 (en) 2006-03-09
IL132082A0 (en) 2001-03-19
JP2001519958A (en) 2001-10-23
US20020059553A1 (en) 2002-05-16
WO1999039288A2 (en) 1999-08-05

Similar Documents

Publication Publication Date Title
WO1999039288A3 (en) Method and system for creating optimized physical implementations from high-level descriptions of electronic design
US11480993B2 (en) Methods for optimizing circuit performance via configurable clock skews
US8661396B1 (en) DSP design system level power estimation
US6026226A (en) Local compilation in context within a design hierarchy
CN106503282B (en) Incremental register retiming for integrated circuit designs
US5471398A (en) MTOL software tool for converting an RTL behavioral model into layout information comprising bounding boxes and an associated interconnect netlist
US7415682B2 (en) Automatic adjustment of optimization effort in configuring programmable devices
US20090031278A1 (en) Architectural physical synthesis
US7725853B1 (en) Optimizing long-path and short-path timing and accounting for manufacturing and operating condition variability
CN106096070B (en) Implementing integrated circuit designs using depopulation and refill operations
WO2001061576A3 (en) Automated processor generation system for designing a configurable processor and method for the same
CN1985256A (en) Execution of hardware description language (HDL) programs
EP3101568B1 (en) Methods for performing register retiming operations into synchronization regions interposed between circuits associated with different clock domains
US20060242616A1 (en) Methods and apparatus for design entry and synthesis of digital circuits
KR960042917A (en) Apparatus and method for generating a mask design
US20090106724A1 (en) Transition Balancing For Noise Reduction/Di/Dt Reduction During Design, Synthesis, and Physical Design
US20080043890A1 (en) TRANSITION BALANCING FOR NOISE REDUCTION /di/dt REDUCTION DURING DESIGN, SYNTHESIS, AND PHYSICAL DESIGN
Gourisetty et al. Low power design flow based on Unified Power Format and Synopsys tool chain
Rinker et al. An automated process for compiling dataflow graphs into reconfigurable hardware
US7305650B1 (en) Data path synthesis apparatus and method for optimizing a behavioral design description being processed by a behavioral synthesis tool
US7685555B1 (en) Macro inference within electronic design automation tools using hardware description language templates
US10169518B1 (en) Methods for delaying register reset for retimed circuits
Sparsø Current trends in high-level synthesis of asynchronous circuits
Tiensyrjä et al. Systemc and ocapi-xl based system-level design for reconfigurable systems-on-chip
Marwedel Code generation for embedded processors: An introduction

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 132082

Country of ref document: IL

AK Designated states

Kind code of ref document: A2

Designated state(s): AL AM AT AU AZ BA BB BG BR BY CA CH CN CU CZ DE DK EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MD MG MK MN MW MX NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT UA UG UZ VN YU ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GH GM KE LS MW SD SZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

WWE Wipo information: entry into national phase

Ref document number: 1999903493

Country of ref document: EP

AK Designated states

Kind code of ref document: A3

Designated state(s): AL AM AT AU AZ BA BB BG BR BY CA CH CN CU CZ DE DK EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MD MG MK MN MW MX NO NZ PL PT RO RU SD SE SG SI SK SL TJ TM TR TT UA UG UZ VN YU ZW

AL Designated countries for regional patents

Kind code of ref document: A3

Designated state(s): GH GM KE LS MW SD SZ UG ZW AM AZ BY KG KZ MD RU TJ TM AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE BF BJ CF CG CI CM GA GN GW ML MR NE SN TD TG

ENP Entry into the national phase

Ref country code: JP

Ref document number: 1999 539572

Kind code of ref document: A

Format of ref document f/p: F

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWP Wipo information: published in national office

Ref document number: 1999903493

Country of ref document: EP

REG Reference to national code

Ref country code: DE

Ref legal event code: 8642

WWW Wipo information: withdrawn in national office

Ref document number: 1999903493

Country of ref document: EP