WO2000019498A1 - In situ deposition of low k si carbide barrier layer, etch stop, and anti-reflective coating for damascene applications - Google Patents

In situ deposition of low k si carbide barrier layer, etch stop, and anti-reflective coating for damascene applications Download PDF

Info

Publication number
WO2000019498A1
WO2000019498A1 PCT/US1999/022424 US9922424W WO0019498A1 WO 2000019498 A1 WO2000019498 A1 WO 2000019498A1 US 9922424 W US9922424 W US 9922424W WO 0019498 A1 WO0019498 A1 WO 0019498A1
Authority
WO
WIPO (PCT)
Prior art keywords
layer
substrate
dielectric layer
silicon carbide
etch stop
Prior art date
Application number
PCT/US1999/022424
Other languages
French (fr)
Inventor
Judy Huang
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/165,248 external-priority patent/US20030089992A1/en
Priority claimed from US09/219,945 external-priority patent/US6635583B2/en
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to EP99951623A priority Critical patent/EP1118107A1/en
Priority to JP2000572907A priority patent/JP2002526916A/en
Publication of WO2000019498A1 publication Critical patent/WO2000019498A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • C30B25/105Heating of the reaction chamber or the substrate by irradiation or electric discharge
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/36Carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/02447Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/0445Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising crystalline silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/931Silicon carbide semiconductor
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/932Boron nitride semiconductor
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/948Radiation resist
    • Y10S438/952Utilizing antireflective layer

Definitions

  • the present invention relates generally to the fabrication of integrated circuits on substrates. More particularly, the invention relates to a low temperature method for producing a low dielectric constant (low K) silicon carbide film utilizing organosilane precursors under certain process regimes, which is useful as a low K barrier layer, etch stop, and anti-reflective coating, and especially for in situ deposition with a plurality of layers, including dielectric layers.
  • low K dielectric constant
  • low K materials have low resistivity and low K (dielectric constant less than 7.0) insulators to reduce the capacitive coupling between adjacent metal lines.
  • the need for low K materials extends to barrier layers, etch stops, and anti-reflective coatings used in photolithography.
  • typical barrier layer, etch stop, and anti-reflective coating materials have dielectric constants that are significantly greater than 7.0 that result in a combined insulator that does not significantly reduce the dielectric constant.
  • better materials are needed for barrier layers, etch stops, and anti-reflective coatings having low K values.
  • the need for low K materials also extends to dielectric layers.
  • Photolithography is a technique used in making integrated circuits that uses light patterns and photoresist materials (typically organic polymers) to develop fine-scaled patterns on a substrate surface.
  • Photoresist materials typically include, for example, naphthoquinone diazides.
  • the high reflectivity of the layer to be patterned must be ameliorated so light ray reflection is reduced.
  • Reflectivity is usually expressed as a percentage of a known standard, such as bare silicon, having a value of 100%. Extraneous reflections from underlying layers can be reflected to the photoresist and expose the photoresist in undesired areas. Any unwanted exposure can distort the lines, vias, and other features intended to be formed.
  • the reflectivity of damascene structures, discussed below, has increased the need for better photolithography processes.
  • ARC anti-reflective coating
  • ARC materials can be organic or inorganic, as described in U.S. Pat. No. 5,710,067, which is incorporated by reference herein.
  • Organic ARCs include spin-on polyimides and polysulfones, among other materials, and are generally more expensive and require more complex processing than inorganic ARCs.
  • Inorganic ARCs include silicon nitride, silicon oxynitride, ⁇ -carbon, titanium nitride, silicon carbide, and amorphous silicon.
  • inorganic ARCs Prior to the present invention, inorganic ARCs typically were characterized by a high K value and were not compatible with low K structures. Use of a high K ARC partially negates the advantage of using low K materials because it adds a high K material to a stack of otherwise low K layers. In some applications, the high K ARC can be removed from the substrate, but the removal adds complexity to the processing sequence. Organic ARCs can be used, but they are generally more expensive and require additional processing.
  • Figure 1 shows a representation of a typical substrate with a positive photoresist deposited over a dielectric, as part of the photolithography processing.
  • a positive photoresist develops in the areas exposed to light, whereas a negative photoresist develops in the areas which are not exposed to light.
  • the integrated circuit 10 includes an underlying substrate 12 having a feature 11, such as a contact, via, line, or trench formed thereon.
  • substrate as used herein can indicate an underlying material, and can be used to represent a series of underlying layers below the layer in question, such as an etch stop or barrier layer.
  • a barrier layer 13 may be deposited over the substrate, followed by a dielectric layer 14.
  • the dielectric layer may be un-doped silicon dioxide also known as un-doped silicon glass (USG), fluorine-doped silicon glass (FSG), or some other low K material.
  • an ARC 15 is deposited over the dielectric layer, followed by a photoresist layer 19.
  • the purpose of the ARC is to reduce or eliminate any reflected light waves, typically, by adjusting three aspects of the ARC material—a refraction index (n), an absorption index (k, distinguished from the "K” of a “low K” dielectric), and the thickness (t) of the ARC to create a phase cancellation and absorption of reflected light.
  • n refraction index
  • k absorption index
  • t thickness
  • the required n, k, and t values depend on the thickness and properties of the underlying layer and need adjustment for each particular application.
  • a computer simulation program such as one entitled “The Positive/Negative Resist Optical Lithography Model", PROLITH/2, v. 4.05, available from Finle Technologies of Austin, Texas, simulates the effect on the n, k, and t values and the reflectivity of the particular layers.
  • n, k, and t are dependent on each application and each substrate thickness, the proper selection may be time consuming and onerous. In addition, the selection of the n, k, and t values may be only applicable to narrow thickness ranges of the underlying layers which may cause additional difficulties in the repeatability of the deposition process from substrate to substrate.
  • Figure 2 is a schematic of the photolithography process in which a light source 23 emits light, such as ultraviolet light, through a patterned template or mask 21 that defines the pattern of light that will be projected onto the photoresist layer 19, ultimately resulting in a patterned substrate.
  • the light typically causes the photoresist in the exposed area 25 to change its solubility to organic solvents.
  • the exposed areas can be removed by soaking or otherwise cleaning the exposed areas while retaining the unexposed areas.
  • Figure 3 is a schematic of the substrate with the feature 27 formed thereon using the etching process. The remainder of the photoresist has been removed, the feature has been etched to the appropriate level, and the substrate is prepared for a subsequent process such as the deposition of a liner, conductor, or other layer(s).
  • the current trend in metallization is to use a damascene structure.
  • the dielectric layer is etched to define both the contacts/vias and the interconnect lines in multi-layered substrates.
  • Metal is then inlaid into the defined pattern and any excess metal is removed from the top of the structure in a planarization process, such as chemical mechanical polishing (CMP).
  • CMP chemical mechanical polishing
  • the reflectivity of such multilevel structures as a damascene structure has raised the required level of performance of ARC materials.
  • the layer to be etched was typically above a single metal layer which is not transparent to the light exposure.
  • the unwanted photoresist exposure from underlying layers was substantially limited to the single metal layer under the photoresist.
  • an increased number of layers above the conductor layer are now used with multilevel patterning.
  • the dielectric layer(s) and other layers beside the conductor layers in features are comparatively transparent to the exposure light and thus more levels of reflections from multiple levels of features can hinder the photolithography processing of the upper layer. For instance, lines and vias/contacts may appear in the substrate at different levels.
  • Silicon nitride and oxynitride have been typical materials used for an ARC, but have a relatively high dielectric constant (dielectric constant greater than 7.0) and may significantly increase the capacitive coupling between interconnect lines.
  • the capacitive coupling may lead to cross talk and/or resistance- capacitance (RC) delay, i.e., the time required to dissipate stored energy, that degrades the overall performance of the device.
  • RC resistance- capacitance
  • SiC silicon carbide
  • U.S. Pat. No. 5,465,680 to Loboda discloses a SiC film in a CVD chamber, but fails to produce the film at low temperatures less than about 600° C.
  • Another Loboda reference U.S. Pat. No. 5,818,071, also inco ⁇ orated herein by reference, discloses a SiC film for use as a barrier layer with a low K dielectric layer, but fails to disclose that the SiC itself may be a low K material. To the inventor's knowledge, a low K SiC has eluded those in the field until the present invention.
  • the Loboda '071 reference is designed to accommodate a subtractive deposition, not a damascene deposition as current technology uses, in which the substrate deposition deposits the metal layer, then etches the metal and deposits the SiC into the etched metal layer, resulting in a much different structure than a damascene structure.
  • the processing would benefit by reducing the transfers outside of an enclosed cluster of chambers or even reducing the transfers out of the processing chamber itself by performing in situ processing on multiple layers.
  • the processing would include the same or similar precursors used for the multiple layers, such as the barrier layer(s), etch stop(s), ARC(s), and dielectric layer(s).
  • the present mvention provides a SiC material, formed according to certain process regimes, useful as a barrier layer, etch stop, and/or an ARC, in multiple levels, including the pre-metal dielectric (PMD) level, in IC applications and provides a dielectric layer deposited in situ with the SiC material for the barrier layers, etch stops, and ARCs.
  • the chamber is configured to deliver the same or similar precursors for the barrier layers, etch stops, ARCs, and dielectric layers.
  • the dielectric layer(s) can be deposited with different precursors.
  • the present invention is particularly useful for ICs using high diffusion copper as a conductive material.
  • the invention may also utilize a plasma containing a reducing agent, such as ammonia, to reduce any oxides that may occur, particularly on metal surfaces such as copper filled features.
  • a plasma containing a reducing agent such as ammonia
  • the invention also provides processing regimes that include using an organosilane as a silicon and carbon source, perhaps independently of any other carbon source or hydrogen source, and preferably in the absence of a substantial amount of oxygen to produce a SiC with a dielectric constant of less than 7.0.
  • This particular SiC material is useful in complex structures, such as a damascene structure and is conducive to in situ deposition, especially when used in multiple capacities for the different layers, such as the barrier layer, the etch stop, and the ARC and can include in situ deposition of the associated dielectric layer(s).
  • a preferred process sequence for forming a silicon carbide layer on a substrate comprises introducing silicon, carbon, and a noble gas into a chamber, initiating a plasma in the chamber, reacting the silicon and the carbon in the presence of the plasma to form silicon carbide, depositing a silicon carbide layer having a dielectric constant less than 7.0 on the substrate in the chamber, and depositing a first dielectric layer in situ with the silicon carbide layer.
  • Another aspect of the invention comprises in situ deposition of silicon carbide on a substrate, including depositing a silicon carbide barrier layer on the substrate, depositing a first dielectric layer on the barrier layer in situ with the barrier layer, depositing an etch stop on the first dielectric layer in situ with the first dielectric layer, depositing a second dielectric layer on the etch stop in situ with the etch stop, and depositing an anti-reflective coating on the second dielectric layer in situ with the second dielectric layer.
  • Another aspect of the invention includes a substrate having a silicon carbide layer having a dielectric constant less than 7.0 and deposited on the substrate and a first dielectric layer deposited on the silicon carbide layer in situ with the silicon carbide layer.
  • Figure 1 is a schematic of photoresist material on an ARC in a substrate.
  • Figure 2 is a schematic of a light exposing the photoresist of Figure 1.
  • Figure 3 is a schematic of the substrate of Figures 1 and 2, etched and prepared for subsequent deposition in the feature.
  • Figure 4 is a schematic of a damascene structure using the SiC of the present invention as a barrier layer, an etch stop, and an ARC with an in situ deposition of the dielectric layers.
  • Figure 5 is a Fourier Transform Infrared (FTIR) chart of the SiC of the present invention, indicating a particular bonding structure.
  • FTIR Fourier Transform Infrared
  • Figure 6 is a FTIR chart of a previous SiC, indicating a bonding structure different than the SiC of the present invention.
  • Figure 7 is a graph of a dielectric constant compared to a refraction index for various materials.
  • Figure 8 is a graph of the refraction index compared to the abso ⁇ tion index for two materials, showing that the SiC of the present invention can be tuned to different index values.
  • Figure 9 is a simulation graph of reflectivity contours of the embodiment of Figure 4.
  • Figure 10 is a line drawing of a scanning electron microscopy photograph, showing a patterned photoresist layer using the ARC of the present invention as an upper layer.
  • Figure 11 is a FTIR chart of a moisture test of the SiC of the present invention, when the SiC is also used as a moisture barrier.
  • Figure 12 is an alternative embodiment of Figure 4, using the etch stop as the ARC without using an ARC upper layer.
  • Figure 13 is a reflectivity map of the embodiment of Figure 12, showing the thicknesses of the upper dielectric layer compared to the etch stop.
  • Figure 14 is a reflectivity map of the embodiment of Figure 12, showing the thicknesses of the etch stop compared to the lower dielectric layer under the etch stop.
  • Figure 15 is an alternative embodiment of Figures 4 and 12, without the etch stop and using the barrier layer as the ARC.
  • Figure 16 is a reflectivity map of the embodiment of Figure 15, showing the thicknesses of the dielectric layer above the barrier layer compared to the barrier layer, using the barrier layer as an ARC.
  • Figure 17 is another embodiment similar to the embodiment of Figure 15 with the addition of a SiC ARC layer below the photoresist layer.
  • Figure 18 is a reflectivity map of the embodiment of Figure 17, showing the thickness of the ARC compared to the thickness of the dielectric layer under the ARC.
  • Figure 19 is a graph of copper diffusion into the SiC material of the present invention.
  • the present invention provides a SiC material, formed according to certain process regimes, useful as a barrier layer, etch stop, and/or an ARC, in multiple levels, including the pre-metal dielectric (PMD) level, in IC applications and provides a dielectric layer deposited in situ with the SiC material for the barrier layers, and etch stops, and ARCs.
  • the dielectric layer can be deposited with different precursors as the SiC material, but preferably with the same or similar precursors as the SiC material.
  • the present invention is particularly useful for ICs using high diffusion copper as a conductive material.
  • the invention may also utilize a plasma containing a reducing agent, such as ammonia, to reduce any oxides that may occur, particularly on metal surfaces such as copper filled features.
  • the invention also provides processing regimes that include using an organosilane as a silicon and carbon source, perhaps independently of any other carbon source or hydrogen source, and preferably in the absence of a substantial amount of oxygen to produce a SiC with a dielectric constant of less than 7.0.
  • This particular SiC material is useful in complex structures, such as a damascene structure and is conducive to in situ deposition, especially when used in multiple capacities for the different layers, such as the barrier layer, the etch stop, and the ARC and can include in situ deposition of the associated dielectric layer(s).
  • a desirable characteristic includes depositing the material in situ, i.e., preferably in a given chamber, such as in a plasma chamber, or in a system, such as an integrated cluster tool arrangement, without exposing the material to intermediate contamination environments.
  • In situ processing may be particularly important with a copper conductor, because of its rapid susceptibility to oxidation.
  • different materials may be created, such as a low K dielectric material.
  • the possibilities of in situ deposition of the various layers in a processing chamber or chambers are enhanced using the present invention. For instance, the barrier layer, lower dielectric layer, etch stop, upper dielectric layer, ARC, and other layers can be deposited within one chamber using different process regimes to obtain the different materials.
  • Figure 4 shows a preferred embodiment of a dual damascene structure, utilizing the present invention.
  • the structure includes a deposition of one or more dielectric layer(s), and SiC barrier layer(s), etch stop(s), and ARCs deposited in situ to produce the SiC of the present invention and the dielectric layers.
  • the dielectric layers can be deposited with the same or similar precursors as the SiC material or can be deposited with different precursors.
  • the " embodiment also utilizes a plasma containing a reducing agent, such as ammonia, to reduce any oxides that may occur, particularly on metal surfaces such as copper deposited in features.
  • a reducing agent such as ammonia
  • the integrated circuit 10 includes an underlying substrate 60, which may include a series of layers deposited thereon and in which a feature 62 has been formed. If a conductor is deposited over the feature 62, such as copper, the conductor may oxidize.
  • the oxide can be exposed to a plasma containing a reducing agent of nitrogen and hydrogen, such as ammonia, to reduce the oxide.
  • a plasma containing a reducing agent of nitrogen and hydrogen, such as ammonia to reduce the oxide.
  • a reducing agent of nitrogen and hydrogen such as ammonia
  • One embodiment is described in co-pending U.S. Ser. No. 09/193,920, inco ⁇ orated herein by reference, which describes plasma process parameters using an ammonia flow rate of about 100 to 1000 seem with a chamber pressure range of about 1 to 9 Torr, an RF power of about 100 to 1000 watts for a 200 mm wafer, and a showerhead to substrate spacing of about 200 to 600 mils.
  • the SiC of the present invention can be deposited in situ as a barrier layer, an etch stop, and an ARC with the in situ deposition including the dielectric layers.
  • a SiC barrier layer 64 preferably about 500 A thick, is deposited over the substrate and feature.
  • a dielectric layer 66 may be in situ deposited over the barrier layer 64, preferably about 5000 A thick.
  • the dielectric layer is an oxide based dielectric material having low K characteristics.
  • the dielectric layer may be un-doped silicon dioxide also known as un-doped silicon glass (USG), fluorine-doped silicon glass (FSG), or other silicon-carbon-oxygen based materials, some of which can be low K materials.
  • a low K etch stop 68 also of SiC material according to the present invention, is then in situ deposited on the dielectric layer 66 to a thickness of about 200 A to about 1000 A, preferably about 500 A.
  • the etch stop material is typically a material that has a slower etching rate compared to the dielectric layer that is etched and allows some flexibility in the etching process to ensure that a predetermined depth is reached. In some well characterized etching processes, the etch stop may be unnecessary.
  • Another dielectric layer 70 is deposited over etch stop 68, having a thickness from about 5,000 A to about 10,000 A, preferably about 7000 A. Dielectric layer 70 can be the same material as dielectric layer 66.
  • the dielectric layer 70 can be deposited in situ with the barrier layer 64, dielectric layer 66, and etch stop 68.
  • An ARC 72 also of SiC material and preferably about 600 A thick, is deposited on the dielectric layer 70, using the same or similar chemistry as the underlying etch stop and barrier layer.
  • a photoresist layer (not shown), similar to photoresist layer 19 shown in Figure 1, is deposited on the ARC 72. Depositing and exposing of the photoresist and etching would normally be accomplished in other chambers. The photoresist layer is exposed to form a pattern for the via/contact 20a, using conventional photolithography.
  • the layers are then etched using conventional etch processes, typically using fluorine, carbon, and oxygen ions to form the via/contact 20a.
  • the photoresist layer is subsequently removed.
  • Another photoresist layer is deposited and exposed to pattern the features, such a line/trench 20b and the layer(s) are etched to form the line/trench 20b.
  • the photoresist layer is subsequently removed.
  • a liner 22 may be needed over the features or on the fields between the features, which typically is from Ta, TaN, Ti, TiN, and other materials.
  • a conductive material 20, such as copper or aluminum, is then deposited simultaneously in both the via/contact 20a and the line/trench 20b.
  • the conductive material 20 is deposited over the feature(s), it too may be exposed to a plasma containing a reducing agent, such as ammonia, to reduce any oxides.
  • a reducing agent such as ammonia
  • Another SiC barrier layer 75 may be deposited over the conductive material 20 to help prevent diffusion of the conductor through subsequent layers.
  • SAC self- aligning contact
  • the SAC scheme is similar to the counterbore scheme, except that a photoresist layer is deposited over the etch stop, the etch stop is etched and the photoresist is removed. Then the subsequent layers, such as another dielectric layer, are deposited over the patterned etch stop, an ARC deposited over the dielectric layer, and a second photoresist layer deposited over the ARC, where the stack is again etched.
  • a photoresist layer (not shown) is deposited over the etch stop 68, in typically a separate chamber from the etch stop deposition.
  • the etch stop 68 is etched to form a pattern for a via/contact 20a.
  • the photoresist layer is removed.
  • the dielectric layer 70 and ARC 72 can then be in situ deposited in the same chamber as the etch stop was deposited.
  • Another photoresist layer is deposited on the ARC 72.
  • the photoresist is then exposed to form the pattern for the line/trench 20b.
  • the line/trench 20b and the via/contact 20a are then etched simultaneously.
  • the photoresist layer is subsequently removed.
  • Conductive material 20, and if desired, another barrier layer 75, are deposited over the substrate.
  • the in situ processing is enhanced because of the reduced number of different materials and regimes and, in particular, because the SiC can be used as the barrier layer, etch stop, ARC layer, and even as a passivation layer and moisture barrier.
  • the in situ processing is further enhanced in the preferred embodiment by using the same or similar precursors to deposit the dielectric layers. Reducing or eliminating the need to remove the substrate from the processing chamber between depositing the layers for chamber cleanings and the like improves throughput, reduces downtime, and reduces the risk of contamination.
  • the etching may be performed in the same chamber by adjusting the process conditions.
  • the substrate may be moved to an etching chamber.
  • the processing may be performed within a cluster tool having both a deposition chamber and an etch chamber, such as the cluster tool shown in U.S. Pat. No. 4,951,601, assigned to the current assignee of the invention, and inco ⁇ orated herein by reference.
  • the sealable cluster tool enables processing within the cluster tool to occur without unnecessary exposure to the ambient conditions.
  • a preferred arrangement enables processing within same chamber to reduce the transfer time between chambers for greater throughput.
  • in situ processing provides accurate control over the rate of transition between the deposited layer and the preceding layer.
  • the transition between the two layers is controlled by the transition between the chemistries and the related process parameters used to deposit the layers.
  • the method of the present invention enables accurate control over the transition via control over the plasma, process gas flow rates, and other processing parameters.
  • the transition may be abrupt and can be achieved, for example, by extinguishing the plasma followed by the deposition of the dielectric layers and the various SiC layers while the substrate remains in the chamber. Gradual transitions can also be achieved, for example, by altering the flow rates of the process gases.
  • the flow rate of silicon tetrafluoride may be reduced while increasing the helium or argon flow to create a smooth transition from the dielectric layer to the SiC layer.
  • the flexibility in the transition is made possible by the ability to deposit multiple layers in situ.
  • Table 1 shows the desirable aspects of at least three of the uses of the SiC of the present invention as a barrier layer, an etch stop, and an ARC.
  • a low K material is defined herein as a material having a dielectric constant lower than 7.0 which is lower than that of silicon nitride, traditionally used as a barrier layer material.
  • the "effective" dielectric constant is a composite dielectric constant of the substrate stack with multiple levels. The effective dielectric constant is based on such factors as the layer thicknesses, layer dielectric constants, spacing between features, and feature dimensions.
  • Commercially available software such as "Rafael" by Avant Co ⁇ oration may be used to calculate the predicted effective dielectric constant. For instance, a typical value of a low K dielectric layer is about 2.7.
  • a SiN layer may have a K value of at least 7.0.
  • Using the SiN material with the low K dielectric material would increase the effective K value of the composite and offset some of the advantage of using the low K dielectric material.
  • using the SiC of the present invention with a K value of less than 5, preferably about 4.2, allows more benefit from using low K dielectric material to be obtained.
  • a desirable effective dielectric constant value for the composite structure would be about 5.0 or less, most preferably 3.0 or less.
  • the SiC is used as an ARC, desirable characteristics would include the low K aspect described above as well as a suitable refraction index "n” combined with an abso ⁇ tion index "k” and a thickness "t” of the ARC to obtain a low reflectivity below about 5%, although other values may be selected, so that the coating could be used in multiple applications without necessitating process regime adjustments and variations for each application, as is typically needed, prior to the present invention.
  • the process to produce the SiC should be stable and repeatable for manufacturing consistency.
  • the ARC may remain on the substrate because of its low K attributes, it may also function as a barrier layer between, for instance, an underlying dielectric and a conductor material, such as copper. Adhesion between the layers is important to reduce delamination between the layers and, in some instances, to reduce capacitance and resistance between the layers.
  • the material should also have no substantial diffusion at a substrate annealing temperature of, for example, about 400° to 450° C.
  • the term "no substantial" diffusion is intended to be a functional term, such that any actual diffusion into the layer is less than would affect the ability of the layer to function as a barrier layer and/or etch stop.
  • the SiC of the present invention limits the diffusion to about 250 A.
  • the copper diffusion may impair the desired current and voltage paths and contribute to cross talk. Because of the decreasing feature size, as described above, the lower the dielectric constant, preferably less than 7.0, the lower the probability for cross talk and RC delay.
  • the SiC may be used in a damascene structure and function as a multi- pu ⁇ ose barrier layer, ARC, and etch stop as discussed in one embodiment, it would be beneficial to also have suitable etch stop characteristics, such as an etch selectivity ratio of 20 to 1 or greater with respect to USG, FSG, or other low K dielectric materials.
  • the material should have a high breakdown voltage of 2 MV or more, i.e., the voltage gradient at which the molecules breakdown to allow harmful passage of electrical current.
  • the SiC should also have a low leakage characteristic through the layer, i.e., a low stray direct current that capacitively flows through the material.
  • Table 2 shows the process parameters used to form the SiC layer of the present invention in a 200 mm wafer deposition reactor.
  • the silicon and carbon were derived from a common compound, such as a silane-based compound.
  • the carbon could be supplemented with other compounds, such as methane.
  • organosilane includes any silane-based compound having at least one carbon atom attached, including the preceding list, unless otherwise indicated.
  • the compounds used were trimethylsilane and methylsilane.
  • the process regimes described below provide a SiC material that meets at least some of the characteristics of Table 1 of a barrier layer, etch stop, and/or an ARC. Also, the SiC properties described herein enable a thinner layer to be deposited to achieve desirable film properties.
  • the SiC as an upper layer ARC, allows a diverse range of underlying dielectric thicknesses without needing to adjust the SiC ARC thickness, typical of prior efforts in the field.
  • the SiC material of the present invention has a high resistance to copper diffusion with test data showing that the copper diffusion limit is about 200 to 250 A deep in the barrier layer.
  • the SiC material provides a combination low K etch stop/ARC without using the upper layer ARC in Figure 4.
  • the barrier layer below the dielectric layer functions as the ARC.
  • a SiC film according to the present invention was deposited in a DxZ chamber available from Applied Materials, Inc. of Santa Clara, California.
  • a reactive gas source such as trimethylsilane was flown into the chamber at a rate of about 30 to 500 standard cubic centimeters (seem), more preferably about 50 to 200 seem.
  • a noble gas such as helium or argon, was flown into the chamber at a rate of about 100 to 2000 seem, more preferably about 200 to 1000 seem.
  • the chamber pressure was maintained between about 3 to 10 Torr, more preferably about 6 to 10 Torr.
  • a single 13.56 MHz RF power source applied about 300 to 700 watts with a power density of about 0.67 to 1.55 watts/cm 2 , more preferably about 400 to 600 watts with a power density of about 0.88 to 1.33 watts/cm 2 , to the anode and cathode to form the plasma in the chamber with the silane-based gas.
  • the RF power source may be a mixed-frequency RF power supply that typically supplies power at a higher RF frequency of 13.56 MHz and at a lower RF frequency of 360 kHz to enhance decomposition of the reactive species introduced into the chamber.
  • the substrate surface temperature was maintained between about 200° to 400° C, more preferably about 300° to 400° C, during the deposition of the SiC.
  • the gas dispersion from a gas dispersion element such as a "showerhead" was dispersed at a showerhead to substrate spacing distance between about 300 to 600 mils, more preferably 300 to 500 mils.
  • the carbon was derived from the trimethylsilane or methylsilane, independent of other carbon sources. The reaction occurred without a substantial source of oxygen introduced into the reaction zone.
  • FIG. 5 shows a Fourier Transform Infrared (FTIR) chart for the SiC of the present invention.
  • FTIR Fourier Transform Infrared
  • Figure 6 shows comparative results with a prior SiC material deposited using silane and methane. As can be seen, there is no corresponding peak for Si-(CH 2 )n and even the peak for SiCH 3 is not as noticeable.
  • the SiC of the present invention yielded these unexpected results in providing better barrier layer/etch stop/ARC performance than previous known depositions of SiC. These characteristics allow the SiC to be used in the multiple capacities disclosed herein.
  • Figures 7-19 show various characteristics of the SiC ARC of the present invention.
  • Figure 7 is a graph of test results, using a standard 633 nm wavelength of exposure light, comparing different materials and their dielectric constants versus the refraction index.
  • the x-axis represents the refraction index, n, discussed above. A lower value on the x-axis is preferred and results in better optical quality and transparency.
  • the y-axis represents the dielectric constant. A lower value on the y-axis is preferred to obtain a "low K" substrate stack.
  • SiN typically has an n value of about 2.0 and a dielectric constant value of 7.3, unsuitable for the low K applications.
  • a current state-of-the-art ARC is DARCTM, a type of silicon oxynitride, but the dielectric constant is about 8.5-9.0 with an n value of about 2.2 at a 248 nm wavelength exposure.
  • the preferred SiC of the present invention has a dielectric constant of about 4.2.
  • the SiC#l corresponds to test results using the traditional chemistry for producing SiC, described in U.S. Pat. No. 5,591,566 to Ogawa, which uses a silane with a separate methane/ethane/propane and diatomic hydrogen.
  • This SiC has an n value of about 2.4, and a dielectric constant of about 7.8, undesirable for deposition in low K devices.
  • SiC#2 is one SiC deposited using the chemistry of the present invention.
  • the n value is about 2.3 and the dielectric constant is about 5.1.
  • the SiC#3 produced better optical characteristics, namely, an n value of about 1.9 at the 633 nm exposure wavelength of Figure 7 with a dielectric constant of about 4.2.
  • the SiC of the present invention is suitable for the current emphasis on low K structures and can be used as a barrier layer, an etch stop, and an ARC.
  • the SiC of the present invention in contrast to the traditional high K SiC or SiN ARC, need not be removed from the layer after the photoresist has been exposed and the substrate etched in order to preserve the low K characteristics of the stack, resulting in fewer processing steps.
  • Figure 8 is a graph of the refraction index n compared to the abso ⁇ tion index k for SiC and silicon oxynitride, using a 248 nm exposure wavelength.
  • the SiC of the present invention can be tuned to different n and k values and is compared with a silicon oxynitride ARC.
  • the silicon oxynitride ARC has a high dielectric constant of about 9 and has a difficult to control k value which rapidly increases with a small change in the n value, as shown by the steep slope.
  • the SiC of the present invention has a dielectric constant of about 4.5 and a more stable or controllable abso ⁇ tion index k, as indicated by the flatter curve.
  • a higher abso ⁇ tion index is desirable to better absorb the extraneous reflections.
  • the dielectric constant increases as the abso ⁇ tion index increases.
  • a suitable value for the SiC of the present invention, having a relatively low dielectric constant and a stable process regime is about 2.2 for an n value at the 248 nm exposure wavelength of Figure 8 and about 0.4 for a k value.
  • the abso ⁇ tion index k is in a range of between about 0.2 and about 1.0, and generally is between about 0.3 and 1.0 for commercial uses in photolithography.
  • the SiC of the present invention can approximate the optical qualities of the silicon oxynitride ARC and yet reduce the dielectric constant by about 50%. Beginning at the x-axis value in Figure 8, the slope of line representing the SiC n and k relationship may vary from about 20° to about 60° with the slope of the line shown as about 35°.
  • the low K dielectric layer may be produced by PECVD of an organosilane, an organosiloxane, or combinations thereof, using RF or microwave power to generate reactive oxygen atoms.
  • the dielectric material is deposited using the precursors described above, such as methylsilane or trimethylsilane, reacted in the presence of oxygen, such as with nitrous oxide (N 2 O).
  • N 2 O nitrous oxide
  • the process regimes provided in Table 2 could be used to produce the dielectric layer(s).
  • other process regimes can be used to form the dielectric materials.
  • an organo-silicon compound was oxidized with preferably N 2 O such that the carbon content of the deposited film is from 1 to 50% by atomic weight, preferably about 5 to 30%.
  • a mixed frequency RF power supply supplied power at a high RF power of 13.56 MHz to a showerhead of a PECVD system and a low RF frequency of about 360 KHz to the pedestal of the system.
  • a pulsed RF power provided 13.56 MHz RF power at about 20 watts to 500 watts during about 10%) to 30%) of the duty cycle.
  • the power density of the high frequency RF power was preferably less than about 1 watt/cm 2 .
  • the low frequency power is driven at about 0 watts to 50 watts for pulsed RF power at a duty cycle from about 10%> to 30%).
  • a constant RF power provided 13.56 MHz RF power at about 10 watts to 200 watts.
  • the organosilane and/or organosiloxane was flown into the PECVD chamber at a flow rate of about 5 seem to 500 seem and the oxidizing gas at a flow rate of about 5 seem to 2000 seem.
  • the low power deposition occurred at a temperature range from about -20° C to 40° C.
  • the chamber pressure was a low pressure of less than about 10 Torr.
  • the deposited film was cured at the low pressure and at a temperature of about 100° C to 450° C.
  • the disclosure of the exemplary low K dielectric material is described in U.S. Ser. No. 09/162,915, and is inco ⁇ orated herein by reference.
  • Figure 9 is a simulation graph of reflectivity contours depicting reflectivity values of different combinations of layer thicknesses, using a computer simulation program, entitled "The Positive/Negative Resist Optical Lithography Model", referenced above.
  • the simulation graph is used to predict the substrate reflectivity at incremental rates, resulting is a reflectivity topography that maps the effects on reflectivity of the thickness of one layer to the thickness of an adjacent layer.
  • each contour is set to increment by 2% with the lowest contour representing a 2% reflectivity and the highest contour representing a 16%> reflectivity.
  • the x-axis represents the thickness of the underlying layer, i.e., the dielectric layer 70 in Figure 4.
  • the y-axis represents the SiC thickness used as an ARC, corresponding to the ARC 72 of Figure 4.
  • the goal of obtaining low reflectivity is to minimize the extraneous reflections from the substrate at the photoresist interface between, in this instance, the photoresist layer and the ARC.
  • An optimal reflectivity value is 0%, but a reflectivity of less than about 1% provides commercially acceptable results with a goal of about 5%> or less being preferred to insure repeatability of the photolithography processing. While in some embodiments a 10%> reflectivity is acceptable, 10%> reflectivity is typically a practical limit to the current size and density of features in the substrate.
  • the corresponding preferred ARC thickness on the y-axis can be predicted by locating an ARC thickness having the chosen reflectivity, such as 5%.
  • a dielectric thickness of about 6500 A to about 6750 A shown as range 76 in Figure 9 will predictably need about 200 A of ARC to meet the 5% or less reflectivity criteria.
  • the 200 A layer may be insufficient as a barrier layer to copper if, for instance, copper was deposited on the ARC after etching.
  • the ARC layer would be sufficient, other properties, such as described above, may need consideration.
  • an ARC thickness of more than about 500 A results in less than 5%o reflectivity across the range of dielectric layer thickness in the graph.
  • the ARC layer thickness can be varied or minimized.
  • a dielectric thickness of about 6600 A, plus or minus about 100 A or about 1.5%o can have an ARC thickness of 50 A or more and meet the optical parameters of 5% or less reflectivity.
  • the SiC of the present invention satisfies the desire for a multiple pu ⁇ ose material in providing a barrier layer, etch stop, and an ARC and satisfies the desire for a multiple application material in that a single ARC thickness can meet the optical needs of multiple dielectric thicknesses for a given reflectivity.
  • a layer with a preferred thickness of about 600 A offers one of the lowest reflectivity values across the entire spectrum of the dielectric layer thicknesses, shown as value 78 in the graph.
  • an ARC can be deposited that is substantially independent of the underlying layer thickness.
  • the SiC is preferably deposited between about 500 A to about 1000 A or more, most preferably about 600 A, having a predicted reflectivity of about 2% or less, within the preferred 5% or less reflectivity range.
  • the ARC layer may be a fixed deposition thickness of about 600 A, independent of the underlying dielectric layer thickness. This fixed thickness contrasts with prior ARC materials which generally require ARC thickness adjustments, depending on the underlying dielectric layer thickness and desired reflectively.
  • the SiC of the present invention may also be used as a polish stop to determine the end point of a polishing process.
  • some processing sequences polish the upper surface of the substrate surface to remove excess conductive material and planarize the upper surface of the stack.
  • the substrate is polished by a chemical mechanical polishing (CMP) process, which uses a difference in polishing rates between different materials to determine the limit of polishing.
  • CMP chemical mechanical polishing
  • the SiC ARC has a low K value
  • the ARC can remain on the substrate and does not need to be removed to maintain an effective low K substrate.
  • material may be deposited over the ARC, filling the features.
  • the CMP process then removes any extra conductive or other materials above the SiC layer.
  • the CMP process determines a difference in the polishing rates when the process encounters the SiC ARC and the CMP process is discontinued.
  • Figure 10 is a line drawing of a scanning electron microscopy photograph, showing a cross section of a patterned photoresist layer 74 deposited over a SiC ARC 72 of the present invention.
  • Figure 10 shows the photolithography results of such embodiments as shown in Figure 4, where the ARC is considered the top layer of the substrate prior to the photoresist layer deposition and photolithography processing.
  • the width of the line 80 in the photoresist layer 74 is about a quarter micron, representative of the current size of features.
  • the patterning in the features was uniform and had straight, square sidewalls 84, i.e., no standing wave effects from extraneous light reflections, with a fully exposed bottom 86 and square corner 88 without a substantial rounded "footing" in the corner.
  • the variation in minimum to maximum values of the photoresist width 90 between the lines is 5%> or less, a standard acceptance range for processing.
  • the repeatability from line to line is also shown.
  • the uniformity of the patterned photoresist layer demonstrates that the SiC ARC of the present invention is able to produce a processed substrate with small features and still retain a low K value, in contrast to other ARC materials, such as the silicon oxynitride ARC, described above.
  • the SiC deposited according to the present invention may also be used as a moisture barrier.
  • the CMP process is typically a wet process and moisture can corrupt a substrate circuit. Therefore, a layer is preferably deposited on the substrate to prevent the adverse effects of moisture which could otherwise result from wet processing. If the SiC ARC is used as a polish stop and an upper layer, the SiC ARC would act as a moisture barrier.
  • Figure 11 shows the FTIR results of a moisture test of the SiC material exposed to boiling water for a 30 minute period.
  • the upper line showing the moisture results before the exposure, is offset from the lower line, showing the moisture results after the exposure, to view both lines on the same graph.
  • Tests results show that the SiC of the present invention acts as a moisture barrier throughout the CMP process and thus satisfies the moisture barrier aspect, as well.
  • the moisture level is particularly noted at wave number 1640, which is the H-OH peak, where the results are substantially the same between the two lines, indicating substantially no moisture abso ⁇ tion.
  • Figure 12 is an alternative embodiment of Figure 4, without using a separate ARC.
  • the stack relies on the properties of the SiC of the present invention between adjacent layers to function as an ARC, i.e., the etch stop 68 between the dielectric layers 66 and 70.
  • the layers and numbers correspond to the arrangement described in Figure 4, with the difference being no ARC 72 under the photoresist layer 74, shown in Figure 12.
  • the plasma with the reducing agent can be used to reduce any oxides formed on the conductors, for instance, in features, prior to deposition of the subsequent layer.
  • the thickness of the dielectric layer 70 above the SiC etch stop 68 is adjusted in conjunction with the thickness of the SiC etch stop 68 between the dielectric layers 66 and 70 for a projected reflectivity.
  • the thickness of the dielectric layer 66 is held constant.
  • the photoresist layer 74 would be exposed as described above.
  • the thickness of the barrier layer 64 is about 500 A.
  • the substrate would rely on the reflective and abso ⁇ tive characteristics of the SiC etch stop 68 below the upper dielectric layer 70. Thus, the thicknesses of the two layers are interdependent for a given projected reflectivity.
  • Figure 13 is a reflectivity map of the embodiment of Figure 12, showing the thicknesses of the upper dielectric layer 70 compared to the etch stop 68.
  • the y-axis represents the thickness of the dielectric layer 70 and the x-axis represents the thickness of the SiC etch stop 68.
  • the appropriate thicknesses may be selected for given reflectivity ratios, such as below about 5%. For example, an etch stop thickness of about 150 A, plus or minus about 50 A, would optically satisfy the requirements for all the graphed thicknesses in Figure 13 of the dielectric layer 70 and would have a reflectivity of less than about 5%>.
  • a SiC etch stop of about 720 A thick could be used with a top dielectric layer thickness of about 6500 A or about 7300 A.
  • the thicknesses shown in Figure 13 and other similar figures are typical of the thicknesses used in commercial embodiments. Because the reflectivity pattern repeats, other layer thicknesses not charted could be used. If a higher level of reflectivity were allowed, for instance 6%>, then an etch stop thickness of about 720 A would also satisfy the optical requirements for reflectivity for all the graphed thicknesses on Figure 13.
  • a dielectric thickness of about 6600 A and about 7400 A with close tolerances could allow an etch stop thickness of about 100 A to about 350 A with a reflectivity of about 5% or less.
  • Figure 14 is another reflectivity map of the embodiment of Figure 12, showing the thickness of the etch stop compared to the thickness of the lower dielectric layer under the etch stop.
  • the thickness of the dielectric layer 66 below the etch stop 68 is adjusted in conjunction with the thickness of the etch stop 68 for a projected reflectivity.
  • the upper dielectric layer 70 may remain a certain thickness, such as 7000 A, while the thicknesses of the etch stop 68 and lower dielectric layer 66 are determined for a particular reflectivity.
  • the etch stop thickness is represented by the y-axis and the dielectric layer 66 thickness is represented by the x-axis.
  • the thickness of the dielectric layer 66 could be about 4600 A or about 5400 A to maintain a 5%o or less reflectivity.
  • the thickness of the dielectric layer 66 may change for a different thickness of the dielectric layer 70.
  • iterative solutions may be required to determine a thickness for each dielectric layer that satisfies the various process requirements and still collectively satisfy a reflectivity goal, here of about 5%> or less.
  • Figure 15 is an alternative embodiment of Figures 4 and 12 without the etch stop, where the barrier layer 64 is used as the ARC.
  • the layers and numbers correspond to the arrangement described in Figures 4 and 12, with the difference being no ARC 72 under the photoresist 74, shown in Figures 12 and 15, and no etch stop 68.
  • the plasma with the reducing agent can be used to reduce any oxides formed on the conductors, for instance, in features, prior to deposition of the subsequent layer. If the etch stop is eliminated, then the substrate processing throughput is increased by having fewer steps and a lower effective dielectric constant of the substrate may be obtained. If the process is well characterized and has sufficient control of the etching rate and depth, then the etch stop may not be needed.
  • the thickness of the dielectric layer 66 is increased to compensate for the lack of the second dielectric layer 70 so that the circuit is electrically isolated and may be about 10,000 A to about 12,000 A thick.
  • the dielectric layer 66 thickness is adjusted in conjunction with the thickness of the SiC barrier layer 64 for a projected reflectivity.
  • the photoresist 74 would be exposed as described above. However, the substrate would rely on the reflective and abso ⁇ tive characteristics of the SiC barrier layer 64 below the dielectric layer 66, where the thicknesses of the two layers are interdependent or independent, depending upon the thickness(es) selected and the desired reflectivity.
  • Figure 16 is a reflectivity map of the embodiment of Figure 15, showing the thicknesses of the dielectric layer 66 compared to the SiC barrier layer 64.
  • the y-axis represents the dielectric layer thickness and the x-axis represents the barrier layer thickness.
  • a preferred thickness of the SiC barrier layer when used as an ARC, without the intervening etch stop is about 700 to about 800 A. The preferred thickness yields a predicted 5%o reflectivity or less for all graphed thickness of the dielectric layer, and, thus, the SiC provides ARC optical results substantially independent of the dielectric layer thickness.
  • Figure 17 is another embodiment similar to the embodiment of Figure 15 with the use of SiC below the photoresist layer 74, as an ARC.
  • the etch stop 68 of Figure 4 is not used in the embodiment of Figure 17 and the dielectric layer 66 is typically thicker, e.g., about 10,000 A to about 12,000 A, than the separate dielectric layers of Figure 4.
  • the SiC barrier layer 64 is about 500 A thick, although the thickness could vary. As shown in Figure 18 below, the thickness of the dielectric layer 66 can vary without significantly affecting the reflectivity on the photoresist layer 74, when the SiC ARC 72 thickness is appropriately selected.
  • Figure 18 is a reflectivity map of the embodiment of Figure 17, showing the thickness of the ARC compared to the thickness of the dielectric layer under the ARC for a projected reflectivity.
  • the ARC 72 thickness is represented on the x-axis and the dielectric layer 66 thickness is represented on the y-axis.
  • the reflectivity map shows that with an ARC thickness of about 520 A or greater, any of the graphed thickness of the dielectric layer 66 may result in a reflectivity of about 5% or less.
  • a preferred thickness of the SiC ARC is about 600 A.
  • the pattern repeats, as in other reflectivity maps, and thus other thicknesses of the oxide and/or SiC layer could be determined by extrapolation.
  • the ARC layer may be a deposition thickness of about 600 A, regardless of the underlying layer thickness to obtain a projected reflectivity of about 5% or less.
  • Figure 19 shows the test specimen diffusion results, where the lower curve shows the copper content, showing the diffusion resistance to copper of the SiC material of the present invention.
  • the test specimen was a substrate with a 200 A layer of copper, a 800 A layer of SiC deposited on the copper, and a 1000 A layer of oxide deposited on the SiC. Starting with the y-axis, Figure 19 shows a value 46 of approximately 3 x 10 17 atoms per cubic centimeter (atoms/cc) at a depth of 0 A from the surface of the 1000 A oxide layer.
  • This value reduces to value 48 of about 1 x 10 16 atoms/cc through the oxide layer and into the 800 A SiC layer at a combined depth of about 1570 A.
  • the copper diffusion level then rises logarithmically for the next 230 A to a value 50 of approximately 3 x 10 21 atoms/cc at the copper to copper barrier interface.
  • the copper level reduces by approximately four orders of magnitude, i.e., 1/10,000, within about 200 A to 250 A of the interface. This decrease in the copper level shows the effectiveness of the SiC material of the present invention.
  • the present invention further provides a substrate processing system having a plasma reactor including a chamber, a reaction zone in the chamber, a substrate holder for positioning a substrate in the reaction zone, and a vacuum system.
  • the processing system further comprises a gas/liquid distribution system connecting the reaction zone of the vacuum chamber that supplies an silane-based compound, an inert gas, and an RF generator coupled to the gas distribution system for generating a plasma in the reaction zone.
  • the processing system further includes a controller comprising a computer for controlling the plasma reactor, the gas distribution system, the RF generator, and a memory coupled to the controller, the memory comprising a computer usable medium including a computer readable program code for selecting the process steps for depositing a low dielectric constant film with a plasma of an silane-based compound.
  • the processing system may further comprise in one embodiment computer readable program code for selecting the process steps for depositing a barrier layer and/or etch stop of the silane-based compound, depositing a different dielectric layer, and optionally depositing a capping passivation layer of the silane-based compound.
  • the order of the layers may be modified and thus, the term "deposited on” and the like in the description and the claims includes a layer deposited above the prior layer but not necessarily immediately adjacent the prior layer and can be higher in the stack.
  • various liner layers could be deposited adjacent dielectric layers, barrier layers, etch stops, metal layers, and other layers.

Abstract

The present invention provides a SiC material, formed according to certain process regimes, usefulias a barrier layer, etch stop, and/or an ARC, in multiple levels, including the pre-metal dielectric (PMD) level, in IC applications and provides a dielectric layer deposited in situ with the SiC material for the barrier layers, and etch stops, and ARCs. The dielectric layer can be deposited with different precursors as the SiC material, but preferably with the same or similar precursors as the SiC material. The present invention is particularly useful for ICs using high diffusion copper as a conductive material. The invention may also utilize a plasma containing a reducing agent, such as ammonia, to reduce any oxides that may occur, particularly on metal surfaces such as copper filled features. The invention also provides processing regimes that include using an organosilane as a silicon and carbon source, perhaps independently of any other carbon source or hydrogen source, and preferably in the absence of a substantial amount of oxygen to produce a SiC with a dielectric constant of less than 7.0. This particular SiC material is useful in complex structures, such as a damascene structure and is conducive to in situ deposition, especially when used in multiple capacities for the different layers, such as the barrier layer, the etch stop, and the ARC and can include in situ deposition of the associated dielectric layer(s).

Description

IN SITU DEPOSITION OF LOW K SI CARBIDE BARRIER LAYER , ETCH STOP , AND ANTI- REFLECTIVE COATING FOR DAMASCENE APPLICATIONS
Field of the Invention
The present invention relates generally to the fabrication of integrated circuits on substrates. More particularly, the invention relates to a low temperature method for producing a low dielectric constant (low K) silicon carbide film utilizing organosilane precursors under certain process regimes, which is useful as a low K barrier layer, etch stop, and anti-reflective coating, and especially for in situ deposition with a plurality of layers, including dielectric layers.
Background of the Invention
Consistent and fairly predictable improvement in integrated circuit design and fabrication has been observed in the last decade. One key to successful improvements is the multilevel interconnect technology, which provides the conductive paths between the devices of an integrated circuit (IC) device. The shrinking dimensions of features, presently in the sub-quarter micron and smaller range, such as horizontal interconnects (typically referred to as lines) and vertical interconnects (typically referred to as contacts or vias; contacts extend to a device on the underlying substrate, while vias extend to an underlying metal layer, such as Ml, M2, etc.) in very large scale integration (VLSI) and ultra large scale integration (ULSI) technology, has increased the importance of reducing the dielectric constant of the many layers and the capacitive coupling between interconnect lines. In order to further improve the speed of integrated circuits, it has become necessary to use materials having low resistivity and low K (dielectric constant less than 7.0) insulators to reduce the capacitive coupling between adjacent metal lines. The need for low K materials extends to barrier layers, etch stops, and anti-reflective coatings used in photolithography. However, typical barrier layer, etch stop, and anti-reflective coating materials have dielectric constants that are significantly greater than 7.0 that result in a combined insulator that does not significantly reduce the dielectric constant. Thus, better materials are needed for barrier layers, etch stops, and anti-reflective coatings having low K values. The need for low K materials also extends to dielectric layers. For instance, present efforts seek to establish a dielectric constant value for the dielectric layer(s) to less than 3.0 and preferably less than 2.5. With the increase in circuit density, additional process changes are needed for smaller feature sizes. For instance, efforts are being made to improve the photolithography processes for more precise pattern etching. Photolithography is a technique used in making integrated circuits that uses light patterns and photoresist materials (typically organic polymers) to develop fine-scaled patterns on a substrate surface. Photoresist materials typically include, for example, naphthoquinone diazides. In many instances, to properly process the substrate with photolithography and avoid unwanted patterning, the high reflectivity of the layer to be patterned must be ameliorated so light ray reflection is reduced. Reflectivity is usually expressed as a percentage of a known standard, such as bare silicon, having a value of 100%. Extraneous reflections from underlying layers can be reflected to the photoresist and expose the photoresist in undesired areas. Any unwanted exposure can distort the lines, vias, and other features intended to be formed. The reflectivity of damascene structures, discussed below, has increased the need for better photolithography processes.
With multi-layer structures, increased reflectivity has contributed to imprecise etching. Dielectric layers are naturally translucent to the ultraviolet light used to expose the photoresist. Thus, multi-level dielectrics and features in the damascene structures results in increased and unwanted reflections. As a result, an anti-reflective coating (ARC) is deposited over the layer to be etched, where the ARC is typically a thin sacrificial layer that has a lower reflectivity than the underlying layer and is etched by the same or similar chemistries that are used to etch the underlying layer. The ARC reduces or eliminates the extraneous reflections so that improved feature dimensions and accuracy can be more closely spaced, enabling the increased current density desired for ULSI circuits.
ARC materials can be organic or inorganic, as described in U.S. Pat. No. 5,710,067, which is incorporated by reference herein. Organic ARCs include spin-on polyimides and polysulfones, among other materials, and are generally more expensive and require more complex processing than inorganic ARCs. Inorganic ARCs include silicon nitride, silicon oxynitride, α-carbon, titanium nitride, silicon carbide, and amorphous silicon. Prior to the present invention, inorganic ARCs typically were characterized by a high K value and were not compatible with low K structures. Use of a high K ARC partially negates the advantage of using low K materials because it adds a high K material to a stack of otherwise low K layers. In some applications, the high K ARC can be removed from the substrate, but the removal adds complexity to the processing sequence. Organic ARCs can be used, but they are generally more expensive and require additional processing.
Figure 1 shows a representation of a typical substrate with a positive photoresist deposited over a dielectric, as part of the photolithography processing. A positive photoresist develops in the areas exposed to light, whereas a negative photoresist develops in the areas which are not exposed to light. The integrated circuit 10 includes an underlying substrate 12 having a feature 11, such as a contact, via, line, or trench formed thereon. The term "substrate" as used herein can indicate an underlying material, and can be used to represent a series of underlying layers below the layer in question, such as an etch stop or barrier layer. A barrier layer 13 may be deposited over the substrate, followed by a dielectric layer 14. The dielectric layer may be un-doped silicon dioxide also known as un-doped silicon glass (USG), fluorine-doped silicon glass (FSG), or some other low K material. In this example, an ARC 15 is deposited over the dielectric layer, followed by a photoresist layer 19.
The purpose of the ARC is to reduce or eliminate any reflected light waves, typically, by adjusting three aspects of the ARC material—a refraction index (n), an absorption index (k, distinguished from the "K" of a "low K" dielectric), and the thickness (t) of the ARC to create a phase cancellation and absorption of reflected light. Typically, the required n, k, and t values depend on the thickness and properties of the underlying layer and need adjustment for each particular application. A computer simulation program, such as one entitled "The Positive/Negative Resist Optical Lithography Model", PROLITH/2, v. 4.05, available from Finle Technologies of Austin, Texas, simulates the effect on the n, k, and t values and the reflectivity of the particular layers. The results are analyzed and are typically followed by actual testing and reviewing the results through scanning electron microscopy (SEM) techniques. A proper combination at the various values of n, k, and t is chosen to reduce the reflected light for that application. Because the values of n, k, and t are dependent on each application and each substrate thickness, the proper selection may be time consuming and onerous. In addition, the selection of the n, k, and t values may be only applicable to narrow thickness ranges of the underlying layers which may cause additional difficulties in the repeatability of the deposition process from substrate to substrate.
Figure 2 is a schematic of the photolithography process in which a light source 23 emits light, such as ultraviolet light, through a patterned template or mask 21 that defines the pattern of light that will be projected onto the photoresist layer 19, ultimately resulting in a patterned substrate. The light typically causes the photoresist in the exposed area 25 to change its solubility to organic solvents. Thus, the exposed areas can be removed by soaking or otherwise cleaning the exposed areas while retaining the unexposed areas.
Figure 3 is a schematic of the substrate with the feature 27 formed thereon using the etching process. The remainder of the photoresist has been removed, the feature has been etched to the appropriate level, and the substrate is prepared for a subsequent process such as the deposition of a liner, conductor, or other layer(s).
Traditional deposition/etch processes for forming interconnects has also been improved with the higher circuit density to obtain more precise pattern etching. Thus, new processes are being developed. For instance, the traditional method of forming the circuit was depositing blanket layers of a conductor, etching the conductor to pattern the features, and then depositing dielectric materials over and between the features. With the emphasis on increased circuit density, the process has been somewhat reversed by depositing dielectric layers, etching the dielectric layers to form the features, and filling the features with conductive material to form the vias, lines, and other features.
The current trend in metallization is to use a damascene structure. In a dual damascene structure, the dielectric layer is etched to define both the contacts/vias and the interconnect lines in multi-layered substrates. Metal is then inlaid into the defined pattern and any excess metal is removed from the top of the structure in a planarization process, such as chemical mechanical polishing (CMP).
The reflectivity of such multilevel structures as a damascene structure has raised the required level of performance of ARC materials. Prior to such structures, the layer to be etched was typically above a single metal layer which is not transparent to the light exposure. Thus, the unwanted photoresist exposure from underlying layers was substantially limited to the single metal layer under the photoresist. However, in damascene and other structures, an increased number of layers above the conductor layer are now used with multilevel patterning. The dielectric layer(s) and other layers beside the conductor layers in features are comparatively transparent to the exposure light and thus more levels of reflections from multiple levels of features can hinder the photolithography processing of the upper layer. For instance, lines and vias/contacts may appear in the substrate at different levels. Light reflected from the different features at different levels result in reflected light patterns back to the photoresist layer which, unless corrected, may cause unwanted exposure of the photoresist. Thus, with the decreasing feature sizes, the emphasis on low K stacks, the use of copper, and the complex dual damascene structures, new methods and materials are needed to provide improved ARC characteristics. Silicon nitride and oxynitride have been typical materials used for an ARC, but have a relatively high dielectric constant (dielectric constant greater than 7.0) and may significantly increase the capacitive coupling between interconnect lines. The capacitive coupling may lead to cross talk and/or resistance- capacitance (RC) delay, i.e., the time required to dissipate stored energy, that degrades the overall performance of the device.
In searching for new materials, others have recognized some potential in silicon carbide (SiC) for some applications. But to the knowledge of the inventor, no source has adequately sought and developed a suitable ARC, barrier layer, and etch stop, using SiC. Furthermore, no known source has found a suitable combination of chemistries that allows in situ deposition, for instance, in the same chamber, of low K dielectric layers, and SiC barrier layers, etch stops, and ARCs. Some sources, including U.S. Pat. No. 5,710,067 to Foote, et al, above, have noted or suggested silicon carbide in some form as an ARC. To the knowledge of the inventor, silicon carbide that has been produced using these traditional methods has not been effective in meeting the new process requirements in low K structures. For instance, the disclosed chemistry of U.S. Pat. No. 5,591,566 to Ogawa, which patent is incorporated herein by reference, uses separate sources of silicon, carbon, and hydrogen. This more traditional approach results in a higher K than is desirable for the low K emphasis of the ULSI efforts, especially in damascene structures. Another example, disclosed in U.S. Pat. No. 5,360,491 to Carey, et al, which is also incorporated herein by reference, requires a conversion to a crystalline silicon carbide, denoted as β-SiC.
Another reference referring to SiC is U.S. Pat. No. 4,532,150 to Endo et al, which is incoφorated herein by reference and refers to a specific formulation of SixC,.x in which x is a positive number of 0.2 to 0.9 for providing SiC to a substrate surface. Endo provides no disclosure of SiC as a barrier layer, etch stop, or ARC, and the process parameters given in its examples are below the preferred or most preferred parameters of the present invention.
U.S. Pat. No. 5,465,680 to Loboda, incoφorated herein by reference, discloses a SiC film in a CVD chamber, but fails to produce the film at low temperatures less than about 600° C. Another Loboda reference, U.S. Pat. No. 5,818,071, also incoφorated herein by reference, discloses a SiC film for use as a barrier layer with a low K dielectric layer, but fails to disclose that the SiC itself may be a low K material. To the inventor's knowledge, a low K SiC has eluded those in the field until the present invention. Furthermore, the Loboda '071 reference is designed to accommodate a subtractive deposition, not a damascene deposition as current technology uses, in which the substrate deposition deposits the metal layer, then etches the metal and deposits the SiC into the etched metal layer, resulting in a much different structure than a damascene structure.
Another reference, U.S. Pat. No. 5,238,866 to Boh, et al, also incoφorated herein by reference, uses methane, silane, and phosphine to create a hydrogenated silicon carbide coating for use in the medical field, having an improved compatibility with blood. However, none of these references contain a disclosure for SiC with the following process regimes used as a barrier layer, etch stop, or a low K ARC.
The increasing complexities of the circuitry have also added to the processing steps. Transfers between chambers to effect the processing slow the production process and increase a likelihood of contamination. Thus, the processing would benefit by reducing the transfers outside of an enclosed cluster of chambers or even reducing the transfers out of the processing chamber itself by performing in situ processing on multiple layers. Preferably, the processing would include the same or similar precursors used for the multiple layers, such as the barrier layer(s), etch stop(s), ARC(s), and dielectric layer(s).
Therefore, there is a need for an improved process using silicon carbide as a low K barrier layer, an etch stop, and an ARC for ICs, especially in a damascene structure and to deposit the layers in situ with each other and with associated dielectric layers.
Summary of the Invention
The present mvention provides a SiC material, formed according to certain process regimes, useful as a barrier layer, etch stop, and/or an ARC, in multiple levels, including the pre-metal dielectric (PMD) level, in IC applications and provides a dielectric layer deposited in situ with the SiC material for the barrier layers, etch stops, and ARCs. Preferably, the chamber is configured to deliver the same or similar precursors for the barrier layers, etch stops, ARCs, and dielectric layers. However, the dielectric layer(s) can be deposited with different precursors. The present invention is particularly useful for ICs using high diffusion copper as a conductive material. The invention may also utilize a plasma containing a reducing agent, such as ammonia, to reduce any oxides that may occur, particularly on metal surfaces such as copper filled features. The invention also provides processing regimes that include using an organosilane as a silicon and carbon source, perhaps independently of any other carbon source or hydrogen source, and preferably in the absence of a substantial amount of oxygen to produce a SiC with a dielectric constant of less than 7.0. This particular SiC material is useful in complex structures, such as a damascene structure and is conducive to in situ deposition, especially when used in multiple capacities for the different layers, such as the barrier layer, the etch stop, and the ARC and can include in situ deposition of the associated dielectric layer(s).
A preferred process sequence for forming a silicon carbide layer on a substrate, comprises introducing silicon, carbon, and a noble gas into a chamber, initiating a plasma in the chamber, reacting the silicon and the carbon in the presence of the plasma to form silicon carbide, depositing a silicon carbide layer having a dielectric constant less than 7.0 on the substrate in the chamber, and depositing a first dielectric layer in situ with the silicon carbide layer.
Another aspect of the invention comprises in situ deposition of silicon carbide on a substrate, including depositing a silicon carbide barrier layer on the substrate, depositing a first dielectric layer on the barrier layer in situ with the barrier layer, depositing an etch stop on the first dielectric layer in situ with the first dielectric layer, depositing a second dielectric layer on the etch stop in situ with the etch stop, and depositing an anti-reflective coating on the second dielectric layer in situ with the second dielectric layer.
Another aspect of the invention includes a substrate having a silicon carbide layer having a dielectric constant less than 7.0 and deposited on the substrate and a first dielectric layer deposited on the silicon carbide layer in situ with the silicon carbide layer.
Brief Description of the Drawings
So that the manner in which the above recited features, advantages and objects of the present invention are attained and can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to the embodiments thereof which are illustrated in the appended drawings.
It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
Figure 1 is a schematic of photoresist material on an ARC in a substrate.
Figure 2 is a schematic of a light exposing the photoresist of Figure 1. Figure 3 is a schematic of the substrate of Figures 1 and 2, etched and prepared for subsequent deposition in the feature.
Figure 4 is a schematic of a damascene structure using the SiC of the present invention as a barrier layer, an etch stop, and an ARC with an in situ deposition of the dielectric layers.
Figure 5 is a Fourier Transform Infrared (FTIR) chart of the SiC of the present invention, indicating a particular bonding structure.
Figure 6 is a FTIR chart of a previous SiC, indicating a bonding structure different than the SiC of the present invention.
Figure 7 is a graph of a dielectric constant compared to a refraction index for various materials.
Figure 8 is a graph of the refraction index compared to the absoφtion index for two materials, showing that the SiC of the present invention can be tuned to different index values.
Figure 9 is a simulation graph of reflectivity contours of the embodiment of Figure 4.
Figure 10 is a line drawing of a scanning electron microscopy photograph, showing a patterned photoresist layer using the ARC of the present invention as an upper layer.
Figure 11 is a FTIR chart of a moisture test of the SiC of the present invention, when the SiC is also used as a moisture barrier.
Figure 12 is an alternative embodiment of Figure 4, using the etch stop as the ARC without using an ARC upper layer.
Figure 13 is a reflectivity map of the embodiment of Figure 12, showing the thicknesses of the upper dielectric layer compared to the etch stop.
Figure 14 is a reflectivity map of the embodiment of Figure 12, showing the thicknesses of the etch stop compared to the lower dielectric layer under the etch stop.
Figure 15 is an alternative embodiment of Figures 4 and 12, without the etch stop and using the barrier layer as the ARC.
Figure 16 is a reflectivity map of the embodiment of Figure 15, showing the thicknesses of the dielectric layer above the barrier layer compared to the barrier layer, using the barrier layer as an ARC.
Figure 17 is another embodiment similar to the embodiment of Figure 15 with the addition of a SiC ARC layer below the photoresist layer. Figure 18 is a reflectivity map of the embodiment of Figure 17, showing the thickness of the ARC compared to the thickness of the dielectric layer under the ARC.
Figure 19 is a graph of copper diffusion into the SiC material of the present invention.
Detailed Description of a Preferred Embodiment
The present invention provides a SiC material, formed according to certain process regimes, useful as a barrier layer, etch stop, and/or an ARC, in multiple levels, including the pre-metal dielectric (PMD) level, in IC applications and provides a dielectric layer deposited in situ with the SiC material for the barrier layers, and etch stops, and ARCs. The dielectric layer can be deposited with different precursors as the SiC material, but preferably with the same or similar precursors as the SiC material. The present invention is particularly useful for ICs using high diffusion copper as a conductive material. The invention may also utilize a plasma containing a reducing agent, such as ammonia, to reduce any oxides that may occur, particularly on metal surfaces such as copper filled features. The invention also provides processing regimes that include using an organosilane as a silicon and carbon source, perhaps independently of any other carbon source or hydrogen source, and preferably in the absence of a substantial amount of oxygen to produce a SiC with a dielectric constant of less than 7.0. This particular SiC material is useful in complex structures, such as a damascene structure and is conducive to in situ deposition, especially when used in multiple capacities for the different layers, such as the barrier layer, the etch stop, and the ARC and can include in situ deposition of the associated dielectric layer(s).
As described below, a desirable characteristic includes depositing the material in situ, i.e., preferably in a given chamber, such as in a plasma chamber, or in a system, such as an integrated cluster tool arrangement, without exposing the material to intermediate contamination environments. In situ processing may be particularly important with a copper conductor, because of its rapid susceptibility to oxidation. By using the same or similar precursors, described below to create the SiC material, with different process regimes and reacting with oxygen, different materials may be created, such as a low K dielectric material. The possibilities of in situ deposition of the various layers in a processing chamber or chambers are enhanced using the present invention. For instance, the barrier layer, lower dielectric layer, etch stop, upper dielectric layer, ARC, and other layers can be deposited within one chamber using different process regimes to obtain the different materials.
Figure 4 shows a preferred embodiment of a dual damascene structure, utilizing the present invention. Specifically, the structure includes a deposition of one or more dielectric layer(s), and SiC barrier layer(s), etch stop(s), and ARCs deposited in situ to produce the SiC of the present invention and the dielectric layers. The dielectric layers can be deposited with the same or similar precursors as the SiC material or can be deposited with different precursors. The "embodiment also utilizes a plasma containing a reducing agent, such as ammonia, to reduce any oxides that may occur, particularly on metal surfaces such as copper deposited in features.
At least two schemes can be used to develop a dual damascene structure, where lines/trenches are filled concurrently with vias/contacts. In a "counterbore" scheme, the IC is formed, for instance, by depositing a barrier layer, first dielectric layer, etch stop, second dielectric layer, ARC, and photoresist where the substrate is then etched. In Figure 4, the integrated circuit 10 includes an underlying substrate 60, which may include a series of layers deposited thereon and in which a feature 62 has been formed. If a conductor is deposited over the feature 62, such as copper, the conductor may oxidize. In situ with the deposition of the various layers, the oxide can be exposed to a plasma containing a reducing agent of nitrogen and hydrogen, such as ammonia, to reduce the oxide. One embodiment is described in co-pending U.S. Ser. No. 09/193,920, incoφorated herein by reference, which describes plasma process parameters using an ammonia flow rate of about 100 to 1000 seem with a chamber pressure range of about 1 to 9 Torr, an RF power of about 100 to 1000 watts for a 200 mm wafer, and a showerhead to substrate spacing of about 200 to 600 mils.
The SiC of the present invention can be deposited in situ as a barrier layer, an etch stop, and an ARC with the in situ deposition including the dielectric layers. For instance, a SiC barrier layer 64, preferably about 500 A thick, is deposited over the substrate and feature. Without the necessity of removing the substrate, a dielectric layer 66 may be in situ deposited over the barrier layer 64, preferably about 5000 A thick. Preferably, the dielectric layer is an oxide based dielectric material having low K characteristics. The dielectric layer may be un-doped silicon dioxide also known as un-doped silicon glass (USG), fluorine-doped silicon glass (FSG), or other silicon-carbon-oxygen based materials, some of which can be low K materials. A low K etch stop 68, also of SiC material according to the present invention, is then in situ deposited on the dielectric layer 66 to a thickness of about 200 A to about 1000 A, preferably about 500 A. The etch stop material is typically a material that has a slower etching rate compared to the dielectric layer that is etched and allows some flexibility in the etching process to ensure that a predetermined depth is reached. In some well characterized etching processes, the etch stop may be unnecessary. Another dielectric layer 70 is deposited over etch stop 68, having a thickness from about 5,000 A to about 10,000 A, preferably about 7000 A. Dielectric layer 70 can be the same material as dielectric layer 66. Likewise, the dielectric layer 70 can be deposited in situ with the barrier layer 64, dielectric layer 66, and etch stop 68. An ARC 72, also of SiC material and preferably about 600 A thick, is deposited on the dielectric layer 70, using the same or similar chemistry as the underlying etch stop and barrier layer. After the ARC deposition, a photoresist layer (not shown), similar to photoresist layer 19 shown in Figure 1, is deposited on the ARC 72. Depositing and exposing of the photoresist and etching would normally be accomplished in other chambers. The photoresist layer is exposed to form a pattern for the via/contact 20a, using conventional photolithography. The layers are then etched using conventional etch processes, typically using fluorine, carbon, and oxygen ions to form the via/contact 20a. The photoresist layer is subsequently removed. Another photoresist layer is deposited and exposed to pattern the features, such a line/trench 20b and the layer(s) are etched to form the line/trench 20b. The photoresist layer is subsequently removed. A liner 22 may be needed over the features or on the fields between the features, which typically is from Ta, TaN, Ti, TiN, and other materials. A conductive material 20, such as copper or aluminum, is then deposited simultaneously in both the via/contact 20a and the line/trench 20b. Once the conductive material 20 is deposited over the feature(s), it too may be exposed to a plasma containing a reducing agent, such as ammonia, to reduce any oxides. Another SiC barrier layer 75 may be deposited over the conductive material 20 to help prevent diffusion of the conductor through subsequent layers.
Another scheme for creating a dual damascene structure is known as a "self- aligning contact" (SAC) scheme. The SAC scheme is similar to the counterbore scheme, except that a photoresist layer is deposited over the etch stop, the etch stop is etched and the photoresist is removed. Then the subsequent layers, such as another dielectric layer, are deposited over the patterned etch stop, an ARC deposited over the dielectric layer, and a second photoresist layer deposited over the ARC, where the stack is again etched. In the embodiment of Figure 4, for instance, a photoresist layer (not shown) is deposited over the etch stop 68, in typically a separate chamber from the etch stop deposition. The etch stop 68 is etched to form a pattern for a via/contact 20a. The photoresist layer is removed. The dielectric layer 70 and ARC 72 can then be in situ deposited in the same chamber as the etch stop was deposited. Another photoresist layer is deposited on the ARC 72. The photoresist is then exposed to form the pattern for the line/trench 20b. The line/trench 20b and the via/contact 20a are then etched simultaneously. The photoresist layer is subsequently removed. Conductive material 20, and if desired, another barrier layer 75, are deposited over the substrate.
The in situ processing is enhanced because of the reduced number of different materials and regimes and, in particular, because the SiC can be used as the barrier layer, etch stop, ARC layer, and even as a passivation layer and moisture barrier. The in situ processing is further enhanced in the preferred embodiment by using the same or similar precursors to deposit the dielectric layers. Reducing or eliminating the need to remove the substrate from the processing chamber between depositing the layers for chamber cleanings and the like improves throughput, reduces downtime, and reduces the risk of contamination.
In some instances, the etching may be performed in the same chamber by adjusting the process conditions. However, in many instances, the substrate may be moved to an etching chamber. In such instances, the processing may be performed within a cluster tool having both a deposition chamber and an etch chamber, such as the cluster tool shown in U.S. Pat. No. 4,951,601, assigned to the current assignee of the invention, and incoφorated herein by reference. The sealable cluster tool enables processing within the cluster tool to occur without unnecessary exposure to the ambient conditions. However, where possible a preferred arrangement enables processing within same chamber to reduce the transfer time between chambers for greater throughput.
Furthermore, in situ processing provides accurate control over the rate of transition between the deposited layer and the preceding layer. The transition between the two layers is controlled by the transition between the chemistries and the related process parameters used to deposit the layers. The method of the present invention enables accurate control over the transition via control over the plasma, process gas flow rates, and other processing parameters. The transition may be abrupt and can be achieved, for example, by extinguishing the plasma followed by the deposition of the dielectric layers and the various SiC layers while the substrate remains in the chamber. Gradual transitions can also be achieved, for example, by altering the flow rates of the process gases. In a process which deposits a FSG dielectric layer, the flow rate of silicon tetrafluoride, commonly used for a FSG deposition, may be reduced while increasing the helium or argon flow to create a smooth transition from the dielectric layer to the SiC layer. The flexibility in the transition is made possible by the ability to deposit multiple layers in situ.
The above discussion refers to an exemplary sequence and is not to be construed as limited to such sequence, as such in situ processing could be applied to a variety of sequences. Also, these structures are exemplary for a dual damascene structure and are not intended to be limiting of the possible embodiments.
Because the SiC, as explained below, may be used in multiple capacities, Table 1 shows the desirable aspects of at least three of the uses of the SiC of the present invention as a barrier layer, an etch stop, and an ARC.
TABLE 1
Figure imgf000015_0001
As stated earlier, a low K material is defined herein as a material having a dielectric constant lower than 7.0 which is lower than that of silicon nitride, traditionally used as a barrier layer material. Related to the low dielectric value is the "effective" dielectric constant, which is a composite dielectric constant of the substrate stack with multiple levels. The effective dielectric constant is based on such factors as the layer thicknesses, layer dielectric constants, spacing between features, and feature dimensions. Commercially available software, such as "Rafael" by Avant Coφoration may be used to calculate the predicted effective dielectric constant. For instance, a typical value of a low K dielectric layer is about 2.7. A SiN layer may have a K value of at least 7.0. Using the SiN material with the low K dielectric material would increase the effective K value of the composite and offset some of the advantage of using the low K dielectric material. In comparison, using the SiC of the present invention with a K value of less than 5, preferably about 4.2, allows more benefit from using low K dielectric material to be obtained. A desirable effective dielectric constant value for the composite structure would be about 5.0 or less, most preferably 3.0 or less.
If the SiC is used as an ARC, desirable characteristics would include the low K aspect described above as well as a suitable refraction index "n" combined with an absoφtion index "k" and a thickness "t" of the ARC to obtain a low reflectivity below about 5%, although other values may be selected, so that the coating could be used in multiple applications without necessitating process regime adjustments and variations for each application, as is typically needed, prior to the present invention. The process to produce the SiC should be stable and repeatable for manufacturing consistency.
Because the ARC may remain on the substrate because of its low K attributes, it may also function as a barrier layer between, for instance, an underlying dielectric and a conductor material, such as copper. Adhesion between the layers is important to reduce delamination between the layers and, in some instances, to reduce capacitance and resistance between the layers. When the ARC is used as a barrier layer, the material should also have no substantial diffusion at a substrate annealing temperature of, for example, about 400° to 450° C. The term "no substantial" diffusion is intended to be a functional term, such that any actual diffusion into the layer is less than would affect the ability of the layer to function as a barrier layer and/or etch stop. For instance, the SiC of the present invention limits the diffusion to about 250 A. The copper diffusion may impair the desired current and voltage paths and contribute to cross talk. Because of the decreasing feature size, as described above, the lower the dielectric constant, preferably less than 7.0, the lower the probability for cross talk and RC delay.
Because the SiC may be used in a damascene structure and function as a multi- puφose barrier layer, ARC, and etch stop as discussed in one embodiment, it would be beneficial to also have suitable etch stop characteristics, such as an etch selectivity ratio of 20 to 1 or greater with respect to USG, FSG, or other low K dielectric materials. Additionally, the material should have a high breakdown voltage of 2 MV or more, i.e., the voltage gradient at which the molecules breakdown to allow harmful passage of electrical current. The SiC should also have a low leakage characteristic through the layer, i.e., a low stray direct current that capacitively flows through the material.
Table 2 shows the process parameters used to form the SiC layer of the present invention in a 200 mm wafer deposition reactor. In a preferred embodiment, the silicon and carbon were derived from a common compound, such as a silane-based compound. However, the carbon could be supplemented with other compounds, such as methane. Without limitation, suitable silane-based compounds could include: methylsilane (CH3SLH3), dimethysilane ((CH3)2SiH2), trimethylsilane ((CH3)3SiH), diethylsilane ((C2H5)2SiH2), propylsilane (C3H8SiH3), vinyl methyl-silane (CH2=CH)CH3SiH2), 1,1,2,2- tetramethyl disilane (HSi(CH3)2-Si(CH3)2H), hexamethyl disilane ((CH3)3Si-Si(CH3)3), 1,1,2,2,3,3-hexamethyl trisilane (H(CH3)2Si-Si(CH3)2-SiH(CH3)2), 1,1,2,3,3-pentamethyl trisilane (H(CH3)2Si-SiH(CH3)-SiH(CH3)2), dimethyl disilano ethane (CH3-SiH2-(CH2)2- SiH2-CH3), dimethyl disilano propane (CH3-SiH-(CH2)3-SiH-CH3), tetramethyl disilano ethane ((CH)2-SiH-(CH2)2-SiH-(CH)2), tetramethyl disilano propane ((CH3)2-Si-(CH2)3-Si- (CH3)2), and other silane related compounds. For the puφoses of this invention, the term "organosilane" as used herein includes any silane-based compound having at least one carbon atom attached, including the preceding list, unless otherwise indicated. A preferred organosilane is given by the structure SiHn(CH3)4.n, where n=l to 3 or the structure Si2Hm(CH3)6.m, where m=l to 5. In Table 2, the compounds used were trimethylsilane and methylsilane. A noble gas, such as helium or argon, was present and is believed to assist in stabilizing the process, although other gases could be used.
The process regimes described below provide a SiC material that meets at least some of the characteristics of Table 1 of a barrier layer, etch stop, and/or an ARC. Also, the SiC properties described herein enable a thinner layer to be deposited to achieve desirable film properties. In one embodiment, shown in Figure 4, the SiC, as an upper layer ARC, allows a diverse range of underlying dielectric thicknesses without needing to adjust the SiC ARC thickness, typical of prior efforts in the field. The SiC material of the present invention has a high resistance to copper diffusion with test data showing that the copper diffusion limit is about 200 to 250 A deep in the barrier layer. In another embodiment shown in Figure 12, the SiC material provides a combination low K etch stop/ARC without using the upper layer ARC in Figure 4. In another embodiment shown in Figure 15, the barrier layer below the dielectric layer functions as the ARC.
TABLE 2
Figure imgf000018_0001
Example Process — Barrier Layer/Etch Stop/ARC
The characteristics developed by the above process regimes differ from the generally accepted SiC characteristics. A SiC film according to the present invention was deposited in a DxZ chamber available from Applied Materials, Inc. of Santa Clara, California. A reactive gas source such as trimethylsilane was flown into the chamber at a rate of about 30 to 500 standard cubic centimeters (seem), more preferably about 50 to 200 seem. A noble gas, such as helium or argon, was flown into the chamber at a rate of about 100 to 2000 seem, more preferably about 200 to 1000 seem. The chamber pressure was maintained between about 3 to 10 Torr, more preferably about 6 to 10 Torr. A single 13.56 MHz RF power source applied about 300 to 700 watts with a power density of about 0.67 to 1.55 watts/cm2, more preferably about 400 to 600 watts with a power density of about 0.88 to 1.33 watts/cm2, to the anode and cathode to form the plasma in the chamber with the silane-based gas. The RF power source may be a mixed-frequency RF power supply that typically supplies power at a higher RF frequency of 13.56 MHz and at a lower RF frequency of 360 kHz to enhance decomposition of the reactive species introduced into the chamber. The substrate surface temperature was maintained between about 200° to 400° C, more preferably about 300° to 400° C, during the deposition of the SiC. The gas dispersion from a gas dispersion element, such as a "showerhead", was dispersed at a showerhead to substrate spacing distance between about 300 to 600 mils, more preferably 300 to 500 mils. The carbon was derived from the trimethylsilane or methylsilane, independent of other carbon sources. The reaction occurred without a substantial source of oxygen introduced into the reaction zone.
With the parameters of Table 2, a different bonding structure occurs in the SiC film of the present invention, shown in Figure 5, compared to a prior SiC film, shown in Figure 6. Figure 5 shows a Fourier Transform Infrared (FTIR) chart for the SiC of the present invention. The various peaks at various wave numbers are structure specific and this graph is indicative of the particular interstitial bonding structure. Using the most preferred range of process parameters of Table 2 with trimethylsilane, the deposition resulted in a bonding structure containing CH2/CH3, SiH, SiCH3, Si-(CH2)n, and SiC.
Figure 6 shows comparative results with a prior SiC material deposited using silane and methane. As can be seen, there is no corresponding peak for Si-(CH2)n and even the peak for SiCH3 is not as noticeable. The SiC of the present invention yielded these unexpected results in providing better barrier layer/etch stop/ARC performance than previous known depositions of SiC. These characteristics allow the SiC to be used in the multiple capacities disclosed herein.
Figures 7-19 show various characteristics of the SiC ARC of the present invention. Figure 7 is a graph of test results, using a standard 633 nm wavelength of exposure light, comparing different materials and their dielectric constants versus the refraction index. The x-axis represents the refraction index, n, discussed above. A lower value on the x-axis is preferred and results in better optical quality and transparency. The y-axis represents the dielectric constant. A lower value on the y-axis is preferred to obtain a "low K" substrate stack. For instance, SiN typically has an n value of about 2.0 and a dielectric constant value of 7.3, unsuitable for the low K applications. A current state-of-the-art ARC is DARC™, a type of silicon oxynitride, but the dielectric constant is about 8.5-9.0 with an n value of about 2.2 at a 248 nm wavelength exposure. The preferred SiC of the present invention has a dielectric constant of about 4.2.
The SiC#l corresponds to test results using the traditional chemistry for producing SiC, described in U.S. Pat. No. 5,591,566 to Ogawa, which uses a silane with a separate methane/ethane/propane and diatomic hydrogen. This SiC has an n value of about 2.4, and a dielectric constant of about 7.8, undesirable for deposition in low K devices.
SiC#2 is one SiC deposited using the chemistry of the present invention. The n value is about 2.3 and the dielectric constant is about 5.1. Using the parameters described above in Table 2, the SiC#3 produced better optical characteristics, namely, an n value of about 1.9 at the 633 nm exposure wavelength of Figure 7 with a dielectric constant of about 4.2. Thus, optically and resistively, the SiC of the present invention is suitable for the current emphasis on low K structures and can be used as a barrier layer, an etch stop, and an ARC. Importantly, the SiC of the present invention, in contrast to the traditional high K SiC or SiN ARC, need not be removed from the layer after the photoresist has been exposed and the substrate etched in order to preserve the low K characteristics of the stack, resulting in fewer processing steps.
Figure 8 is a graph of the refraction index n compared to the absoφtion index k for SiC and silicon oxynitride, using a 248 nm exposure wavelength. The SiC of the present invention can be tuned to different n and k values and is compared with a silicon oxynitride ARC. The silicon oxynitride ARC has a high dielectric constant of about 9 and has a difficult to control k value which rapidly increases with a small change in the n value, as shown by the steep slope. By comparison, the SiC of the present invention has a dielectric constant of about 4.5 and a more stable or controllable absoφtion index k, as indicated by the flatter curve. A higher absoφtion index is desirable to better absorb the extraneous reflections. However, the dielectric constant increases as the absoφtion index increases. Thus, there is a balance between the desired optical properties and dielectric constant. A suitable value for the SiC of the present invention, having a relatively low dielectric constant and a stable process regime, is about 2.2 for an n value at the 248 nm exposure wavelength of Figure 8 and about 0.4 for a k value. The relationship between the n and k indexes at the 248 nm exposure wavelength appears linear and is approximated by the following equation: k/0.65 + 1.57 = n The absoφtion index k is in a range of between about 0.2 and about 1.0, and generally is between about 0.3 and 1.0 for commercial uses in photolithography. The SiC of the present invention can approximate the optical qualities of the silicon oxynitride ARC and yet reduce the dielectric constant by about 50%. Beginning at the x-axis value in Figure 8, the slope of line representing the SiC n and k relationship may vary from about 20° to about 60° with the slope of the line shown as about 35°.
The low K dielectric layer may be produced by PECVD of an organosilane, an organosiloxane, or combinations thereof, using RF or microwave power to generate reactive oxygen atoms. The dielectric material is deposited using the precursors described above, such as methylsilane or trimethylsilane, reacted in the presence of oxygen, such as with nitrous oxide (N2O). Preferably, the process regimes provided in Table 2 could be used to produce the dielectric layer(s). However, other process regimes can be used to form the dielectric materials. In one embodiment, for instance, an organo-silicon compound was oxidized with preferably N2O such that the carbon content of the deposited film is from 1 to 50% by atomic weight, preferably about 5 to 30%. A mixed frequency RF power supply supplied power at a high RF power of 13.56 MHz to a showerhead of a PECVD system and a low RF frequency of about 360 KHz to the pedestal of the system. A pulsed RF power provided 13.56 MHz RF power at about 20 watts to 500 watts during about 10%) to 30%) of the duty cycle. Using a 200 mm wafer, the power density of the high frequency RF power was preferably less than about 1 watt/cm2. The low frequency power is driven at about 0 watts to 50 watts for pulsed RF power at a duty cycle from about 10%> to 30%). A constant RF power provided 13.56 MHz RF power at about 10 watts to 200 watts. The organosilane and/or organosiloxane was flown into the PECVD chamber at a flow rate of about 5 seem to 500 seem and the oxidizing gas at a flow rate of about 5 seem to 2000 seem. The low power deposition occurred at a temperature range from about -20° C to 40° C. The chamber pressure was a low pressure of less than about 10 Torr. The deposited film was cured at the low pressure and at a temperature of about 100° C to 450° C. The disclosure of the exemplary low K dielectric material is described in U.S. Ser. No. 09/162,915, and is incoφorated herein by reference.
Figure 9 is a simulation graph of reflectivity contours depicting reflectivity values of different combinations of layer thicknesses, using a computer simulation program, entitled "The Positive/Negative Resist Optical Lithography Model", referenced above. The simulation graph is used to predict the substrate reflectivity at incremental rates, resulting is a reflectivity topography that maps the effects on reflectivity of the thickness of one layer to the thickness of an adjacent layer. In Figure 9, each contour is set to increment by 2% with the lowest contour representing a 2% reflectivity and the highest contour representing a 16%> reflectivity. In this figure, the x-axis represents the thickness of the underlying layer, i.e., the dielectric layer 70 in Figure 4. The y-axis represents the SiC thickness used as an ARC, corresponding to the ARC 72 of Figure 4. The goal of obtaining low reflectivity is to minimize the extraneous reflections from the substrate at the photoresist interface between, in this instance, the photoresist layer and the ARC. An optimal reflectivity value is 0%, but a reflectivity of less than about 1% provides commercially acceptable results with a goal of about 5%> or less being preferred to insure repeatability of the photolithography processing. While in some embodiments a 10%> reflectivity is acceptable, 10%> reflectivity is typically a practical limit to the current size and density of features in the substrate. Thus, if the underlying layer thickness is known and is selected on the x-axis, the corresponding preferred ARC thickness on the y-axis can be predicted by locating an ARC thickness having the chosen reflectivity, such as 5%. For instance, a dielectric thickness of about 6500 A to about 6750 A shown as range 76 in Figure 9, will predictably need about 200 A of ARC to meet the 5% or less reflectivity criteria. However, such a narrow range of dielectric deposition may be difficult to consistently produce and may not meet device requirements for electrical isolation of the circuit between the layers. Furthermore, the 200 A layer may be insufficient as a barrier layer to copper if, for instance, copper was deposited on the ARC after etching. Thus, while optically, the ARC layer would be sufficient, other properties, such as described above, may need consideration. In this figure, an ARC thickness of more than about 500 A results in less than 5%o reflectivity across the range of dielectric layer thickness in the graph.
Conversely, if the dielectric layer thickness can be carefully controlled, then the ARC layer thickness can be varied or minimized. For instance, a dielectric thickness of about 6600 A, plus or minus about 100 A or about 1.5%o, can have an ARC thickness of 50 A or more and meet the optical parameters of 5% or less reflectivity. Thus, the SiC of the present invention satisfies the desire for a multiple puφose material in providing a barrier layer, etch stop, and an ARC and satisfies the desire for a multiple application material in that a single ARC thickness can meet the optical needs of multiple dielectric thicknesses for a given reflectivity.
In a preferred embodiment, a layer with a preferred thickness of about 600 A offers one of the lowest reflectivity values across the entire spectrum of the dielectric layer thicknesses, shown as value 78 in the graph. With the unique properties of the SiC of the present invention, an ARC can be deposited that is substantially independent of the underlying layer thickness. The SiC is preferably deposited between about 500 A to about 1000 A or more, most preferably about 600 A, having a predicted reflectivity of about 2% or less, within the preferred 5% or less reflectivity range. This discovery contrasts with the typical need to adjust the n, k, and t characteristics of the ARC layer to the particular thickness of the underlying layer for each application. Here, using the SiC of the present invention, the ARC layer may be a fixed deposition thickness of about 600 A, independent of the underlying dielectric layer thickness. This fixed thickness contrasts with prior ARC materials which generally require ARC thickness adjustments, depending on the underlying dielectric layer thickness and desired reflectively.
The SiC of the present invention may also be used as a polish stop to determine the end point of a polishing process. After the stack is etched and the features filled with conductive material, some processing sequences polish the upper surface of the substrate surface to remove excess conductive material and planarize the upper surface of the stack. Typically, the substrate is polished by a chemical mechanical polishing (CMP) process, which uses a difference in polishing rates between different materials to determine the limit of polishing.
Because the SiC ARC has a low K value, the ARC can remain on the substrate and does not need to be removed to maintain an effective low K substrate. Thus, material may be deposited over the ARC, filling the features. The CMP process then removes any extra conductive or other materials above the SiC layer. The CMP process determines a difference in the polishing rates when the process encounters the SiC ARC and the CMP process is discontinued.
Figure 10 is a line drawing of a scanning electron microscopy photograph, showing a cross section of a patterned photoresist layer 74 deposited over a SiC ARC 72 of the present invention. Figure 10 shows the photolithography results of such embodiments as shown in Figure 4, where the ARC is considered the top layer of the substrate prior to the photoresist layer deposition and photolithography processing. The width of the line 80 in the photoresist layer 74 is about a quarter micron, representative of the current size of features. With the SiC ARC 72 of the present invention, the photolithography patterning of the photoresist layer 74 resulted in even and straight lines 82, important for the ULSI reduced feature sizes. The patterning in the features was uniform and had straight, square sidewalls 84, i.e., no standing wave effects from extraneous light reflections, with a fully exposed bottom 86 and square corner 88 without a substantial rounded "footing" in the corner. The variation in minimum to maximum values of the photoresist width 90 between the lines is 5%> or less, a standard acceptance range for processing. The repeatability from line to line is also shown. Thus, the uniformity of the patterned photoresist layer demonstrates that the SiC ARC of the present invention is able to produce a processed substrate with small features and still retain a low K value, in contrast to other ARC materials, such as the silicon oxynitride ARC, described above.
The SiC deposited according to the present invention may also be used as a moisture barrier. The CMP process is typically a wet process and moisture can corrupt a substrate circuit. Therefore, a layer is preferably deposited on the substrate to prevent the adverse effects of moisture which could otherwise result from wet processing. If the SiC ARC is used as a polish stop and an upper layer, the SiC ARC would act as a moisture barrier.
Figure 11 shows the FTIR results of a moisture test of the SiC material exposed to boiling water for a 30 minute period. The upper line, showing the moisture results before the exposure, is offset from the lower line, showing the moisture results after the exposure, to view both lines on the same graph. Tests results show that the SiC of the present invention acts as a moisture barrier throughout the CMP process and thus satisfies the moisture barrier aspect, as well. The moisture level is particularly noted at wave number 1640, which is the H-OH peak, where the results are substantially the same between the two lines, indicating substantially no moisture absoφtion.
Figure 12 is an alternative embodiment of Figure 4, without using a separate ARC. The stack relies on the properties of the SiC of the present invention between adjacent layers to function as an ARC, i.e., the etch stop 68 between the dielectric layers 66 and 70. The layers and numbers correspond to the arrangement described in Figure 4, with the difference being no ARC 72 under the photoresist layer 74, shown in Figure 12. Also, the plasma with the reducing agent can be used to reduce any oxides formed on the conductors, for instance, in features, prior to deposition of the subsequent layer. In this embodiment, the thickness of the dielectric layer 70 above the SiC etch stop 68 is adjusted in conjunction with the thickness of the SiC etch stop 68 between the dielectric layers 66 and 70 for a projected reflectivity. The thickness of the dielectric layer 66 is held constant. The photoresist layer 74 would be exposed as described above. The thickness of the barrier layer 64 is about 500 A. In this embodiment, the substrate would rely on the reflective and absoφtive characteristics of the SiC etch stop 68 below the upper dielectric layer 70. Thus, the thicknesses of the two layers are interdependent for a given projected reflectivity.
Figure 13 is a reflectivity map of the embodiment of Figure 12, showing the thicknesses of the upper dielectric layer 70 compared to the etch stop 68. The y-axis represents the thickness of the dielectric layer 70 and the x-axis represents the thickness of the SiC etch stop 68. Similar to the discussion in Figure 9, the appropriate thicknesses may be selected for given reflectivity ratios, such as below about 5%. For example, an etch stop thickness of about 150 A, plus or minus about 50 A, would optically satisfy the requirements for all the graphed thicknesses in Figure 13 of the dielectric layer 70 and would have a reflectivity of less than about 5%>.
Also, a SiC etch stop of about 720 A thick could be used with a top dielectric layer thickness of about 6500 A or about 7300 A. The thicknesses shown in Figure 13 and other similar figures are typical of the thicknesses used in commercial embodiments. Because the reflectivity pattern repeats, other layer thicknesses not charted could be used. If a higher level of reflectivity were allowed, for instance 6%>, then an etch stop thickness of about 720 A would also satisfy the optical requirements for reflectivity for all the graphed thicknesses on Figure 13. Conversely, if the dielectric thickness was first selected and a resulting etch stop thickness determined, then, for example, a dielectric thickness of about 6600 A and about 7400 A with close tolerances could allow an etch stop thickness of about 100 A to about 350 A with a reflectivity of about 5% or less. These examples show that the thickness of the etch stop and the thickness of the dielectric layer adjacent the etch stop are to be considered with respect to each other when the SiC, functioning as an ARC, is between the dielectrics for a projected or chosen reflectivity.
Figure 14 is another reflectivity map of the embodiment of Figure 12, showing the thickness of the etch stop compared to the thickness of the lower dielectric layer under the etch stop. The thickness of the dielectric layer 66 below the etch stop 68 is adjusted in conjunction with the thickness of the etch stop 68 for a projected reflectivity. The upper dielectric layer 70 may remain a certain thickness, such as 7000 A, while the thicknesses of the etch stop 68 and lower dielectric layer 66 are determined for a particular reflectivity. In Figure 14, the etch stop thickness is represented by the y-axis and the dielectric layer 66 thickness is represented by the x-axis. For instance, with a 500 A SiC etch stop, the thickness of the dielectric layer 66 could be about 4600 A or about 5400 A to maintain a 5%o or less reflectivity. However, the thickness of the dielectric layer 66 may change for a different thickness of the dielectric layer 70. Thus, iterative solutions may be required to determine a thickness for each dielectric layer that satisfies the various process requirements and still collectively satisfy a reflectivity goal, here of about 5%> or less.
Figure 15 is an alternative embodiment of Figures 4 and 12 without the etch stop, where the barrier layer 64 is used as the ARC. The layers and numbers correspond to the arrangement described in Figures 4 and 12, with the difference being no ARC 72 under the photoresist 74, shown in Figures 12 and 15, and no etch stop 68. Also, the plasma with the reducing agent can be used to reduce any oxides formed on the conductors, for instance, in features, prior to deposition of the subsequent layer. If the etch stop is eliminated, then the substrate processing throughput is increased by having fewer steps and a lower effective dielectric constant of the substrate may be obtained. If the process is well characterized and has sufficient control of the etching rate and depth, then the etch stop may not be needed. In the embodiment of Figure 15, the thickness of the dielectric layer 66 is increased to compensate for the lack of the second dielectric layer 70 so that the circuit is electrically isolated and may be about 10,000 A to about 12,000 A thick. The dielectric layer 66 thickness is adjusted in conjunction with the thickness of the SiC barrier layer 64 for a projected reflectivity. The photoresist 74 would be exposed as described above. However, the substrate would rely on the reflective and absoφtive characteristics of the SiC barrier layer 64 below the dielectric layer 66, where the thicknesses of the two layers are interdependent or independent, depending upon the thickness(es) selected and the desired reflectivity.
Figure 16 is a reflectivity map of the embodiment of Figure 15, showing the thicknesses of the dielectric layer 66 compared to the SiC barrier layer 64. In Figure 16, the y-axis represents the dielectric layer thickness and the x-axis represents the barrier layer thickness. A preferred thickness of the SiC barrier layer when used as an ARC, without the intervening etch stop, is about 700 to about 800 A. The preferred thickness yields a predicted 5%o reflectivity or less for all graphed thickness of the dielectric layer, and, thus, the SiC provides ARC optical results substantially independent of the dielectric layer thickness.
Figure 17 is another embodiment similar to the embodiment of Figure 15 with the use of SiC below the photoresist layer 74, as an ARC. The etch stop 68 of Figure 4 is not used in the embodiment of Figure 17 and the dielectric layer 66 is typically thicker, e.g., about 10,000 A to about 12,000 A, than the separate dielectric layers of Figure 4. The SiC barrier layer 64 is about 500 A thick, although the thickness could vary. As shown in Figure 18 below, the thickness of the dielectric layer 66 can vary without significantly affecting the reflectivity on the photoresist layer 74, when the SiC ARC 72 thickness is appropriately selected.
Figure 18 is a reflectivity map of the embodiment of Figure 17, showing the thickness of the ARC compared to the thickness of the dielectric layer under the ARC for a projected reflectivity. In Figure 18, the ARC 72 thickness is represented on the x-axis and the dielectric layer 66 thickness is represented on the y-axis. The reflectivity map shows that with an ARC thickness of about 520 A or greater, any of the graphed thickness of the dielectric layer 66 may result in a reflectivity of about 5% or less. A preferred thickness of the SiC ARC is about 600 A. The pattern repeats, as in other reflectivity maps, and thus other thicknesses of the oxide and/or SiC layer could be determined by extrapolation. Using the SiC of the present invention, the ARC layer may be a deposition thickness of about 600 A, regardless of the underlying layer thickness to obtain a projected reflectivity of about 5% or less.
Because the SiC of the present invention may be used in proximity to conductive materials, such a copper, that are prone to diffusion through adjacent layers, it is preferable that the SiC be diffusion resistant, as well. Figure 19 shows the test specimen diffusion results, where the lower curve shows the copper content, showing the diffusion resistance to copper of the SiC material of the present invention. The test specimen was a substrate with a 200 A layer of copper, a 800 A layer of SiC deposited on the copper, and a 1000 A layer of oxide deposited on the SiC. Starting with the y-axis, Figure 19 shows a value 46 of approximately 3 x 1017 atoms per cubic centimeter (atoms/cc) at a depth of 0 A from the surface of the 1000 A oxide layer. This value reduces to value 48 of about 1 x 1016 atoms/cc through the oxide layer and into the 800 A SiC layer at a combined depth of about 1570 A. The copper diffusion level then rises logarithmically for the next 230 A to a value 50 of approximately 3 x 1021 atoms/cc at the copper to copper barrier interface. Thus, the copper level reduces by approximately four orders of magnitude, i.e., 1/10,000, within about 200 A to 250 A of the interface. This decrease in the copper level shows the effectiveness of the SiC material of the present invention.
The present invention further provides a substrate processing system having a plasma reactor including a chamber, a reaction zone in the chamber, a substrate holder for positioning a substrate in the reaction zone, and a vacuum system. The processing system further comprises a gas/liquid distribution system connecting the reaction zone of the vacuum chamber that supplies an silane-based compound, an inert gas, and an RF generator coupled to the gas distribution system for generating a plasma in the reaction zone. The processing system further includes a controller comprising a computer for controlling the plasma reactor, the gas distribution system, the RF generator, and a memory coupled to the controller, the memory comprising a computer usable medium including a computer readable program code for selecting the process steps for depositing a low dielectric constant film with a plasma of an silane-based compound.
The processing system may further comprise in one embodiment computer readable program code for selecting the process steps for depositing a barrier layer and/or etch stop of the silane-based compound, depositing a different dielectric layer, and optionally depositing a capping passivation layer of the silane-based compound.
The embodiments shown and described are not intended to limit the invention except as provided by the appended claims. Furthermore, in the embodiments, the order of the layers may be modified and thus, the term "deposited on" and the like in the description and the claims includes a layer deposited above the prior layer but not necessarily immediately adjacent the prior layer and can be higher in the stack. For instance, without limitation, various liner layers could be deposited adjacent dielectric layers, barrier layers, etch stops, metal layers, and other layers.
While foregoing is directed to the preferred embodiment of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow. Furthermore, in this specification, including particularly the claims, the use of "comprising" with "a" or "the", and variations thereof means that the item(s) or list(s) referenced includes at least the enumerated item(s) or list(s) and furthermore may include a plurality of the enumerated item(s) or list(s), unless otherwise stated. Also, any disclosure of methods, including the claims, are presented in a logical order, but are not restricted to the sequence disclosed unless specifically stated.

Claims

What Is Claimed Is:
1. A substrate, comprising: a) a silicon carbide layer having a dielectric constant less than 7.0 and deposited on the substrate; and b) a first dielectric layer deposited on the silicon carbide layer in situ with the silicon carbide layer.
2. The substrate of claim 1, further comprising: a) a silicon carbide etch stop deposited on the first dielectric layer in situ with the first dielectric layer; b) a second dielectric layer deposited on the etch stop in situ with the silicon carbide etch stop.
3. The substrate of claim 2, further comprising a silicon carbide anti -reflective coating deposited on the second dielectric layer in situ with the second dielectric layer.
4. The substrate of claim 2, further comprising a photoresist deposited on the second dielectric layer.
5. The substrate of claim 1, further comprising a photoresist deposited on the first dielectric layer.
6. The substrate of claim 1, further comprising: a) a silicon carbide anti-reflective coating deposited on the first dielectric layer in situ with the first dielectric layer; b) a photoresist layer deposited on the anti-reflective coating.
7. The substrate of claim 1, wherein the silicon carbide layer has a dielectric constant of about 5 or less.
8. The substrate of claim 1, wherein the substrate has an effective dielectric constant of about 5 or less.
9. The substrate of claim 1, wherein the silicon carbide layer is produced by a process in a plasma reactor having a chamber comprising providing an organosilane flow rate of about 30 to about 500 seem as a silicon and a carbon source and a noble gas flow rate of about 100 to about 2000 seem and further comprising reacting the silicon and the carbon in a chamber pressure range of about 3 to about 10 Torr with an RF power source supplying a power density of about 0.67 to about 1.55 watts per square centimeter to the chamber and a substrate surface temperature of between about 200° to about 400° C.
10. The substrate of claim 3, wherein the silicon carbide layer, etch stop, and anti- reflective coating comprises silicon carbide having a dielectric constant less than 7.0.
11. The substrate of claim 3, further comprising selecting the anti-reflective coating having a thickness that produces a reflectivity of about 7 percent or less.
12. The substrate of claim 6, further comprising selecting the anti-reflective coating having a thickness that produces a reflectivity of about 7 percent or less.
13. The substrate of claim 1, wherein the substrate comprises a damascene structure.
14. A method of forming a silicon carbide layer on a substrate, comprising: a) introducing silicon, carbon, and a noble gas into a chamber; b) initiating a plasma in the chamber; c) reacting the silicon and the carbon in the presence of the plasma to form silicon carbide; d) depositing a silicon carbide layer having a dielectric constant less than 7.0 on the substrate in the chamber; and e) depositing a first dielectric layer in situ with the silicon carbide layer.
15. The method of claim 14, further comprising: a) depositing a silicon carbide etch stop in situ with the first dielectric layer; b) depositing a second dielectric layer in situ with the silicon carbide etch stop.
16. The method of claim 15, further comprising depositing a silicon carbide anti- reflective coating in situ with the second dielectric layer.
17. The method of claim 15, further comprising depositing a photoresist layer on the second dielectric layer.
18. The method of claim 14, further comprising depositing a photoresist layer on the first dielectric layer.
19. The method of claim 14, further comprising depositing a silicon carbide anti- reflective coating in situ with the first dielectric layer.
20. The method of claim 14, further comprising producing a substrate having an effective dielectric constant of no greater than about 5.
21. The method of claim 14, wherein the silicon and carbon are derived from a common organosilane, independent of other carbon sources.
22. The method of claim 14, wherein the silicon and carbon are derived from a common source, and reacting the silicon and the carbon in the presence of the plasma to form silicon carbide occurs independent of the presence of a separate hydrogen source.
23. The method of claim 14, wherein the silicon and carbon are derived from a common source and reacting the silicon and the carbon in the presence of the plasma to form silicon carbide occurs independent of the presence of a separate carbon source.
24. The method of claim 14, wherein the substrate comprises a damascene structure.
25. The method of claim 14, further comprising selecting an anti -reflective coating that has a single selected thickness to produce a reflectivity of about 7 percent or less when an underlying dielectric layer below the anti-reflective coating has a thickness from about 5000 A to about 10000 A.
26. A method of in situ deposition of silicon carbide on a substrate, comprising: a) depositing a silicon carbide barrier layer on the substrate; b) depositing a first dielectric layer on the barrier layer in situ with the barrier layer; c) depositing an etch stop on the first dielectric layer in situ with the first dielectric layer; d) depositing a second dielectric layer on the etch stop in situ with the etch stop; and e) depositing an anti-reflective coating on the second dielectric layer in situ with the second dielectric layer.
27. The method of claim 26, wherein the barrier layer, etch stop, and anti-reflective coating comprises silicon carbide having a dielectric constant less than 7.0.
28. The method of claim 26, further comprising producing a substrate having an effective dielectric constant of no greater than about 5.
29. The method of claim 26, further comprising removing a contaminant on a substrate layer by: a) introducing a reducing agent comprising nitrogen and hydrogen into a chamber; b) initiating a reducing plasma in the chamber; c) exposing an oxide on the substrate layer to the reducing agent.
PCT/US1999/022424 1998-10-01 1999-09-27 In situ deposition of low k si carbide barrier layer, etch stop, and anti-reflective coating for damascene applications WO2000019498A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
EP99951623A EP1118107A1 (en) 1998-10-01 1999-09-27 In situ deposition of low k si carbide barrier layer, etch stop, and anti-reflective coating for damascene applications
JP2000572907A JP2002526916A (en) 1998-10-01 1999-09-27 In situ deposition of low-κ silicon carbide barrier layers, etch stop and anti-reflective coatings for damascene applications

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US09/165,248 1998-10-01
US09/165,248 US20030089992A1 (en) 1998-10-01 1998-10-01 Silicon carbide deposition for use as a barrier layer and an etch stop
US09/219,945 US6635583B2 (en) 1998-10-01 1998-12-23 Silicon carbide deposition for use as a low-dielectric constant anti-reflective coating
US09/219,945 1998-12-23
US09/270,039 US6974766B1 (en) 1998-10-01 1999-03-16 In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US09/270,039 1999-03-16

Publications (1)

Publication Number Publication Date
WO2000019498A1 true WO2000019498A1 (en) 2000-04-06

Family

ID=27389120

Family Applications (3)

Application Number Title Priority Date Filing Date
PCT/US1999/022317 WO2000020900A2 (en) 1998-10-01 1999-09-27 Silicon carbide for use as a low dielectric constant anti-reflective coating and its deposition method
PCT/US1999/022424 WO2000019498A1 (en) 1998-10-01 1999-09-27 In situ deposition of low k si carbide barrier layer, etch stop, and anti-reflective coating for damascene applications
PCT/US1999/022425 WO2000019508A1 (en) 1998-10-01 1999-09-27 Silicon carbide deposition method and use as a barrier layer and passivation layer

Family Applications Before (1)

Application Number Title Priority Date Filing Date
PCT/US1999/022317 WO2000020900A2 (en) 1998-10-01 1999-09-27 Silicon carbide for use as a low dielectric constant anti-reflective coating and its deposition method

Family Applications After (1)

Application Number Title Priority Date Filing Date
PCT/US1999/022425 WO2000019508A1 (en) 1998-10-01 1999-09-27 Silicon carbide deposition method and use as a barrier layer and passivation layer

Country Status (6)

Country Link
US (3) US6974766B1 (en)
EP (3) EP1118107A1 (en)
JP (2) JP2002526649A (en)
KR (4) KR100650226B1 (en)
TW (3) TW432476B (en)
WO (3) WO2000020900A2 (en)

Cited By (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1176226A1 (en) 2000-07-28 2002-01-30 Applied Materials, Inc. Method of deposition of silicon carbide film in integrated circuit fabrication
EP1186685A2 (en) * 2000-09-08 2002-03-13 Applied Materials, Inc. Method for forming silicon carbide films
DE10042948A1 (en) * 2000-08-31 2002-03-28 Infineon Technologies Ag Integrated component used in electronic devices comprises an intermediate layer acting as a diffusion barrier arranged between a copper-containing conducting pathway and a covering layer
JP2002198317A (en) * 2000-09-12 2002-07-12 Applied Materials Inc Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
US6429121B1 (en) * 2001-02-07 2002-08-06 Advanced Micro Devices, Inc. Method of fabricating dual damascene with silicon carbide via mask/ARC
WO2002078082A2 (en) * 2001-03-23 2002-10-03 International Business Machines Corporation Electronic structure
US6537733B2 (en) 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
WO2003050870A1 (en) * 2001-12-11 2003-06-19 Trikon Technologies Limited Diffusion barrier
WO2003052162A1 (en) * 2001-12-14 2003-06-26 Applied Materials, Inc. A method of depositing dielectric materials in damascene applications
US6627535B2 (en) 2000-01-19 2003-09-30 Trikon Holdings Ltd. Methods and apparatus for forming a film on a substrate
WO2004033752A2 (en) * 2002-10-07 2004-04-22 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US6759327B2 (en) 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
KR100475931B1 (en) * 2002-07-02 2005-03-10 매그나칩 반도체 유한회사 Method for manufacturing a multi metal line in semiconductor device
US6869896B2 (en) 1998-02-11 2005-03-22 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6911405B2 (en) 2000-11-30 2005-06-28 Canon Sales Co., Inc. Semiconductor device and method of manufacturing the same
US6930061B2 (en) 1998-02-11 2005-08-16 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US7098139B2 (en) 2003-02-17 2006-08-29 Renesas Technology Corp. Method of manufacturing a semiconductor device with copper wiring treated in a plasma discharge
US7202167B2 (en) 2001-12-11 2007-04-10 Aviza Technology Limited Method of forming a diffusion barrier
DE102004036753B4 (en) * 2004-07-29 2008-11-06 Advanced Micro Devices Inc., Sunnyvale Process for the preparation of a nitrogen-free ARC topcoat
US7465659B2 (en) 2001-12-14 2008-12-16 Applied Materials, Inc. Low dielectric (low k) barrier films with oxygen doping by plasma-enhanced chemical vapor deposition (PECVD)
US7763979B2 (en) 2003-01-14 2010-07-27 Nec Electronics Corporation Organic insulating film, manufacturing method thereof, semiconductor device using such organic insulating film and manufacturing method thereof
US7865236B2 (en) 2004-10-20 2011-01-04 Nervonix, Inc. Active electrode, bio-impedance based, tissue discrimination system and methods of use
US8035232B2 (en) 2008-05-12 2011-10-11 Panasonic Corporation Semiconductor device including interconnects, vias connecting the interconnects and greater thickness of the liner film adjacent the vias
CN113991092A (en) * 2021-09-27 2022-01-28 杭州电子科技大学 Preparation method of silicon electrode material

Families Citing this family (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6821571B2 (en) * 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
JP4698813B2 (en) * 2000-10-19 2011-06-08 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
DE10062660B4 (en) * 2000-12-15 2010-05-06 Advanced Micro Devices, Inc., Sunnyvale A method of making a silicon oxynitride ARC layer over a semiconductor structure
US20030027413A1 (en) * 2001-08-01 2003-02-06 Ting Tsui Method to improve the adhesion of dielectric layers to copper
US6489238B1 (en) * 2001-08-21 2002-12-03 Texas Instruments Incorporated Method to reduce photoresist contamination from silicon carbide films
KR100799118B1 (en) * 2001-12-19 2008-01-29 주식회사 하이닉스반도체 Method for forming multi-Cu interconnection layer
US20030181034A1 (en) * 2002-03-19 2003-09-25 Ping Jiang Methods for forming vias and trenches with controlled SiC etch rate and selectivity
JP4340040B2 (en) * 2002-03-28 2009-10-07 富士通マイクロエレクトロニクス株式会社 Manufacturing method of semiconductor device
US6656840B2 (en) 2002-04-29 2003-12-02 Applied Materials Inc. Method for forming silicon containing layers on a substrate
US7097923B2 (en) * 2002-04-30 2006-08-29 Hitachi Global Storage Technologies Method for forming thin film heads using a tri-layer anti-reflection coating for photolithographic applications and a structure thereof
KR100462759B1 (en) * 2002-05-06 2004-12-20 동부전자 주식회사 Metal line with a diffusion barrier and fabrication method thereof
US7105442B2 (en) 2002-05-22 2006-09-12 Applied Materials, Inc. Ashable layers for reducing critical dimensions of integrated circuit features
JP4282054B2 (en) * 2002-09-09 2009-06-17 東京応化工業株式会社 Cleaning liquid used in dual damascene structure forming process and substrate processing method
US6908846B2 (en) 2002-10-24 2005-06-21 Lam Research Corporation Method and apparatus for detecting endpoint during plasma etching of thin films
US6815332B2 (en) * 2002-10-30 2004-11-09 Asm Japan K.K. Method for forming integrated dielectric layers
US6939800B1 (en) * 2002-12-16 2005-09-06 Lsi Logic Corporation Dielectric barrier films for use as copper barrier layers in semiconductor trench and via structures
WO2004061949A1 (en) * 2002-12-23 2004-07-22 Advanced Micro Devices, Inc. Method of forming a cap layer having anti-reflective characteristics on top of a low-k dielectric
US20040124420A1 (en) 2002-12-31 2004-07-01 Lin Simon S.H. Etch stop layer
US7091133B2 (en) * 2003-01-27 2006-08-15 Asm Japan K.K. Two-step formation of etch stop layer
US6943111B2 (en) * 2003-02-10 2005-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier free copper interconnect by multi-layer copper seed
JP4454242B2 (en) * 2003-03-25 2010-04-21 株式会社ルネサステクノロジ Semiconductor device and manufacturing method thereof
JP4295730B2 (en) 2003-04-28 2009-07-15 富士通マイクロエレクトロニクス株式会社 Manufacturing method of semiconductor device
KR100541185B1 (en) * 2003-07-28 2006-01-11 삼성전자주식회사 Method for forming dielectric layer of including capping layer and Method of Metal wire of the same
US20050064629A1 (en) * 2003-09-22 2005-03-24 Chen-Hua Yu Tungsten-copper interconnect and method for fabricating the same
DE102004042168B4 (en) * 2004-08-31 2009-08-20 Advanced Micro Devices, Inc., Sunnyvale Semiconductor element having a small-ε metallization layer stack with enhanced electromigration resistance and method of forming the semiconductor element
US7361584B2 (en) * 2004-11-04 2008-04-22 International Business Machines Corporation Detection of residual liner materials after polishing in damascene process
WO2006088015A1 (en) 2005-02-18 2006-08-24 Nec Corporation Method for forming organosilicon film, semiconductor device having such organosilicon film and method for manufacturing same
US7265437B2 (en) * 2005-03-08 2007-09-04 International Business Machines Corporation Low k dielectric CVD film formation process with in-situ imbedded nanolayers to improve mechanical properties
US7442649B2 (en) * 2005-03-29 2008-10-28 Lam Research Corporation Etch with photoresist mask
JP5096669B2 (en) 2005-07-06 2012-12-12 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor integrated circuit device
JP4656147B2 (en) 2005-09-13 2011-03-23 日本電気株式会社 Method for forming porous insulating film and semiconductor device
JP4521349B2 (en) * 2005-10-13 2010-08-11 富士通セミコンダクター株式会社 Semiconductor integrated circuit device
WO2007061134A1 (en) 2005-11-24 2007-05-31 Nec Corporation Method for forming porous insulating film, apparatus for manufacturing semiconductor device, method for manufacturing semiconductor device and semiconductor device
KR100720526B1 (en) 2005-12-28 2007-05-22 동부일렉트로닉스 주식회사 Method for forming a metal line in semiconductor device
WO2007132879A1 (en) 2006-05-17 2007-11-22 Nec Corporation Semiconductor device, semiconductor device manufacturing method and semiconductor manufacturing apparatus
US7615427B2 (en) * 2006-06-05 2009-11-10 Chartered Semiconductor Manufacturing, Ltd. Spacer-less low-k dielectric processes
US20070290347A1 (en) * 2006-06-19 2007-12-20 Texas Instruments Incorporated Semiconductive device having resist poison aluminum oxide barrier and method of manufacture
JP4812838B2 (en) 2006-07-21 2011-11-09 ルネサスエレクトロニクス株式会社 Method for forming porous insulating film
WO2008105736A2 (en) * 2007-03-01 2008-09-04 Plasmatrix Materials Ab Method, material and apparatus for enhancing dynamic stiffness
US7964442B2 (en) * 2007-10-09 2011-06-21 Applied Materials, Inc. Methods to obtain low k dielectric barrier with superior etch resistivity
US8987039B2 (en) * 2007-10-12 2015-03-24 Air Products And Chemicals, Inc. Antireflective coatings for photovoltaic applications
KR101225642B1 (en) * 2007-11-15 2013-01-24 삼성전자주식회사 Method for formation of contact plug of semiconductor device using H2 remote plasma treatment
JP4891296B2 (en) * 2008-07-03 2012-03-07 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor integrated circuit device
US8053361B2 (en) 2008-09-04 2011-11-08 Globalfoundries Singapore Pte. Ltd Interconnects with improved TDDB
WO2012074816A2 (en) 2010-11-30 2012-06-07 Applied Materials, Inc. Method and apparatus for modulating wafer treatment profile in uv chamber
US8994178B2 (en) 2012-03-29 2015-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method for forming the same
CN102623396B (en) * 2012-04-17 2014-05-14 上海华力微电子有限公司 Method for forming connection holes
US9269678B2 (en) 2012-10-25 2016-02-23 United Microelectronics Corp. Bond pad structure and method of manufacturing the same
CN103539122B (en) * 2013-10-12 2015-12-02 台州市一能科技有限公司 A kind of preparation method of silicon carbide
US9196583B1 (en) 2014-05-09 2015-11-24 Qualcomm Incorporated Via material selection and processing
US9590157B2 (en) 2015-06-04 2017-03-07 The Silanna Group Pty Ltd Efficient dual metal contact formation for a semiconductor device
US10574210B2 (en) 2016-03-31 2020-02-25 Qorvo Us, Inc. BAW devices having top electrode leads with reduced reflectance
CN109427650B (en) * 2017-08-24 2021-03-09 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
KR102540963B1 (en) 2017-12-27 2023-06-07 삼성전자주식회사 Method of forming a micropattern and substrate processing apparatus
RU2687343C1 (en) * 2018-03-22 2019-05-13 Открытое акционерное общество "Композит" Method of producing composite material
DE102018107563B4 (en) * 2018-03-29 2022-03-03 Infineon Technologies Austria Ag SEMICONDUCTOR DEVICE WITH COPPER STRUCTURE AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE
US11171200B2 (en) * 2019-09-26 2021-11-09 Texas Instruments Incorporated Integrated circuits having dielectric layers including an anti-reflective coating
KR20210111017A (en) * 2020-03-02 2021-09-10 주식회사 원익아이피에스 Method for treating substrate and the semiconductor device manufactured by using the same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4532150A (en) * 1982-12-29 1985-07-30 Shin-Etsu Chemical Co., Ltd. Method for providing a coating layer of silicon carbide on the surface of a substrate
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
EP0725440A2 (en) * 1995-02-02 1996-08-07 Dow Corning Corporation Silicon carbide metal diffusion barrier layer
US5741626A (en) * 1996-04-15 1998-04-21 Motorola, Inc. Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC)

Family Cites Families (265)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
GB1147014A (en) * 1967-01-27 1969-04-02 Westinghouse Electric Corp Improvements in diffusion masking
FI57975C (en) 1979-02-28 1980-11-10 Lohja Ab Oy OVER ANCHORING VIDEO UPDATE FOR AVAILABILITY
US4262631A (en) 1979-10-01 1981-04-21 Kubacki Ronald M Thin film deposition apparatus using an RF glow discharge
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
FI64878C (en) 1982-05-10 1984-01-10 Lohja Ab Oy KOMBINATIONSFILM FOER ISYNNERHET TUNNFILMELEKTROLUMINENSSTRUKTURER
JPH07111957B2 (en) 1984-03-28 1995-11-29 圭弘 浜川 Semiconductor manufacturing method
US4759947A (en) 1984-10-08 1988-07-26 Canon Kabushiki Kaisha Method for forming deposition film using Si compound and active species from carbon and halogen compound
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US4895734A (en) 1987-03-31 1990-01-23 Hitachi Chemical Company, Ltd. Process for forming insulating film used in thin film electroluminescent device
US5028566A (en) * 1987-04-10 1991-07-02 Air Products And Chemicals, Inc. Method of forming silicon dioxide glass films
IT1226701B (en) * 1988-07-29 1991-02-05 Eniricerche Spa PROCEDURE FOR THE DEPOSITION OF ORGANOSILANS ON SILICON OR SILICON OXIDE SUBSTRATES FOR DEVICES OF THE EOS OR CHEMFET TYPE.
GB8827933D0 (en) 1988-11-30 1989-01-05 Plessey Co Plc Improvements relating to soldering processes
JPH0824191B2 (en) 1989-03-17 1996-03-06 富士通株式会社 Thin film transistor
US5011706A (en) 1989-04-12 1991-04-30 Dow Corning Corporation Method of forming coatings containing amorphous silicon carbide
JPH03105974A (en) 1989-09-19 1991-05-02 Kobe Steel Ltd Manufacture of schottky diode by synthesizing polycrystalline diamond thin film
EP0448276B1 (en) * 1990-03-23 1996-06-05 AT&T Corp. Integrated circuit interconnection
EP0449117A3 (en) 1990-03-23 1992-05-06 Matsushita Electric Industrial Co., Ltd. Organic polymer and preparation and use thereof
US5401613A (en) 1990-12-13 1995-03-28 Brewer Science Method of manufacturing microelectronic devices having multifunctional photolithographic layers
US5232871A (en) 1990-12-27 1993-08-03 Intel Corporation Method for forming a titanium nitride barrier layer
EP0519079B1 (en) 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
US5525550A (en) 1991-05-21 1996-06-11 Fujitsu Limited Process for forming thin films by plasma CVD for use in the production of semiconductor devices
US5238866A (en) 1991-09-11 1993-08-24 GmbH & Co. Ingenieurburo Berlin Biotronik Mess- und Therapiegerate Plasma enhanced chemical vapor deposition process for producing an amorphous semiconductive surface coating
JPH05144811A (en) * 1991-11-22 1993-06-11 Hitachi Ltd Thin film semiconductor device and manufacture thereof
US5472829A (en) 1991-12-30 1995-12-05 Sony Corporation Method of forming a resist pattern by using an anti-reflective layer
JP2953349B2 (en) * 1991-12-30 1999-09-27 ソニー株式会社 Resist pattern forming method, antireflection film forming method, antireflection film, and semiconductor device
US5472827A (en) 1991-12-30 1995-12-05 Sony Corporation Method of forming a resist pattern using an anti-reflective layer
US5480300A (en) 1992-05-15 1996-01-02 Shin-Etsu Quartz Products Co. Ltd. Vertical heat-treating apparatus and heat insulator
JPH05335299A (en) * 1992-05-29 1993-12-17 Kawasaki Steel Corp Fabrication of semiconductor device
US5739579A (en) 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
JP2734915B2 (en) 1992-11-18 1998-04-02 株式会社デンソー Dry etching method for semiconductor
JP2684942B2 (en) 1992-11-30 1997-12-03 日本電気株式会社 Chemical vapor deposition method, chemical vapor deposition apparatus, and method for manufacturing multilayer wiring
US5409543A (en) 1992-12-22 1995-04-25 Sandia Corporation Dry soldering with hot filament produced atomic hydrogen
TW347149U (en) 1993-02-26 1998-12-01 Dow Corning Integrated circuits protected from the environment by ceramic and barrier metal layers
US5360491A (en) 1993-04-07 1994-11-01 The United States Of America As Represented By The United States Department Of Energy β-silicon carbide protective coating and method for fabricating same
US5627105A (en) 1993-04-08 1997-05-06 Varian Associates, Inc. Plasma etch process and TiSix layers made using the process
US5526244A (en) 1993-05-24 1996-06-11 Bishop; Vernon R. Overhead luminaire
US5465680A (en) 1993-07-01 1995-11-14 Dow Corning Corporation Method of forming crystalline silicon carbide coatings
US5468978A (en) 1993-07-07 1995-11-21 Dowben; Peter A. Forming B1-x Cx semiconductor devices by chemical vapor deposition
US5427621A (en) 1993-10-29 1995-06-27 Applied Materials, Inc. Method for removing particulate contaminants by magnetic field spiking
JP2899600B2 (en) 1994-01-25 1999-06-02 キヤノン販売 株式会社 Film formation method
JP3254875B2 (en) * 1994-02-03 2002-02-12 富士通株式会社 Method for manufacturing semiconductor device
US5451263A (en) 1994-02-03 1995-09-19 Harris Corporation Plasma cleaning method for improved ink brand permanency on IC packages with metallic parts
US5618619A (en) 1994-03-03 1997-04-08 Monsanto Company Highly abrasion-resistant, flexible coatings for soft substrates
JP3326974B2 (en) 1994-07-28 2002-09-24 ソニー株式会社 Method for forming multilayer wiring and method for manufacturing semiconductor device
US5565084A (en) 1994-10-11 1996-10-15 Qnix Computer Co., Ltd. Electropolishing methods for etching substrate in self alignment
FI100409B (en) * 1994-11-28 1997-11-28 Asm Int Method and apparatus for making thin films
FI97731C (en) * 1994-11-28 1997-02-10 Mikrokemia Oy Method and apparatus for making thin films
US5736457A (en) 1994-12-09 1998-04-07 Sematech Method of making a damascene metallization
US5710067A (en) 1995-06-07 1998-01-20 Advanced Micro Devices, Inc. Silicon oxime film
KR0167248B1 (en) 1995-07-24 1999-02-01 문정환 Heat treatment of substrate
US5804488A (en) 1995-08-24 1998-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a tungsten silicide capacitor having a high breakdown voltage
DE19531369A1 (en) * 1995-08-25 1997-02-27 Siemens Ag Silicon-based semiconductor device with high-blocking edge termination
US5623160A (en) 1995-09-14 1997-04-22 Liberkowski; Janusz B. Signal-routing or interconnect substrate, structure and apparatus
US5789776A (en) 1995-09-22 1998-08-04 Nvx Corporation Single poly memory cell and array
US6084302A (en) 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
JP2973905B2 (en) * 1995-12-27 1999-11-08 日本電気株式会社 Method for manufacturing semiconductor device
US5660682A (en) 1996-03-14 1997-08-26 Lsi Logic Corporation Plasma clean with hydrogen gas
US5780163A (en) 1996-06-05 1998-07-14 Dow Corning Corporation Multilayer coating for microelectronic devices
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5869396A (en) 1996-07-15 1999-02-09 Chartered Semiconductor Manufacturing Ltd. Method for forming a polycide gate electrode
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5801098A (en) 1996-09-03 1998-09-01 Motorola, Inc. Method of decreasing resistivity in an electrically conductive layer
US5730792A (en) 1996-10-04 1998-03-24 Dow Corning Corporation Opaque ceramic coatings
US5776235A (en) 1996-10-04 1998-07-07 Dow Corning Corporation Thick opaque ceramic coatings
US5711987A (en) 1996-10-04 1998-01-27 Dow Corning Corporation Electronic coatings
US5923056A (en) 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US6136700A (en) * 1996-12-20 2000-10-24 Texas Instruments Incorporated Method for enhancing the performance of a contact
US6335280B1 (en) * 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
JPH10308283A (en) * 1997-03-04 1998-11-17 Denso Corp El element and its manufacture
US5789316A (en) 1997-03-10 1998-08-04 Vanguard International Semiconductor Corporation Self-aligned method for forming a narrow via
US5817579A (en) 1997-04-09 1998-10-06 Vanguard International Semiconductor Corporation Two step plasma etch method for forming self aligned contact
TW417249B (en) 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
KR19990005812A (en) * 1997-06-30 1999-01-25 김영환 Formation method of antireflection film
US5821168A (en) 1997-07-16 1998-10-13 Motorola, Inc. Process for forming a semiconductor device
US6013553A (en) 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
KR100385946B1 (en) * 1999-12-08 2003-06-02 삼성전자주식회사 Method for forming a metal layer by an atomic layer deposition and a semiconductor device with the metal layer as a barrier metal layer, an upper electrode, or a lower electrode of capacitor
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US5926740A (en) 1997-10-27 1999-07-20 Micron Technology, Inc. Graded anti-reflective coating for IC lithography
KR100269306B1 (en) 1997-07-31 2000-10-16 윤종용 Integrate circuit device having buffer layer containing metal oxide stabilized by low temperature treatment and fabricating method thereof
KR100261017B1 (en) 1997-08-19 2000-08-01 윤종용 Method for forming metal wiring of semiconductor device
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100274603B1 (en) 1997-10-01 2001-01-15 윤종용 Method and apparatus for fabricating semiconductor device
FI104383B (en) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Procedure for coating the inside of a plant
US6291334B1 (en) 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
KR100269328B1 (en) * 1997-12-31 2000-10-16 윤종용 Method for forming conductive layer using atomic layer deposition process
US6140226A (en) 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6287990B1 (en) * 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6627532B1 (en) 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6159871A (en) * 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
KR100319888B1 (en) 1998-06-16 2002-01-10 윤종용 Method of forming selective metal layer and method of forming capacitor and filling contact hole using the same
KR100278657B1 (en) 1998-06-24 2001-02-01 윤종용 Metal line structure for semiconductor device & manufacturing method thereof
US6316167B1 (en) 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
JP2000031387A (en) 1998-07-14 2000-01-28 Fuji Electric Co Ltd Manufacture of dielectric thin film capacitor
US6103456A (en) 1998-07-22 2000-08-15 Siemens Aktiengesellschaft Prevention of photoresist poisoning from dielectric antireflective coating in semiconductor fabrication
US6245662B1 (en) 1998-07-23 2001-06-12 Applied Materials, Inc. Method of producing an interconnect structure for an integrated circuit
KR100275738B1 (en) 1998-08-07 2000-12-15 윤종용 Method for producing thin film using atomatic layer deposition
KR20000013654A (en) 1998-08-12 2000-03-06 윤종용 Capacitor having an al2o3/aln mixed dielectric layer by using an atomic layer deposition and a manufacturing method thereof
KR100287180B1 (en) * 1998-09-17 2001-04-16 윤종용 Method for manufacturing semiconductor device including metal interconnection formed using interface control layer
US6071809A (en) * 1998-09-25 2000-06-06 Rockwell Semiconductor Systems, Inc. Methods for forming high-performing dual-damascene interconnect structures
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
KR100327328B1 (en) * 1998-10-13 2002-05-09 윤종용 Method for forming dielectric layer of capacitor having partially different thickness in the layer
US6528426B1 (en) 1998-10-16 2003-03-04 Texas Instruments Incorporated Integrated circuit interconnect and method
KR100297719B1 (en) * 1998-10-16 2001-08-07 윤종용 Method for manufacturing thin film
JP3580159B2 (en) 1998-12-18 2004-10-20 東京エレクトロン株式会社 Method of forming tungsten film
KR100331544B1 (en) 1999-01-18 2002-04-06 윤종용 Method for introducing gases into a reactor chamber and a shower head used therein
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR100347379B1 (en) * 1999-05-01 2002-08-07 주식회사 피케이엘 Atomic layer deposition apparatus for depositing multi substrate
FI118342B (en) 1999-05-10 2007-10-15 Asm Int Apparatus for making thin films
US6218298B1 (en) * 1999-05-19 2001-04-17 Infineon Technologies North America Corp. Tungsten-filled deep trenches
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6114259A (en) 1999-07-27 2000-09-05 Lsi Logic Corporation Process for treating exposed surfaces of a low dielectric constant carbon doped silicon oxide dielectric material to protect the material from damage
KR20010017820A (en) 1999-08-14 2001-03-05 윤종용 Semiconductor device and manufacturing method thereof
US6984415B2 (en) * 1999-08-20 2006-01-10 International Business Machines Corporation Delivery systems for gases for gases via the sublimation of solid precursors
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6593653B2 (en) 1999-09-30 2003-07-15 Novellus Systems, Inc. Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications
DE10049257B4 (en) 1999-10-06 2015-05-13 Samsung Electronics Co., Ltd. Process for thin film production by means of atomic layer deposition
FI117942B (en) 1999-10-14 2007-04-30 Asm Int Process for making oxide thin films
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR100304714B1 (en) 1999-10-20 2001-11-02 윤종용 Method for fabricating metal layer of semiconductor device using metal-halide gas
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
KR100705926B1 (en) * 1999-12-22 2007-04-11 주식회사 하이닉스반도체 Method of manufacturing a capacitor in a semiconductor device
KR100624903B1 (en) 1999-12-22 2006-09-19 주식회사 하이닉스반도체 Method of manufacturing a capacitor in a semiconductor device
JP4817210B2 (en) 2000-01-06 2011-11-16 東京エレクトロン株式会社 Film forming apparatus and film forming method
FI20000099A0 (en) 2000-01-18 2000-01-18 Asm Microchemistry Ltd A method for growing thin metal films
JP4362919B2 (en) 2000-02-04 2009-11-11 株式会社デンソー Deposition method by atomic layer epitaxial growth method
AU2001245388A1 (en) * 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
FI117979B (en) 2000-04-14 2007-05-15 Asm Int Process for making oxide thin films
KR100363088B1 (en) 2000-04-20 2002-12-02 삼성전자 주식회사 Method of manufacturing barrier metal layer using atomic layer deposition method
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
EP1282911B1 (en) * 2000-05-15 2018-09-05 Asm International N.V. Process for producing integrated circuits
KR100647442B1 (en) 2000-06-07 2006-11-17 주성엔지니어링(주) Method of forming a thin film using atomic layer deposition
KR100403611B1 (en) 2000-06-07 2003-11-01 삼성전자주식회사 Metal-insulator-metal capacitor and manufacturing method thereof
US7253076B1 (en) * 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
WO2001099166A1 (en) * 2000-06-08 2001-12-27 Genitech Inc. Thin film forming method
KR100387255B1 (en) * 2000-06-20 2003-06-11 주식회사 하이닉스반도체 Method of forming a metal wiring in a semiconductor device
KR100332313B1 (en) 2000-06-24 2002-04-12 서성기 Apparatus and method for depositing thin film on wafer
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US6372661B1 (en) 2000-07-14 2002-04-16 Taiwan Semiconductor Manufacturing Company Method to improve the crack resistance of CVD low-k dielectric constant material
KR100444149B1 (en) * 2000-07-22 2004-08-09 주식회사 아이피에스 ALD thin film depositin equipment cleaning method
US6368954B1 (en) * 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
KR100630666B1 (en) * 2000-08-09 2006-10-02 삼성전자주식회사 Method of manufacturing semiconductor device including metal contact and capacitor
KR100396879B1 (en) * 2000-08-11 2003-09-02 삼성전자주식회사 Semiconductor memory device having capacitor encapsulated by multi-layer which includes double layeres being made of same material and method of manufacturing thereof
US6903005B1 (en) 2000-08-30 2005-06-07 Micron Technology, Inc. Method for the formation of RuSixOy-containing barrier layers for high-k dielectrics
US6355561B1 (en) * 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
EP1340269B1 (en) 2000-11-30 2009-02-25 Asm International N.V. Thin films for magnetic devices
US20020197402A1 (en) 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
KR100386034B1 (en) 2000-12-06 2003-06-02 에이에스엠 마이크로케미스트리 리미티드 Method of Fabricating Semiconductor Device Employing Copper Interconnect Structure Having Diffusion Barrier Stuffed with Metal Oxide
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (en) 2000-12-06 2003-06-02 삼성전자주식회사 Method of forming thin film by atomic layer deposition
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020076481A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US20020073924A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
KR20020049875A (en) 2000-12-20 2002-06-26 윤종용 Ferroelectric capacitor in semiconductor memory device and method for manufacturing the same
JP3963078B2 (en) 2000-12-25 2007-08-22 株式会社高純度化学研究所 Tertiary amylimidotris (dimethylamido) tantalum, method for producing the same, raw material solution for MOCVD using the same, and method for forming a tantalum nitride film using the same
KR20020056260A (en) 2000-12-29 2002-07-10 박종섭 Method for forming metal gate of semiconductor devoie
US20020086111A1 (en) 2001-01-03 2002-07-04 Byun Jeong Soo Method of forming refractory metal nitride layers using chemisorption techniques
KR100400031B1 (en) 2001-01-17 2003-09-29 삼성전자주식회사 Contact plug of semiconductor device and method of forming the same
JP2002222934A (en) 2001-01-29 2002-08-09 Nec Corp Semiconductor device and manufacturing method thereof
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6844604B2 (en) 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
KR100400033B1 (en) 2001-02-08 2003-09-29 삼성전자주식회사 Semiconductor device having multi-interconnection structure and manufacturing method thereof
KR100395766B1 (en) 2001-02-12 2003-08-25 삼성전자주식회사 Ferroelectric memory device and method of forming the same
WO2002080244A2 (en) 2001-02-12 2002-10-10 Asm America, Inc. Improved process for deposition of semiconductor films
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US20020121241A1 (en) 2001-03-02 2002-09-05 Nguyen Anh N. Processing chamber and method of distributing process fluids therein to facilitate sequential deposition of films
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
FI109770B (en) 2001-03-16 2002-10-15 Asm Microchemistry Oy Growing transition metal nitride thin films by using compound having hydrocarbon, amino or silyl group bound to nitrogen as nitrogen source material
US7348042B2 (en) 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
EP1677361A2 (en) 2001-04-02 2006-07-05 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for manufacture thereof
US6369430B1 (en) 2001-04-02 2002-04-09 Motorola, Inc. Method of preventing two neighboring contacts from a short-circuit caused by a void between them and device having the same
US20020144655A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. Gas valve system for a reactor
US20020144657A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
US6420189B1 (en) 2001-04-27 2002-07-16 Advanced Micro Devices, Inc. Superconducting damascene interconnected for integrated circuit
US6447933B1 (en) 2001-04-30 2002-09-10 Advanced Micro Devices, Inc. Formation of alloy material using alternating depositions of alloy doping element and bulk material
US6596643B2 (en) 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
KR100363332B1 (en) 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US6673721B1 (en) 2001-07-02 2004-01-06 Lsi Logic Corporation Process for removal of photoresist mask used for making vias in low k carbon-doped silicon oxide dielectric material, and for removal of etch residues from formation of vias and removal of photoresist mask
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
WO2003029515A2 (en) * 2001-07-16 2003-04-10 Applied Materials, Inc. Formation of composite tungsten films
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US20030042630A1 (en) * 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
EP1425435A2 (en) 2001-09-14 2004-06-09 Asm International N.V. Metal nitride deposition by ald using gettering reactant
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US20030057526A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US20030072884A1 (en) 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6423619B1 (en) 2001-11-30 2002-07-23 Motorola, Inc. Transistor metal gate structure that minimizes non-planarity effects and method of formation
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
US6674138B1 (en) 2001-12-31 2004-01-06 Advanced Micro Devices, Inc. Use of high-k dielectric materials in modified ONO structure for semiconductor devices
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US20030157760A1 (en) 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6753618B2 (en) 2002-03-11 2004-06-22 Micron Technology, Inc. MIM capacitor with metal nitride electrode materials and method of formation
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US6875271B2 (en) 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US7164165B2 (en) 2002-05-16 2007-01-16 Micron Technology, Inc. MIS capacitor
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040009336A1 (en) * 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US6955211B2 (en) * 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
KR100468852B1 (en) * 2002-07-20 2005-01-29 삼성전자주식회사 Manufacturing method of Capacitor Structure
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
KR100542736B1 (en) * 2002-08-17 2006-01-11 삼성전자주식회사 Method of forming oxide layer using atomic layer deposition method and method of forming capacitor of semiconductor device using the same
US6958300B2 (en) * 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
JP4188033B2 (en) * 2002-08-30 2008-11-26 本田技研工業株式会社 Hydraulic shock absorber mounting structure
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
WO2004064147A2 (en) 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
US7262133B2 (en) 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
KR20060079144A (en) * 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 Atomic layer deposition of barrier materials
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4532150A (en) * 1982-12-29 1985-07-30 Shin-Etsu Chemical Co., Ltd. Method for providing a coating layer of silicon carbide on the surface of a substrate
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
EP0725440A2 (en) * 1995-02-02 1996-08-07 Dow Corning Corporation Silicon carbide metal diffusion barrier layer
US5741626A (en) * 1996-04-15 1998-04-21 Motorola, Inc. Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC)

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
DIJKSTRA H J ET AL: "OPTIMIZATION OF ANTI-REFLECTION LAYERS FOR DEEP UV LITHOGRAPHY", PROCEEDINGS OF SPIE. OPTICAL / LASER MICROLITHOGRAPHY,US,BELLINGHAM, SPIE, vol. 1927, pages 275-286, XP002034907, ISBN: 0-8194-1161-2 *
TOHRU OGAWA ET AL.: "Novel ARC optimization methodology for KrF excimer laser lithography at low K1 factor", PROCEEDINGS OF THE SPIE. OPTICAL/LASER MICROLITHOGRAPHY V, vol. 1674, 1992, pages 362 - 375, XP002130896 *

Cited By (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6930061B2 (en) 1998-02-11 2005-08-16 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6869896B2 (en) 1998-02-11 2005-03-22 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6627535B2 (en) 2000-01-19 2003-09-30 Trikon Holdings Ltd. Methods and apparatus for forming a film on a substrate
EP1176226A1 (en) 2000-07-28 2002-01-30 Applied Materials, Inc. Method of deposition of silicon carbide film in integrated circuit fabrication
US7001850B2 (en) 2000-07-28 2006-02-21 Applied Materials Inc. Method of depositing dielectric films
JP2002190522A (en) * 2000-07-28 2002-07-05 Applied Materials Inc Method of depositing dielectric film
US6764958B1 (en) 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US7117064B2 (en) 2000-07-28 2006-10-03 Applied Materials, Inc. Method of depositing dielectric films
DE10042948A1 (en) * 2000-08-31 2002-03-28 Infineon Technologies Ag Integrated component used in electronic devices comprises an intermediate layer acting as a diffusion barrier arranged between a copper-containing conducting pathway and a covering layer
DE10042948C2 (en) * 2000-08-31 2002-07-18 Infineon Technologies Ag Integrated component and method for its production
KR100817350B1 (en) * 2000-09-08 2008-03-27 어플라이드 머티어리얼스, 인코포레이티드 Dual plasma treatment of silicon carbide films
EP1186685A2 (en) * 2000-09-08 2002-03-13 Applied Materials, Inc. Method for forming silicon carbide films
EP1186685A3 (en) * 2000-09-08 2004-11-17 Applied Materials, Inc. Method for forming silicon carbide films
JP2002198317A (en) * 2000-09-12 2002-07-12 Applied Materials Inc Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
US6911405B2 (en) 2000-11-30 2005-06-28 Canon Sales Co., Inc. Semiconductor device and method of manufacturing the same
US6429121B1 (en) * 2001-02-07 2002-08-06 Advanced Micro Devices, Inc. Method of fabricating dual damascene with silicon carbide via mask/ARC
US6855484B2 (en) 2001-02-23 2005-02-15 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6537733B2 (en) 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
WO2002078082A3 (en) * 2001-03-23 2003-02-27 Ibm Electronic structure
WO2002078082A2 (en) * 2001-03-23 2002-10-03 International Business Machines Corporation Electronic structure
GB2391388B (en) * 2001-03-23 2005-10-26 Ibm Electronic structure
GB2391388A (en) * 2001-03-23 2004-02-04 Ibm Electronic structure
US7125813B2 (en) 2001-10-09 2006-10-24 Applied Materials, Inc. Method of depositing low K barrier layers
US7319068B2 (en) 2001-10-09 2008-01-15 Applied Materials, Inc. Method of depositing low k barrier layers
US6759327B2 (en) 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
US7202167B2 (en) 2001-12-11 2007-04-10 Aviza Technology Limited Method of forming a diffusion barrier
WO2003050870A1 (en) * 2001-12-11 2003-06-19 Trikon Technologies Limited Diffusion barrier
WO2003052162A1 (en) * 2001-12-14 2003-06-26 Applied Materials, Inc. A method of depositing dielectric materials in damascene applications
US7465659B2 (en) 2001-12-14 2008-12-16 Applied Materials, Inc. Low dielectric (low k) barrier films with oxygen doping by plasma-enhanced chemical vapor deposition (PECVD)
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US7745328B2 (en) 2001-12-14 2010-06-29 Applied Materials, Inc. Low dielectric (low k) barrier films with oxygen doping by plasma-enhanced chemical vapor deposition (PECVD)
KR100960755B1 (en) 2001-12-14 2010-06-01 어플라이드 머티어리얼스, 인코포레이티드 A method of depositing dielectric materials in damascene applications
KR100475931B1 (en) * 2002-07-02 2005-03-10 매그나칩 반도체 유한회사 Method for manufacturing a multi metal line in semiconductor device
WO2004033752A3 (en) * 2002-10-07 2004-12-09 Applied Materials Inc Two-layer film for next generation damascene barrier application with good oxidation resistance
WO2004033752A2 (en) * 2002-10-07 2004-04-22 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US7749563B2 (en) 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US7763979B2 (en) 2003-01-14 2010-07-27 Nec Electronics Corporation Organic insulating film, manufacturing method thereof, semiconductor device using such organic insulating film and manufacturing method thereof
US7462565B2 (en) 2003-02-17 2008-12-09 Renesas Technology Corp. Method of manufacturing semiconductor device
US7098139B2 (en) 2003-02-17 2006-08-29 Renesas Technology Corp. Method of manufacturing a semiconductor device with copper wiring treated in a plasma discharge
DE102004036753B4 (en) * 2004-07-29 2008-11-06 Advanced Micro Devices Inc., Sunnyvale Process for the preparation of a nitrogen-free ARC topcoat
US7865236B2 (en) 2004-10-20 2011-01-04 Nervonix, Inc. Active electrode, bio-impedance based, tissue discrimination system and methods of use
US8035232B2 (en) 2008-05-12 2011-10-11 Panasonic Corporation Semiconductor device including interconnects, vias connecting the interconnects and greater thickness of the liner film adjacent the vias
CN113991092A (en) * 2021-09-27 2022-01-28 杭州电子科技大学 Preparation method of silicon electrode material

Also Published As

Publication number Publication date
TW523803B (en) 2003-03-11
WO2000019508A1 (en) 2000-04-06
EP1118025A2 (en) 2001-07-25
TW492138B (en) 2002-06-21
US7670945B2 (en) 2010-03-02
JP2002526649A (en) 2002-08-20
EP1118109A1 (en) 2001-07-25
US7470611B2 (en) 2008-12-30
KR20070005025A (en) 2007-01-09
JP2002526916A (en) 2002-08-20
US6974766B1 (en) 2005-12-13
WO2000020900A2 (en) 2000-04-13
KR20010075563A (en) 2001-08-09
WO2000020900A3 (en) 2000-09-08
US20090130837A1 (en) 2009-05-21
KR20010079973A (en) 2001-08-22
KR100696034B1 (en) 2007-03-16
KR20010075561A (en) 2001-08-09
KR100650226B1 (en) 2006-11-24
TW432476B (en) 2001-05-01
KR100716622B1 (en) 2007-05-09
US20060089007A1 (en) 2006-04-27
EP1118107A1 (en) 2001-07-25

Similar Documents

Publication Publication Date Title
US7670945B2 (en) In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6951826B2 (en) Silicon carbide deposition for use as a low dielectric constant anti-reflective coating
US7200460B2 (en) Method of depositing low dielectric constant silicon carbide layers
US7638440B2 (en) Method of depositing an amorphous carbon film for etch hardmask application
US7117064B2 (en) Method of depositing dielectric films
US6573030B1 (en) Method for depositing an amorphous carbon layer
US6777171B2 (en) Fluorine-containing layers for damascene structures
US7776516B2 (en) Graded ARC for high NA and immersion lithography
KR101106425B1 (en) Nitrogen-free dielectric anti-reflective coating and hardmask
JP4763131B2 (en) Silicon carbide deposition for low dielectric constant antireflective coatings

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): JP KR

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
ENP Entry into the national phase

Ref country code: JP

Ref document number: 2000 572907

Kind code of ref document: A

Format of ref document f/p: F

WWE Wipo information: entry into national phase

Ref document number: 1020017004231

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 1999951623

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1999951623

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020017004231

Country of ref document: KR

WWG Wipo information: grant in national office

Ref document number: 1020017004231

Country of ref document: KR