WO2004049175A3 - External memory controller node - Google Patents

External memory controller node Download PDF

Info

Publication number
WO2004049175A3
WO2004049175A3 PCT/US2003/037400 US0337400W WO2004049175A3 WO 2004049175 A3 WO2004049175 A3 WO 2004049175A3 US 0337400 W US0337400 W US 0337400W WO 2004049175 A3 WO2004049175 A3 WO 2004049175A3
Authority
WO
WIPO (PCT)
Prior art keywords
memory
memory controller
external memory
network
controller node
Prior art date
Application number
PCT/US2003/037400
Other languages
French (fr)
Other versions
WO2004049175A2 (en
Inventor
Paul L Master
Original Assignee
Quicksilver Tech Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Quicksilver Tech Inc filed Critical Quicksilver Tech Inc
Priority to AU2003295814A priority Critical patent/AU2003295814A1/en
Publication of WO2004049175A2 publication Critical patent/WO2004049175A2/en
Publication of WO2004049175A3 publication Critical patent/WO2004049175A3/en

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/16Combinations of two or more digital computers each having at least an arithmetic unit, a program unit and a register, e.g. for a simultaneous processing of several programs
    • G06F15/163Interprocessor communication
    • G06F15/167Interprocessor communication using a common memory, e.g. mailbox
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/14Handling requests for interconnection or transfer
    • G06F13/16Handling requests for interconnection or transfer for access to memory bus
    • G06F13/1605Handling requests for interconnection or transfer for access to memory bus based on arbitration
    • G06F13/1652Handling requests for interconnection or transfer for access to memory bus based on arbitration in a multiprocessor architecture
    • G06F13/1657Access to multiple memories
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/06Digital input from, or digital output to, record carriers, e.g. RAID, emulated record carriers or networked record carriers
    • G06F3/0601Interfaces specially adapted for storage systems
    • G06F3/0602Interfaces specially adapted for storage systems specifically adapted to achieve a particular effect
    • G06F3/0626Reducing size or complexity of storage systems
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/06Digital input from, or digital output to, record carriers, e.g. RAID, emulated record carriers or networked record carriers
    • G06F3/0601Interfaces specially adapted for storage systems
    • G06F3/0628Interfaces specially adapted for storage systems making use of a particular technique
    • G06F3/0655Vertical data movement, i.e. input-output transfer; data movement between one or more hosts and one or more storage devices
    • G06F3/0661Format or protocol conversion arrangements
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/06Digital input from, or digital output to, record carriers, e.g. RAID, emulated record carriers or networked record carriers
    • G06F3/0601Interfaces specially adapted for storage systems
    • G06F3/0668Interfaces specially adapted for storage systems adopting a particular infrastructure
    • G06F3/0671In-line storage system
    • G06F3/0673Single storage device
    • G06F3/0679Non-volatile semiconductor memory device, e.g. flash memory, one time programmable memory [OTP]
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/10Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers
    • G11C7/1072Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers for memories with random access ports synchronised on clock signal pulse trains, e.g. synchronous memories, self timed memories
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L67/00Network arrangements or protocols for supporting network services or applications
    • H04L67/50Network services
    • H04L67/60Scheduling or organising the servicing of application requests, e.g. requests for application data transmissions using the analysis and optimisation of the required network resources

Abstract

A memory controller to provide memory access services in an adaptive computing engine is provided. The controller comprises: a network interface configured to receive a memory request from a programmable network; and a memory interface configured to access a memory to fulfill the memory request from the programmable network, wherein the memory interface receives and provides data for the memory request to the network interface, the network interface configured to send data to and receive data from the programmable network.
PCT/US2003/037400 2002-11-22 2003-11-21 External memory controller node WO2004049175A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
AU2003295814A AU2003295814A1 (en) 2002-11-22 2003-11-21 External memory controller node

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US42864602P 2002-11-22 2002-11-22
US60/428,646 2002-11-22

Publications (2)

Publication Number Publication Date
WO2004049175A2 WO2004049175A2 (en) 2004-06-10
WO2004049175A3 true WO2004049175A3 (en) 2005-04-21

Family

ID=32393439

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2003/037400 WO2004049175A2 (en) 2002-11-22 2003-11-21 External memory controller node

Country Status (3)

Country Link
US (12) US7225301B2 (en)
AU (1) AU2003295814A1 (en)
WO (1) WO2004049175A2 (en)

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7225301B2 (en) * 2002-11-22 2007-05-29 Quicksilver Technologies External memory controller node
US7571287B2 (en) 2003-03-13 2009-08-04 Marvell World Trade Ltd. Multiport memory architecture, devices and systems including the same, and methods of using the same
US7831469B2 (en) * 2003-04-03 2010-11-09 International Business Machines Corporation Verifying audio output at a client device
DE10324014A1 (en) * 2003-05-27 2005-01-13 Micronas Gmbh Address generator, method of operation therefor, and data processing system using the same
US20050223131A1 (en) * 2004-04-02 2005-10-06 Goekjian Kenneth S Context-based direct memory access engine for use with a memory system shared by devices associated with multiple input and output ports
US8510491B1 (en) * 2005-04-05 2013-08-13 Oracle America, Inc. Method and apparatus for efficient interrupt event notification for a scalable input/output device
US20070088589A1 (en) * 2005-10-17 2007-04-19 International Business Machines Corporation Method and system for assessing automation package readiness and and effort for completion
US8275949B2 (en) * 2005-12-13 2012-09-25 International Business Machines Corporation System support storage and computer system
US20070198754A1 (en) * 2006-02-07 2007-08-23 International Business Machines Corporation Data transfer buffer control for performance
US8479124B1 (en) 2007-02-14 2013-07-02 Xilinx, Inc. Graphical user interface (GUI) including input files with information that determines representation of subsequent content displayed by the GUI
US7720636B1 (en) 2007-02-14 2010-05-18 Xilinx, Inc. Performance monitors (PMs) for measuring performance in a system and providing a record of transactions performed
US7913022B1 (en) * 2007-02-14 2011-03-22 Xilinx, Inc. Port interface modules (PIMs) in a multi-port memory controller (MPMC)
US7711907B1 (en) 2007-02-14 2010-05-04 Xilinx, Inc. Self aligning state machine
US8325633B2 (en) * 2007-04-26 2012-12-04 International Business Machines Corporation Remote direct memory access
US7966618B2 (en) * 2007-05-29 2011-06-21 International Business Machines Corporation Controlling data transfers from an origin compute node to a target compute node
US8037213B2 (en) * 2007-05-30 2011-10-11 International Business Machines Corporation Replenishing data descriptors in a DMA injection FIFO buffer
US8234425B1 (en) 2007-06-27 2012-07-31 Marvell International Ltd. Arbiter module
US8478834B2 (en) * 2007-07-12 2013-07-02 International Business Machines Corporation Low latency, high bandwidth data communications between compute nodes in a parallel computer
US8018951B2 (en) * 2007-07-12 2011-09-13 International Business Machines Corporation Pacing a data transfer operation between compute nodes on a parallel computer
US20090031001A1 (en) * 2007-07-27 2009-01-29 Archer Charles J Repeating Direct Memory Access Data Transfer Operations for Compute Nodes in a Parallel Computer
US8959172B2 (en) * 2007-07-27 2015-02-17 International Business Machines Corporation Self-pacing direct memory access data transfer operations for compute nodes in a parallel computer
US7949817B1 (en) 2007-07-31 2011-05-24 Marvell International Ltd. Adaptive bus profiler
US8250440B2 (en) * 2008-02-25 2012-08-21 International Business Machines Corporation Address generation checking
US8131915B1 (en) 2008-04-11 2012-03-06 Marvell Intentional Ltd. Modifying or overwriting data stored in flash memory
US8683085B1 (en) 2008-05-06 2014-03-25 Marvell International Ltd. USB interface configurable for host or device mode
US8619558B1 (en) * 2008-07-21 2013-12-31 Qlogic, Corporation Memory management in a network adapter
KR101661532B1 (en) 2008-12-02 2016-09-30 아브 이니티오 테크놀로지 엘엘시 Mapping instances of a dataset within a data management system
US8493979B2 (en) * 2008-12-30 2013-07-23 Intel Corporation Single instruction processing of network packets
US8423710B1 (en) 2009-03-23 2013-04-16 Marvell International Ltd. Sequential writes to flash memory
US8213236B1 (en) 2009-04-21 2012-07-03 Marvell International Ltd. Flash memory
JP2011150684A (en) * 2009-12-21 2011-08-04 Sony Corp Cache memory and cache memory control device
US8688922B1 (en) 2010-03-11 2014-04-01 Marvell International Ltd Hardware-supported memory management
US8429307B1 (en) * 2010-04-30 2013-04-23 Emc Corporation Application aware intelligent storage system
US8756394B1 (en) 2010-07-07 2014-06-17 Marvell International Ltd. Multi-dimension memory timing tuner
WO2012036751A2 (en) * 2010-09-17 2012-03-22 Aplus Flash Technology, Inc. Different types of memory integrated in one chip by using a novel protocol
KR101911793B1 (en) * 2010-10-25 2018-10-25 아브 이니티오 테크놀로지 엘엘시 Managing data set objects in a dataflow graph that represents a computer program
US8949453B2 (en) 2010-11-30 2015-02-03 International Business Machines Corporation Data communications in a parallel active messaging interface of a parallel computer
US8949328B2 (en) 2011-07-13 2015-02-03 International Business Machines Corporation Performing collective operations in a distributed processing system
US8930962B2 (en) 2012-02-22 2015-01-06 International Business Machines Corporation Processing unexpected messages at a compute node of a parallel computer
US10489360B2 (en) 2012-10-17 2019-11-26 Ab Initio Technology Llc Specifying and applying rules to data
US8949544B2 (en) * 2012-11-19 2015-02-03 Advanced Micro Devices, Inc. Bypassing a cache when handling memory requests
CN103853680A (en) * 2012-12-04 2014-06-11 鸿富锦精密工业(深圳)有限公司 Bus-signal monitoring device and method
GB2514126A (en) 2013-05-14 2014-11-19 Ibm Interruption of chip component managing tasks
CN106716352B (en) 2014-07-18 2020-04-28 起元科技有限公司 Managing parameter sets
US9626393B2 (en) 2014-09-10 2017-04-18 Ab Initio Technology Llc Conditional validation rules
US20160139806A1 (en) * 2014-11-13 2016-05-19 Cavium, Inc. Independent Ordering Of Independent Transactions
US9756268B2 (en) 2015-04-23 2017-09-05 Google Inc. Line buffer unit for image processor
KR101730315B1 (en) * 2015-11-05 2017-04-27 엘지전자 주식회사 Electronic device and method for image sharing
US10318433B2 (en) 2016-12-20 2019-06-11 Texas Instruments Incorporated Streaming engine with multi dimensional circular addressing selectable at each dimension
CN108564164B (en) * 2018-01-08 2022-04-29 中山大学 Parallel deep learning method based on SPARK platform
US11354164B1 (en) 2018-04-20 2022-06-07 Automation Anywhere, Inc. Robotic process automation system with quality of service based automation
US10908950B1 (en) * 2018-04-20 2021-02-02 Automation Anywhere, Inc. Robotic process automation system with queue orchestration and task prioritization
CN111813734B (en) * 2020-06-15 2022-11-01 上海航天计算机技术研究所 1553B bus communication method and system without message interval limitation
CN113783806B (en) * 2021-08-31 2023-10-17 上海新氦类脑智能科技有限公司 Shunt route jump method, device, medium, equipment and multi-core system applied by same

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6282627B1 (en) * 1998-06-29 2001-08-28 Chameleon Systems, Inc. Integrated processor and programmable data path chip for reconfigurable computing
US6347346B1 (en) * 1999-06-30 2002-02-12 Chameleon Systems, Inc. Local memory unit system with global access for use on reconfigurable chips

Family Cites Families (471)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6556044B2 (en) 2001-09-18 2003-04-29 Altera Corporation Programmable logic device including multipliers and configurations thereof to reduce resource utilization
US3938639A (en) * 1973-11-28 1976-02-17 The Cornelius Company Portable dispenser for mixed beverages
US4076145A (en) * 1976-08-09 1978-02-28 The Cornelius Company Method and apparatus for dispensing a beverage
US4143793A (en) * 1977-06-13 1979-03-13 The Cornelius Company Apparatus and method for dispensing a carbonated beverage
US4377246A (en) * 1977-06-13 1983-03-22 The Cornelius Company Apparatus for dispensing a carbonated beverage
US4252253A (en) * 1978-02-21 1981-02-24 Mcneil Corporation Drink dispenser having central control of plural dispensing stations
US4181242A (en) * 1978-05-30 1980-01-01 The Cornelius Company Method and apparatus for dispensing a beverage
US4302775A (en) 1978-12-15 1981-11-24 Compression Labs, Inc. Digital video compression system and methods utilizing scene adaptive coding with rate buffer feedback
GB2067800B (en) 1979-04-03 1983-10-12 Lewin G F Adding device
US4380046A (en) * 1979-05-21 1983-04-12 Nasa Massively parallel processor computer
JPS5916053A (en) * 1982-07-16 1984-01-27 Nec Corp Pipeline arithmetic device
GB2137839B (en) 1983-04-09 1986-06-04 Schlumberger Measurement Digital signal processors
US4577782A (en) * 1983-05-02 1986-03-25 The Cornelius Company Beverage dispensing station
US4578799A (en) 1983-10-05 1986-03-25 Codenoll Technology Corporation Method and apparatus for recovering data and clock information from a self-clocking data stream
US4870302A (en) * 1984-03-12 1989-09-26 Xilinx, Inc. Configurable electrical circuit having configurable logic elements and configurable interconnects
DE3409701A1 (en) 1984-03-16 1985-09-19 Hoechst Ag, 6230 Frankfurt METHOD AND ARRANGEMENT FOR COMPLYING WITH A PRESENT POTENTIAL RATIO IN THE EXPOSURE OF ELECTROSTATICALLY CHARGED LIGHT SENSITIVE LAYERS
DK279985A (en) * 1984-06-25 1985-12-26 Isoworth Ltd METHOD AND APPARATUS FOR CARBONIZATION
US4694416A (en) 1985-02-25 1987-09-15 General Electric Company VLSI programmable digital signal processor
US4706216A (en) * 1985-02-27 1987-11-10 Xilinx, Inc. Configurable logic element
US4967340A (en) 1985-06-12 1990-10-30 E-Systems, Inc. Adaptive processing system having an array of individually configurable processing components
US4713755A (en) 1985-06-28 1987-12-15 Hewlett-Packard Company Cache memory consistency control with explicit software instructions
US4993604A (en) * 1985-09-13 1991-02-19 The Coca-Cola Company Low-cost post-mix beverage dispenser and syrup supply system therefor
US4748585A (en) 1985-12-26 1988-05-31 Chiarulli Donald M Processor utilizing reconfigurable process segments to accomodate data word length
US4982876A (en) * 1986-02-10 1991-01-08 Isoworth Limited Carbonation apparatus
GB2186265B (en) * 1986-02-10 1989-11-01 Isoworth Ltd Beverage dispensing apparatus
US5021947A (en) 1986-03-31 1991-06-04 Hughes Aircraft Company Data-flow multiprocessor architecture with three dimensional multistage interconnection network for efficient signal and data processing
US4760525A (en) 1986-06-10 1988-07-26 The United States Of America As Represented By The Secretary Of The Air Force Complex arithmetic vector processor for performing control function, scalar operation, and set-up of vector signal processing instruction
US4760544A (en) 1986-06-20 1988-07-26 Plessey Overseas Limited Arithmetic logic and shift device
US4811214A (en) 1986-11-14 1989-03-07 Princeton University Multinode reconfigurable pipeline computer
US5165023A (en) * 1986-12-17 1992-11-17 Massachusetts Institute Of Technology Parallel processing system with processor array and network communications system for transmitting messages of variable length
US5381546A (en) 1987-04-13 1995-01-10 Gte Laboratories Incorporated Control process for allocating services in communications systems
US5818603A (en) 1996-03-29 1998-10-06 Ricoh Company, Ltd. Method and system for controlling and communicating with machines using multiple communication formats
US4800492A (en) * 1987-05-13 1989-01-24 The Coca-Cola Company Data logger for a post-mix beverage dispensing system
US5428754A (en) * 1988-03-23 1995-06-27 3Dlabs Ltd Computer system with clock shared between processors executing separate instruction streams
US4905231A (en) * 1988-05-03 1990-02-27 American Telephone And Telegraph Company, At&T Bell Laboratories Multi-media virtual circuit
US5572572A (en) 1988-05-05 1996-11-05 Transaction Technology, Inc. Computer and telephone apparatus with user friendly interface and enhanced integrity features
WO1990001192A1 (en) 1988-07-22 1990-02-08 United States Department Of Energy Data flow machine for data driven computing
US4901887A (en) * 1988-08-08 1990-02-20 Burton John W Beverage dispensing system
US6986142B1 (en) * 1989-05-04 2006-01-10 Texas Instruments Incorporated Microphone/speaker system with context switching in processor
US5090015A (en) 1989-02-06 1992-02-18 Motorola, Inc. Programmable array logic self-checking system
ES2104580T3 (en) 1989-02-24 1997-10-16 At & T Corp ADAPTIVE PLANNING OF TASKS FOR MULTIPROCESS SYSTEMS.
US5261099A (en) 1989-08-24 1993-11-09 International Business Machines Corp. Synchronous communications scheduler allowing transient computing overloads using a request buffer
US5193151A (en) 1989-08-30 1993-03-09 Digital Equipment Corporation Delay-based congestion avoidance in computer networks
US5163131A (en) 1989-09-08 1992-11-10 Auspex Systems, Inc. Parallel i/o network file server architecture
US5450557A (en) 1989-11-07 1995-09-12 Loral Aerospace Corp. Single-chip self-configurable parallel processor
GB2237908B (en) 1989-11-08 1993-06-16 British Aerospace Method and apparatus for parallel processing data
US5428793A (en) 1989-11-13 1995-06-27 Hewlett-Packard Company Method and apparatus for compiling computer programs with interproceduural register allocation
WO1991012991A1 (en) * 1990-02-27 1991-09-05 The Coca-Cola Company Multiple fluid space dispenser and monitor
JP3210319B2 (en) 1990-03-01 2001-09-17 株式会社東芝 Neurochip and neurocomputer using the chip
US5099418A (en) * 1990-06-14 1992-03-24 Hughes Aircraft Company Distributed data driven process
US5190189A (en) * 1990-10-30 1993-03-02 Imi Cornelius Inc. Low height beverage dispensing apparatus
US5245227A (en) * 1990-11-02 1993-09-14 Atmel Corporation Versatile programmable logic cell for use in configurable logic arrays
US5218240A (en) * 1990-11-02 1993-06-08 Concurrent Logic, Inc. Programmable logic cell and array with bus repeaters
US5144166A (en) * 1990-11-02 1992-09-01 Concurrent Logic, Inc. Programmable logic cell and array
US5202993A (en) 1991-02-27 1993-04-13 Sun Microsystems, Inc. Method and apparatus for cost-based heuristic instruction scheduling
US5301100A (en) 1991-04-29 1994-04-05 Wagner Ferdinand H Method of and apparatus for constructing a control system and control system created thereby
US5193718A (en) * 1991-06-25 1993-03-16 Imi Cornelius Inc. Quick electronic disconnect for a beverage dispensing valve
US5440752A (en) 1991-07-08 1995-08-08 Seiko Epson Corporation Microprocessor architecture with a switch network for data transfer between cache, memory port, and IOU
US5317209A (en) * 1991-08-29 1994-05-31 National Semiconductor Corporation Dynamic three-state bussing capability in a configurable logic array
US5339428A (en) 1991-09-04 1994-08-16 Digital Equipment Corporation Compiler allocating a register to a data item used between a use and store of another data item previously allocated to the register
WO1994009595A1 (en) 1991-09-20 1994-04-28 Shaw Venson M Method and apparatus including system architecture for multimedia communications
US5625669A (en) 1991-09-27 1997-04-29 Telemac Cellular Corporation Mobile phone with internal call accounting controls
CA2073516A1 (en) * 1991-11-27 1993-05-28 Peter Michael Kogge Dynamic multi-mode parallel processor array architecture computer system
US5278986A (en) 1991-12-13 1994-01-11 Thinking Machines Corporation System and method for compiling a source code supporting data parallel variables
AU3247393A (en) 1991-12-23 1993-07-28 Intel Corporation Circuitry for decoding huffman codes
US5522070A (en) 1992-03-19 1996-05-28 Fujitsu Limited Computer resource distributing method and system for distributing a multiplicity of processes to a plurality of computers connected in a network
US5269442A (en) * 1992-05-22 1993-12-14 The Cornelius Company Nozzle for a beverage dispensing valve
US5768561A (en) 1992-06-30 1998-06-16 Discovision Associates Tokens-based adaptive video processing arrangement
US5802290A (en) 1992-07-29 1998-09-01 Virtual Computer Corporation Computer network of distributed virtual computers which are EAC reconfigurable in response to instruction to be executed
US5684980A (en) 1992-07-29 1997-11-04 Virtual Computer Corporation FPGA virtual computer for executing a sequence of program instructions by successively reconfiguring a group of FPGA in response to those instructions
GB9222840D0 (en) 1992-10-31 1992-12-16 Smiths Industries Plc Electronic assemblies
US5603043A (en) 1992-11-05 1997-02-11 Giga Operations Corporation System for compiling algorithmic language source code for implementation in programmable hardware
US5392960A (en) * 1992-11-13 1995-02-28 Wilshire Partners Postmix beverage dispenser and a method for making a beverage dispenser
US6192255B1 (en) 1992-12-15 2001-02-20 Texas Instruments Incorporated Communication system and methods for enhanced information transfer
US5335276A (en) 1992-12-16 1994-08-02 Texas Instruments Incorporated Communication system and methods for enhanced information transfer
US6233702B1 (en) * 1992-12-17 2001-05-15 Compaq Computer Corporation Self-checked, lock step processor pairs
US5452457A (en) 1993-01-29 1995-09-19 International Business Machines Corporation Program construct and methods/systems for optimizing assembled code for execution
US5297400A (en) * 1993-02-17 1994-03-29 Maytag Corporation Liquid dispensing assembly for a refrigerator
US5280711A (en) * 1993-02-25 1994-01-25 Imi Cornelius Inc. Low cost beverage dispensing apparatus
US5379343A (en) 1993-02-26 1995-01-03 Motorola, Inc. Detection of unauthorized use of software applications in communication units
US5483658A (en) 1993-02-26 1996-01-09 Grube; Gary W. Detection of unauthorized use of software applications in processing devices
US5483640A (en) * 1993-02-26 1996-01-09 3Com Corporation System for managing data flow among devices by storing data and structures needed by the devices and transferring configuration information from processor to the devices
US5712996A (en) 1993-03-15 1998-01-27 Siemens Aktiengesellschaft Process for dividing instructions of a computer program into instruction groups for parallel processing
JP3499252B2 (en) 1993-03-19 2004-02-23 株式会社ルネサステクノロジ Compiling device and data processing device
US5870427A (en) 1993-04-14 1999-02-09 Qualcomm Incorporated Method for multi-mode handoff using preliminary time alignment of a mobile station operating in analog mode
US5388062A (en) * 1993-05-06 1995-02-07 Thomson Consumer Electronics, Inc. Reconfigurable programmable digital filter architecture useful in communication receiver
FI932605A (en) 1993-06-07 1994-12-08 Nokia Telecommunications Oy Receiver device for base station
US5517667A (en) 1993-06-14 1996-05-14 Motorola, Inc. Neural network that does not require repetitive training
JP3159345B2 (en) 1993-07-02 2001-04-23 日本電気株式会社 Pipeline arithmetic processing unit
JPH0728786A (en) 1993-07-15 1995-01-31 Hitachi Ltd Vector processor
US5507009A (en) 1993-08-13 1996-04-09 Motorola, Inc. Method for reprogramming a communication unit's access to a wireless communication system
US5701482A (en) 1993-09-03 1997-12-23 Hughes Aircraft Company Modular array processor architecture having a plurality of interconnected load-balanced parallel processing nodes
CA2126265A1 (en) 1993-09-27 1995-03-28 Michael Robert Cantone System for synthesizing field programmable gate array implementations from high level circuit descriptions
JP3594309B2 (en) 1993-09-28 2004-11-24 株式会社ナムコ Pipeline processing device, clipping processing device, three-dimensional simulator device, and pipeline processing method
US5862961A (en) * 1993-10-26 1999-01-26 Imi Cornelius Inc. Connection device for dispensing fluid from a bottle
US6111935A (en) 1993-10-27 2000-08-29 Canon Kabushiki Kaisha Adaptive expansion table in a digital telephone receiver
US5490165A (en) 1993-10-28 1996-02-06 Qualcomm Incorporated Demodulation element assignment in a system capable of receiving multiple signals
US5721854A (en) 1993-11-02 1998-02-24 International Business Machines Corporation Method and apparatus for dynamic conversion of computer instructions
EP1338957A3 (en) 1993-11-05 2003-10-29 Intergraph Corporation Software scheduled superscalar computer architecture
JP2655068B2 (en) 1993-12-30 1997-09-17 日本電気株式会社 Spread spectrum receiver
US5491823A (en) 1994-01-25 1996-02-13 Silicon Graphics, Inc. Loop scheduler
US5635940A (en) 1994-02-02 1997-06-03 Hickman; Paul L. Communication configurator and method for implementing same
US5519694A (en) 1994-02-04 1996-05-21 Massachusetts Institute Of Technology Construction of hierarchical networks through extension
GB9403030D0 (en) 1994-02-17 1994-04-06 Austin Kenneth Re-configurable application specific device
US5729754A (en) * 1994-03-28 1998-03-17 Estes; Mark D. Associative network method and apparatus
US5694546A (en) 1994-05-31 1997-12-02 Reisman; Richard R. System for automatic unattended electronic information transport between a server and a client by a vendor provided transport software with a manifest list
US5701398A (en) * 1994-07-01 1997-12-23 Nestor, Inc. Adaptive classifier having multiple subnetworks
GB2291567B (en) 1994-07-01 1999-02-24 Roke Manor Research Apparatus for use in equipment providing a digital radio link between a fixed and a mobile radio unit
FI943249A (en) 1994-07-07 1996-01-08 Nokia Mobile Phones Ltd Procedure for controlling recipients and recipients
US5655140A (en) 1994-07-22 1997-08-05 Network Peripherals Apparatus for translating frames of data transferred between heterogeneous local area networks
US5630206A (en) 1994-08-11 1997-05-13 Stanford Telecommunications, Inc. Position enhanced cellular telephone system
US6056194A (en) 1995-08-28 2000-05-02 Usa Technologies, Inc. System and method for networking and controlling vending machines
US5608643A (en) * 1994-09-01 1997-03-04 General Programming Holdings, Inc. System for managing multiple dispensing units and method of operation
FR2724273B1 (en) 1994-09-05 1997-01-03 Sgs Thomson Microelectronics SIGNAL PROCESSING CIRCUIT FOR IMPLEMENTING A VITERBI ALGORITHM
JP3525353B2 (en) 1994-09-28 2004-05-10 株式会社リコー Digital electronic still camera
JPH08106375A (en) 1994-10-03 1996-04-23 Ricoh Co Ltd Signal processing computing element
US5600810A (en) 1994-12-09 1997-02-04 Mitsubishi Electric Information Technology Center America, Inc. Scaleable very long instruction word processor with parallelism matching
US5602833A (en) 1994-12-19 1997-02-11 Qualcomm Incorporated Method and apparatus for using Walsh shift keying in a spread spectrum communication system
US5636368A (en) 1994-12-23 1997-06-03 Xilinx, Inc. Method for programming complex PLD having more than one function block type
KR0146100B1 (en) 1995-01-07 1998-09-15 이헌조 Information acquisition and analysis device
US5706191A (en) 1995-01-19 1998-01-06 Gas Research Institute Appliance interface apparatus and automated residence management system
US5742180A (en) 1995-02-10 1998-04-21 Massachusetts Institute Of Technology Dynamically programmable gate array with multiple contexts
US5892900A (en) 1996-08-30 1999-04-06 Intertrust Technologies Corp. Systems and methods for secure transaction management and electronic rights protection
CA2683230C (en) 1995-02-13 2013-08-27 Intertrust Technologies Corporation Systems and methods for secure transaction management and electronic rights protection
US5892961A (en) 1995-02-17 1999-04-06 Xilinx, Inc. Field programmable gate array having programming instructions in the configuration bitstream
US5696906A (en) 1995-03-09 1997-12-09 Continental Cablevision, Inc. Telecommunicaion user account management system and method
US5669001A (en) 1995-03-23 1997-09-16 International Business Machines Corporation Object code compatible representation of very long instruction word programs
US5737631A (en) * 1995-04-05 1998-04-07 Xilinx Inc Reprogrammable instruction set accelerator
US5835753A (en) 1995-04-12 1998-11-10 Advanced Micro Devices, Inc. Microprocessor with dynamically extendable pipeline stages and a classifying circuit
US6021186A (en) 1995-04-17 2000-02-01 Ricoh Company Ltd. Automatic capture and processing of facsimile transmissions
US5794062A (en) 1995-04-17 1998-08-11 Ricoh Company Ltd. System and method for dynamically reconfigurable computing using a processing unit having changeable internal hardware organization
US5933642A (en) 1995-04-17 1999-08-03 Ricoh Corporation Compiling system and method for reconfigurable computing
WO1996033558A1 (en) 1995-04-18 1996-10-24 Advanced Micro Devices, Inc. Method and apparatus for hybrid vlc bitstream decoding
US5534796A (en) 1995-04-21 1996-07-09 Intergraph Corporation Self-clocking pipeline register
US5751295A (en) 1995-04-27 1998-05-12 Control Systems, Inc. Graphics accelerator chip and method
US5802278A (en) * 1995-05-10 1998-09-01 3Com Corporation Bridge/router architecture for high performance scalable networking
US5704053A (en) 1995-05-18 1997-12-30 Hewlett-Packard Company Efficient explicit data prefetching analysis and code generation in a low-level optimizer for inserting prefetch instructions into loops of applications
US5646544A (en) 1995-06-05 1997-07-08 International Business Machines Corporation System and method for dynamically reconfiguring a programmable gate array
US5787237A (en) 1995-06-06 1998-07-28 Apple Computer, Inc. Uniform interface for conducting communications in a heterogeneous computing network
US5634190A (en) 1995-06-06 1997-05-27 Globalstar L.P. Low earth orbit communication satellite gateway-to-gateway relay system
FR2736787B1 (en) 1995-07-11 1997-08-08 Alcatel Business Systems COMMUNICATION SYSTEM AND CORRESPONDING EQUIPMENT FOR SUBSCRIBER INSTALLATION
US5768594A (en) 1995-07-14 1998-06-16 Lucent Technologies Inc. Methods and means for scheduling parallel processors
US5822308A (en) 1995-07-17 1998-10-13 National Semiconductor Corporation Multi-tasking sequencer for a TDMA burst mode controller
US5842004A (en) 1995-08-04 1998-11-24 Sun Microsystems, Inc. Method and apparatus for decompression of compressed geometric three-dimensional graphics data
US5784313A (en) 1995-08-18 1998-07-21 Xilinx, Inc. Programmable logic device including configuration data or user data memory slices
US5778439A (en) 1995-08-18 1998-07-07 Xilinx, Inc. Programmable logic device with hierarchical confiquration and state storage
US5646545A (en) 1995-08-18 1997-07-08 Xilinx, Inc. Time multiplexed programmable logic device
US5991308A (en) 1995-08-25 1999-11-23 Terayon Communication Systems, Inc. Lower overhead method for data transmission using ATM and SCDMA over hybrid fiber coax cable plant
US5623545A (en) 1995-08-31 1997-04-22 National Semiconductor Corporation Automatic data generation for self-test of cryptographic hash algorithms in personal security devices
DE19532422C1 (en) * 1995-09-01 1997-01-23 Philips Patentverwaltung Local network operating according to the asynchronous transfer mode (ATM) with at least two ring systems
US5822360A (en) 1995-09-06 1998-10-13 Solana Technology Development Corporation Method and apparatus for transporting auxiliary data in audio signals
US5774737A (en) 1995-10-13 1998-06-30 Matsushita Electric Industrial Co., Ltd. Variable word length very long instruction word instruction processor with word length register or instruction number register
US5742821A (en) 1995-11-08 1998-04-21 Lucent Technologies Inc. Multiprocessor scheduling and execution
US6473609B1 (en) 1995-12-11 2002-10-29 Openwave Systems Inc. Method and architecture for interactive two-way communication devices to interact with a network
US5734582A (en) 1995-12-12 1998-03-31 International Business Machines Corporation Method and system for layout and schematic generation for heterogeneous arrays
US5706976A (en) * 1995-12-21 1998-01-13 Purkey; Jay Floyd Vending machine inventory control device
US6247036B1 (en) 1996-01-22 2001-06-12 Infinite Technology Corp. Processor with reconfigurable arithmetic data path
US6510510B1 (en) 1996-01-25 2003-01-21 Analog Devices, Inc. Digital signal processor having distributed register file
US5889816A (en) 1996-02-02 1999-03-30 Lucent Technologies, Inc. Wireless adapter architecture for mobile computing
US6237029B1 (en) 1996-02-26 2001-05-22 Argosystems, Inc. Method and apparatus for adaptable digital protocol processing
US5894473A (en) 1996-02-29 1999-04-13 Ericsson Inc. Multiple access communications system and method using code and time division
FR2745649B1 (en) 1996-03-01 1998-04-30 Bull Sa SYSTEM FOR CONFIGURING PRECONFIGURED SOFTWARE ON NETWORK OPEN SYSTEMS IN A DISTRIBUTED ENVIRONMENT AND METHOD IMPLEMENTED BY SUCH A SYSTEM
US6393046B1 (en) * 1996-04-25 2002-05-21 Sirf Technology, Inc. Spread spectrum receiver with multi-bit correlator
US6055314A (en) 1996-03-22 2000-04-25 Microsoft Corporation System and method for secure purchase and delivery of video content programs
US6381293B1 (en) * 1996-04-03 2002-04-30 United Microelectronics Corp. Apparatus and method for serial data communication between plurality of chips in a chip set
US6346824B1 (en) 1996-04-09 2002-02-12 Xilinx, Inc. Dedicated function fabric for use in field programmable gate arrays
US5956518A (en) 1996-04-11 1999-09-21 Massachusetts Institute Of Technology Intermediate-grain reconfigurable processing device
US5802055A (en) 1996-04-22 1998-09-01 Apple Computer, Inc. Method and apparatus for dynamic buffer allocation in a bus bridge for pipelined reads
US5903886A (en) 1996-04-30 1999-05-11 Smartlynx, Inc. Hierarchical adaptive state machine for emulating and augmenting software
US6181981B1 (en) * 1996-05-15 2001-01-30 Marconi Communications Limited Apparatus and method for improved vending machine inventory maintenance
US5771362A (en) * 1996-05-17 1998-06-23 Advanced Micro Devices, Inc. Processor having a bus interconnect which is dynamically reconfigurable in response to an instruction field
US5822313A (en) 1996-05-24 1998-10-13 National Semiconductor Corporation Seamless handover in a cordless TDMA system
US5784699A (en) * 1996-05-24 1998-07-21 Oracle Corporation Dynamic memory allocation in a computer using a bit map index
US5784636A (en) 1996-05-28 1998-07-21 National Semiconductor Corporation Reconfigurable computer architecture for use in signal processing applications
US5907580A (en) 1996-06-10 1999-05-25 Morphics Technology, Inc Method and apparatus for communicating information
US6175854B1 (en) 1996-06-11 2001-01-16 Ameritech Services, Inc. Computer system architecture and method for multi-user, real-time applications
US5887174A (en) 1996-06-18 1999-03-23 International Business Machines Corporation System, method, and program product for instruction scheduling in the presence of hardware lookahead accomplished by the rescheduling of idle slots
US6192388B1 (en) 1996-06-20 2001-02-20 Avid Technology, Inc. Detecting available computers to participate in computationally complex distributed processing problem
US6360256B1 (en) 1996-07-01 2002-03-19 Sun Microsystems, Inc. Name service for a redundant array of internet servers
US5867145A (en) 1996-07-01 1999-02-02 Sun Microsystems, Inc. Graphical image recasting
US6023742A (en) 1996-07-18 2000-02-08 University Of Washington Reconfigurable computing architecture for providing pipelined data paths
CA2210582C (en) 1996-07-24 2001-01-30 Ntt Mobile Communications Network Inc. Method and apparatus for receiving cdma radio communication
US5890014A (en) 1996-08-05 1999-03-30 Micronet Technology, Inc. System for transparently identifying and matching an input/output profile to optimal input/output device parameters
JP3123440B2 (en) 1996-08-14 2001-01-09 日本電気株式会社 Channel selection method for wireless communication system
US5838165A (en) 1996-08-21 1998-11-17 Chatter; Mukesh High performance self modifying on-the-fly alterable logic FPGA, architecture and method
US6226387B1 (en) 1996-08-30 2001-05-01 Regents Of The University Of Minnesota Method and apparatus for scene-based video watermarking
US5828858A (en) 1996-09-16 1998-10-27 Virginia Tech Intellectual Properties, Inc. Worm-hole run-time reconfigurable processor field programmable gate array (FPGA)
US5790817A (en) 1996-09-25 1998-08-04 Advanced Micro Devices, Inc. Configurable digital wireless and wired communications system architecture for implementing baseband functionality
US5825202A (en) 1996-09-26 1998-10-20 Xilinx, Inc. Integrated circuit with field programmable and application specific logic areas
US6021492A (en) 1996-10-09 2000-02-01 Hewlett-Packard Company Software metering management of remote computing devices
US6016395A (en) 1996-10-18 2000-01-18 Samsung Electronics Co., Ltd. Programming a vector processor and parallel programming of an asymmetric dual multiprocessor comprised of a vector processor and a risc processor
US5950131A (en) 1996-10-29 1999-09-07 Motorola, Inc. Method and apparatus for fast pilot channel acquisition using a matched filter in a CDMA radiotelephone
US6005943A (en) 1996-10-29 1999-12-21 Lucent Technologies Inc. Electronic identifiers for network terminal devices
US5892962A (en) * 1996-11-12 1999-04-06 Lucent Technologies Inc. FPGA-based processor
US5913172A (en) 1996-11-15 1999-06-15 Glenayre Electronics, Inc. Method and apparatus for reducing phase cancellation in a simulcast paging system
US7607147B1 (en) 1996-12-11 2009-10-20 The Nielsen Company (Us), Llc Interactive service device metering systems
US6246883B1 (en) 1996-12-24 2001-06-12 Lucent Technologies, Inc. Mobile base station
US5987611A (en) 1996-12-31 1999-11-16 Zone Labs, Inc. System and methodology for managing internet access on a per application basis for client computers connected to the internet
TW361051B (en) * 1997-01-09 1999-06-11 Matsushita Electric Ind Co Ltd Motion vector detection apparatus
US5953322A (en) 1997-01-31 1999-09-14 Qualcomm Incorporated Cellular internet telephone
US5940438A (en) 1997-02-18 1999-08-17 Mitsubishi Electric Information Technology Center America, Inc (Ita) Universal modem for digital video, audio and data communications
US6289488B1 (en) 1997-02-24 2001-09-11 Lucent Technologies Inc. Hardware-software co-synthesis of hierarchical heterogeneous distributed embedded systems
US6061580A (en) 1997-02-28 2000-05-09 Randice-Lisa Altschul Disposable wireless telephone and method for call-out only
US6289434B1 (en) 1997-02-28 2001-09-11 Cognigine Corporation Apparatus and method of implementing systems on silicon using dynamic-adaptive run-time reconfigurable circuits for processing multiple, independent data and control streams of varying rates
JP3340343B2 (en) 1997-03-13 2002-11-05 株式会社東芝 Processor and information processing device
US6059840A (en) 1997-03-17 2000-05-09 Motorola, Inc. Automatic scheduling of instructions to reduce code size
US5912572A (en) 1997-03-28 1999-06-15 Cypress Semiconductor Corp. Synchronizing clock pulse generator for logic derived clock signals with synchronous clock suspension capability for a programmable device
US5991302A (en) 1997-04-10 1999-11-23 Cisco Technology, Inc. Technique for maintaining prioritization of data transferred among heterogeneous nodes of a computer network
US6115751A (en) 1997-04-10 2000-09-05 Cisco Technology, Inc. Technique for capturing information needed to implement transmission priority routing among heterogeneous nodes of a computer network
EP0872991B1 (en) 1997-04-15 2006-07-26 Hewlett-Packard Company, A Delaware Corporation Method and apparatus for device interaction by format
US6041322A (en) 1997-04-18 2000-03-21 Industrial Technology Research Institute Method and apparatus for processing data in a neural network
JP3555729B2 (en) 1997-04-22 2004-08-18 日本ビクター株式会社 Method and apparatus for processing variable-length encoded data
US5860021A (en) 1997-04-24 1999-01-12 Klingman; Edwin E. Single chip microcontroller having down-loadable memory organization supporting "shadow" personality, optimized for bi-directional data transfers over a communication channel
US6219697B1 (en) 1997-05-02 2001-04-17 3Com Corporation Method and apparatus for operating the internet protocol over a high-speed serial bus
US5886537A (en) 1997-05-05 1999-03-23 Macias; Nicholas J. Self-reconfigurable parallel processor made from regularly-connected self-dual code/data processing cells
US6047115A (en) 1997-05-29 2000-04-04 Xilinx, Inc. Method for configuring FPGA memory planes for virtual hardware computation
US5917852A (en) 1997-06-11 1999-06-29 L-3 Communications Corporation Data scrambling system and method and communications system incorporating same
US5949415A (en) 1997-06-16 1999-09-07 Intel Corporation Method and apparatus for tracking program usage in a computer system
FI105251B (en) 1997-06-18 2000-06-30 Nokia Mobile Phones Ltd A method for identifying base stations in a time division cellular network in a mobile station and a mobile station
US6292827B1 (en) 1997-06-20 2001-09-18 Shore Technologies (1999) Inc. Information transfer systems and method with dynamic distribution of data, control and management of information
US6628699B2 (en) 1997-06-23 2003-09-30 Schlumberger Resource Management Systems, Inc. Receiving a spread spectrum signal
US5970254A (en) 1997-06-27 1999-10-19 Cooke; Laurence H. Integrated processor and programmable data path chip for reconfigurable computing
US5966534A (en) 1997-06-27 1999-10-12 Cooke; Laurence H. Method for compiling high level programming languages into an integrated processor with reconfigurable logic
US6370134B1 (en) 1997-07-17 2002-04-09 Matsushita Electric Industrial Co., Ltd. CDMA radio communication apparatus
US6111893A (en) 1997-07-31 2000-08-29 Cisco Technology, Inc. Universal protocol conversion
US6760833B1 (en) * 1997-08-01 2004-07-06 Micron Technology, Inc. Split embedded DRAM processor
US6311149B1 (en) 1997-08-18 2001-10-30 National Instruments Corporation Reconfigurable test system
US6006249A (en) 1997-08-19 1999-12-21 The Chase Manhattan Bank Method and apparatus for concurrent data processing
US6078736A (en) 1997-08-28 2000-06-20 Xilinx, Inc. Method of designing FPGAs for dynamically reconfigurable computing
IL126149A (en) 1997-09-09 2003-07-31 Sanctum Ltd Method and system for protecting operations of trusted internal networks
US6120551A (en) 1997-09-29 2000-09-19 Xilinx, Inc. Hardwire logic device emulating an FPGA
US6590415B2 (en) 1997-10-09 2003-07-08 Lattice Semiconductor Corporation Methods for configuring FPGA's having variable grain components for providing time-shared access to interconnect resources
US6195788B1 (en) 1997-10-17 2001-02-27 Altera Corporation Mapping heterogeneous logic elements in a programmable logic device
WO1999021094A2 (en) 1997-10-20 1999-04-29 Quickflex, Inc. Reconfigurable secure hardware apparatus and method of operation
US5999734A (en) 1997-10-21 1999-12-07 Ftl Systems, Inc. Compiler-oriented apparatus for parallel compilation, simulation and execution of computer programs and hardware models
US5873045A (en) 1997-10-29 1999-02-16 International Business Machines Corporation Mobile client computer with radio frequency transceiver
US6122670A (en) 1997-10-30 2000-09-19 Tsi Telsys, Inc. Apparatus and method for constructing data for transmission within a reliable communication protocol by performing portions of the protocol suite concurrently
FR2770659A1 (en) 1997-10-31 1999-05-07 Sgs Thomson Microelectronics IMPROVED PROCESSING PROCESSOR
WO1999023762A1 (en) 1997-11-03 1999-05-14 Harris Corporation Reconfigurable radio system architecture
US6185418B1 (en) 1997-11-07 2001-02-06 Lucent Technologies Inc. Adaptive digital radio communication system
US6119178A (en) * 1997-11-25 2000-09-12 8×8 Inc. Communication interface between remote transmission of both compressed video and other data and data exchange with local peripherals
US6128307A (en) * 1997-12-01 2000-10-03 Advanced Micro Devices, Inc. Programmable data flow processor for performing data transfers
US6173389B1 (en) * 1997-12-04 2001-01-09 Billions Of Operations Per Second, Inc. Methods and apparatus for dynamic very long instruction word sub-instruction selection for execution time parallelism in an indirect very long instruction word processor
JP3985204B2 (en) 1997-12-09 2007-10-03 ソニー株式会社 Information broadcasting method, receiver, information center, and receiving method
US6046603A (en) 1997-12-12 2000-04-04 Xilinx, Inc. Method and apparatus for controlling the partial reconfiguration of a field programmable gate array
US6018783A (en) * 1997-12-12 2000-01-25 Advanced Micro Devices, Inc. Register access controller which prevents simultaneous coupling of more than one register to a bus interface
US6091263A (en) 1997-12-12 2000-07-18 Xilinx, Inc. Rapidly reconfigurable FPGA having a multiple region architecture with reconfiguration caches useable as data RAM
DE69827589T2 (en) 1997-12-17 2005-11-03 Elixent Ltd. Configurable processing assembly and method of using this assembly to build a central processing unit
DE69838374T2 (en) 1997-12-23 2008-05-29 Texas Instruments Inc., Dallas Processor and method for reducing its power consumption
JPH11184674A (en) 1997-12-24 1999-07-09 Fujitsu Ltd Register file
US6192070B1 (en) 1998-01-02 2001-02-20 Mitsubishi Electric Research Laboratories, Inc. Universal modem for digital video, audio and data communications
US6230307B1 (en) 1998-01-26 2001-05-08 Xilinx, Inc. System and method for programming the hardware of field programmable gate arrays (FPGAs) and related reconfiguration resources as if they were software by creating hardware objects
US6366999B1 (en) 1998-01-28 2002-04-02 Bops, Inc. Methods and apparatus to support conditional execution in a VLIW-based array processor with subword execution
US6134629A (en) * 1998-01-29 2000-10-17 Hewlett-Packard Company Determining thresholds and wrap-around conditions in a first-in-first-out memory supporting a variety of read and write transaction sizes
US6378072B1 (en) 1998-02-03 2002-04-23 Compaq Computer Corporation Cryptographic system
US6094726A (en) 1998-02-05 2000-07-25 George S. Sheng Digital signal processor using a reconfigurable array of macrocells
US6076174A (en) 1998-02-19 2000-06-13 United States Of America Scheduling framework for a heterogeneous computer network
US6360263B1 (en) 1998-02-25 2002-03-19 International Business Machines Corporation Dynamic resource allocation for user management in multi-processor time shared computer systems
JPH11261440A (en) 1998-03-11 1999-09-24 Oki Electric Ind Co Ltd Receiver
US6691148B1 (en) 1998-03-13 2004-02-10 Verizon Corporate Services Group Inc. Framework for providing quality of service requirements in a distributed object-oriented computer system
US6038630A (en) 1998-03-24 2000-03-14 International Business Machines Corporation Shared access control device for integrated system with multiple functional units accessing external structures over multiple data buses
US6073132A (en) 1998-03-27 2000-06-06 Lsi Logic Corporation Priority arbiter with shifting sequential priority scheme
US6134605A (en) * 1998-04-15 2000-10-17 Diamond Multimedia Systems, Inc. Redefinable signal processing subsystem
US6202130B1 (en) 1998-04-17 2001-03-13 Motorola, Inc. Data processing system for processing vector data and method therefor
US6088043A (en) 1998-04-30 2000-07-11 3D Labs, Inc. Scalable graphics processor architecture
US6226735B1 (en) 1998-05-08 2001-05-01 Broadcom Method and apparatus for configuring arbitrary sized data paths comprising multiple context processing elements
US6292822B1 (en) 1998-05-13 2001-09-18 Microsoft Corporation Dynamic load balancing among processors in a parallel computer
US6223222B1 (en) 1998-05-14 2001-04-24 3Com Corporation Method and system for providing quality-of-service in a data-over-cable system using configuration protocol messaging
US6411612B1 (en) 1998-05-19 2002-06-25 Harris Communication Selective modification of antenna directivity pattern to adaptively cancel co-channel interference in TDMA cellular communication system
US6240492B1 (en) 1998-05-22 2001-05-29 International Business Machines Corporation Memory interface for functional unit of integrated system allowing access to dedicated memory and shared memory, and speculative generation of lookahead fetch requests
KR20010043794A (en) 1998-06-05 2001-05-25 샌제이브 사이두 Computer implemented scheduling system and process using abstract local search technique
US6272616B1 (en) * 1998-06-17 2001-08-07 Agere Systems Guardian Corp. Method and apparatus for executing multiple instruction streams in a digital processor with multiple data paths
US6305014B1 (en) 1998-06-18 2001-10-16 International Business Machines Corporation Lifetime-sensitive instruction scheduling mechanism and method
US6175892B1 (en) 1998-06-19 2001-01-16 Hitachi America. Ltd. Registers and methods for accessing registers for use in a single instruction multiple data system
US6356994B1 (en) 1998-07-09 2002-03-12 Bops, Incorporated Methods and apparatus for instruction addressing in indirect VLIW processors
US6604085B1 (en) 1998-07-20 2003-08-05 Usa Technologies, Inc. Universal interactive advertising and payment system network for public access electronic commerce and business related products and services
EP0974898A3 (en) 1998-07-24 2008-12-24 Interuniversitair Microelektronica Centrum Vzw A method for determining a storage-bandwidth optimized memory organization of an essentially digital device
US6587684B1 (en) 1998-07-28 2003-07-01 Bell Atlantic Nynex Mobile Digital wireless telephone system for downloading software to a digital telephone using wireless data link protocol
GB9818377D0 (en) * 1998-08-21 1998-10-21 Sgs Thomson Microelectronics An integrated circuit with multiple processing cores
US6442672B1 (en) 1998-09-30 2002-08-27 Conexant Systems, Inc. Method for dynamic allocation and efficient sharing of functional unit datapaths
US6381735B1 (en) 1998-10-02 2002-04-30 Microsoft Corporation Dynamic classification of sections of software
US6360259B1 (en) 1998-10-09 2002-03-19 United Technologies Corporation Method for optimizing communication speed between processors
US6467009B1 (en) * 1998-10-14 2002-10-15 Triscend Corporation Configurable processor system unit
US6301653B1 (en) 1998-10-14 2001-10-09 Conexant Systems, Inc. Processor containing data path units with forwarding paths between two data path units and a unique configuration or register blocks
US6219780B1 (en) 1998-10-27 2001-04-17 International Business Machines Corporation Circuit arrangement and method of dispatching instructions to multiple execution units
US6289375B1 (en) 1998-10-30 2001-09-11 International Business Machines Corporation Method and apparatus for invoking network agent functions using a hash table
US6212611B1 (en) 1998-11-03 2001-04-03 Intel Corporation Method and apparatus for providing a pipelined memory controller
US6052600A (en) 1998-11-23 2000-04-18 Motorola, Inc. Software programmable radio and method for configuring
US6563891B1 (en) 1998-11-24 2003-05-13 Telefonaktiebolaget L M Ericsson (Publ) Automatic gain control for slotted mode operation
US6405214B1 (en) 1998-12-17 2002-06-11 Hewlett-Packard Company Method of gathering usage information and transmitting to a primary server and a third party server by a client program
US6202189B1 (en) * 1998-12-17 2001-03-13 Teledesic Llc Punctured serial concatenated convolutional coding system and method for low-earth-orbit satellite data communication
US6385751B1 (en) 1998-12-30 2002-05-07 Texas Instruments Incorporated Programmable, reconfigurable DSP implementation of a Reed-Solomon encoder/decoder
US6618777B1 (en) * 1999-01-21 2003-09-09 Analog Devices, Inc. Method and apparatus for communicating between multiple functional units in a computer environment
JP3444216B2 (en) 1999-01-28 2003-09-08 日本電気株式会社 Programmable device
WO2000049496A1 (en) 1999-02-15 2000-08-24 Koninklijke Philips Electronics N.V. Data processor with a configurable functional unit and method using such a data processor
US20020083423A1 (en) 1999-02-17 2002-06-27 Elbrus International List scheduling algorithm for a cycle-driven instruction scheduler
US6718541B2 (en) 1999-02-17 2004-04-06 Elbrus International Limited Register economy heuristic for a cycle driven multiple issue instruction scheduler
JP3033575B1 (en) 1999-02-17 2000-04-17 日本電気株式会社 Image processing device
US6980515B1 (en) * 1999-02-23 2005-12-27 Alcatel Multi-service network switch with quality of access
US6150838A (en) 1999-02-25 2000-11-21 Xilinx, Inc. FPGA configurable logic block with multi-purpose logic/memory circuit
US6510138B1 (en) 1999-02-25 2003-01-21 Fairchild Semiconductor Corporation Network switch with head of line input buffer queue clearing
US6271679B1 (en) 1999-03-24 2001-08-07 Altera Corporation I/O cell configuration for multiple I/O standards
US6349394B1 (en) 1999-03-31 2002-02-19 International Business Machines Corporation Performance monitoring in a NUMA computer
US6141283A (en) 1999-04-01 2000-10-31 Intel Corporation Method and apparatus for dynamically placing portions of a memory in a reduced power consumption state
US6570877B1 (en) 1999-04-07 2003-05-27 Cisco Technology, Inc. Search engine for forwarding table content addressable memory
US6832250B1 (en) 1999-04-13 2004-12-14 Lexmark International, Inc. Usage-based billing and management system and method for printers and other assets
GB2349548A (en) 1999-04-27 2000-11-01 Roke Manor Research Downloading software to mobile telecommunication users
WO2000068784A1 (en) 1999-05-06 2000-11-16 Koninklijke Philips Electronics N.V. Data processing device, method for executing load or store instructions and method for compiling programs
US6263057B1 (en) 1999-05-07 2001-07-17 Lucent Technologies Inc. Automatic telecommunications provider selection system
EP1177631B1 (en) 1999-05-07 2005-12-28 Infineon Technologies AG Heterogeneous programmable gate array
KR100450789B1 (en) 1999-05-25 2004-10-01 삼성전자주식회사 Apparatus for acquiring PN code and DS-CDMA receiver comprising it
JP4248703B2 (en) 1999-05-31 2009-04-02 パナソニック株式会社 Stream multiplexing device, data broadcasting device
JP2000353099A (en) 1999-06-01 2000-12-19 Tektronix Inc Flow control method in active pipeline
EP1061437A1 (en) 1999-06-16 2000-12-20 STMicroelectronics S.r.l. Improved control unit for electronic microcontrollers or microprocessors
DE19927657A1 (en) 1999-06-17 2001-01-04 Daimler Chrysler Ag Partitioning and monitoring of software-controlled systems
US6901440B1 (en) 1999-07-02 2005-05-31 Agilent Technologies, Inc. System and method for universal service activation
KR100358427B1 (en) 1999-07-12 2002-10-25 한국전자통신연구원 Hardware-Efficient Demodulator for CDMA Adaptive Antenna Array Systems
US6359248B1 (en) 1999-08-02 2002-03-19 Xilinx, Inc. Method for marking packaged integrated circuits
US6507947B1 (en) 1999-08-20 2003-01-14 Hewlett-Packard Company Programmatic synthesis of processor element arrays
US6349346B1 (en) 1999-09-23 2002-02-19 Chameleon Systems, Inc. Control fabric unit including associated configuration memory and PSOP state machine adapted to provide configuration address to reconfigurable functional unit
US6430624B1 (en) 1999-10-21 2002-08-06 Air2Web, Inc. Intelligent harvesting and navigation system and method
US6421372B1 (en) 1999-11-10 2002-07-16 Itt Manufacturing Enterprises, Inc. Sequential-acquisition, multi-band, multi-channel, matched filter
US6539467B1 (en) 1999-11-15 2003-03-25 Texas Instruments Incorporated Microprocessor with non-aligned memory access
JP4573422B2 (en) * 1999-11-30 2010-11-04 シャープ株式会社 Data communication apparatus, data communication system, and machine-readable recording medium storing program for realizing data communication method
EP1107512A1 (en) 1999-12-03 2001-06-13 Sony International (Europe) GmbH Communication device and software for operating multimedia applications
JP2001166947A (en) 1999-12-06 2001-06-22 Nec Corp Compile processing system
GB2357226B (en) 1999-12-08 2003-07-16 Hewlett Packard Co Security protocol
US6550033B1 (en) * 1999-12-22 2003-04-15 Advanced Micro Devices, Inc. Method and apparatus for exercising external memory with a memory built-in test
US6694380B1 (en) * 1999-12-27 2004-02-17 Intel Corporation Mapping requests from a processing unit that uses memory-mapped input-output space
AU2915201A (en) 1999-12-30 2001-07-16 Morphics Technology, Inc. A fast initial acquisition and search device for a spread spectrum communicationsystem
US6601158B1 (en) 1999-12-30 2003-07-29 Pmc-Sierra, Inc. Count/address generation circuitry
AU2611201A (en) 1999-12-30 2001-07-16 Morphics Technology, Inc. Method and apparatus to support multi standard, multi service base-stations for wireless voice and data networks
US20010048714A1 (en) 2000-01-28 2001-12-06 Uma Jha Method and apparatus for processing a secondary synchronization channel in a spread spectrum system
WO2001055866A1 (en) 2000-01-28 2001-08-02 Morphics Technolgoy Inc. A wireless spread spectrum communication platform using dynamically reconfigurable logic
US6711617B1 (en) 2000-02-09 2004-03-23 International Business Machines Corporation Method and apparatus for providing automatic configuration of a computer system based on its physical location using an electronically read schedule
US6438737B1 (en) * 2000-02-15 2002-08-20 Intel Corporation Reconfigurable logic for a computer
US7143401B2 (en) * 2000-02-17 2006-11-28 Elbrus International Single-chip multiprocessor with cycle-precise program scheduling of parallel execution
US6735621B1 (en) 2000-02-18 2004-05-11 Nortel Networks Limited Method and apparatus for messaging between disparate networks
US7509420B2 (en) 2000-02-18 2009-03-24 Emc Corporation System and method for intelligent, globally distributed network storage
US6778212B1 (en) 2000-02-22 2004-08-17 Pixim, Inc. Digital image sensor with on -chip programmable logic
WO2001069439A1 (en) 2000-03-17 2001-09-20 Filesx Ltd. Accelerating responses to requests made by users to an internet
US20030036356A1 (en) * 2000-03-20 2003-02-20 Pita Witehira Wireless communication systems
US6326806B1 (en) * 2000-03-29 2001-12-04 Xilinx, Inc. FPGA-based communications access point and system for reconfiguration
AU5297201A (en) 2000-03-31 2001-10-15 General Dynamics Decision Systems, Inc. Scalable cryptographic engine
US6807590B1 (en) * 2000-04-04 2004-10-19 Hewlett-Packard Development Company, L.P. Disconnecting a device on a cache line boundary in response to a write command
US6658048B1 (en) 2000-04-07 2003-12-02 Nokia Mobile Phones, Ltd. Global positioning system code phase detector with multipath compensation and method for reducing multipath components associated with a received signal
DE10019085A1 (en) 2000-04-10 2001-12-06 Francotyp Postalia Gmbh Arrangement and method for providing a message when loading service data for a terminal
US7181542B2 (en) 2000-04-12 2007-02-20 Corente, Inc. Method and system for managing and configuring virtual private networks
DE10018374A1 (en) 2000-04-13 2001-10-18 Siemens Ag Mobile terminal such as personal digital assistant or communications terminal
US6804357B1 (en) 2000-04-28 2004-10-12 Nokia Corporation Method and system for providing secure subscriber content data
US6820105B2 (en) 2000-05-11 2004-11-16 Cyberguard Corporation Accelerated montgomery exponentiation using plural multipliers
AU2001260728A1 (en) 2000-05-20 2001-12-03 Young-Hie Leem On demand contents providing method and system
US6604189B1 (en) * 2000-05-22 2003-08-05 Lsi Logic Corporation Master/slave processor memory inter accessability in an integrated embedded system
US20020010848A1 (en) 2000-05-29 2002-01-24 Shoichi Kamano Data processing system
US6601086B1 (en) 2000-06-06 2003-07-29 Emware, Inc. Service provider for providing data, applications and services to embedded devices and for facilitating control and monitoring of embedded devices
US6606529B1 (en) 2000-06-09 2003-08-12 Frontier Technologies, Inc. Complex scheduling method and device
US6675265B2 (en) 2000-06-10 2004-01-06 Hewlett-Packard Development Company, L.P. Multiprocessor cache coherence system and method in which processor nodes and input/output nodes are equal participants
US6469540B2 (en) * 2000-06-15 2002-10-22 Nec Corporation Reconfigurable device having programmable interconnect network suitable for implementing data paths
US6410941B1 (en) 2000-06-30 2002-06-25 Motorola, Inc. Reconfigurable systems using hybrid integrated circuits with optical ports
US6684319B1 (en) * 2000-06-30 2004-01-27 Conexant Systems, Inc. System for efficient operation of a very long instruction word digital signal processor
AU2001284701A1 (en) 2000-07-31 2002-02-13 Morphics Technology, Inc. Apparatus and method for configurable multi-dwell search engine for spread spectrum applications
US6459883B2 (en) 2000-07-31 2002-10-01 Morphics Technology, Inc. Generic finger architecture for spread spectrum applications
WO2002011396A2 (en) 2000-08-01 2002-02-07 Hrl Laboratories, Llc Apparatus and method for context-sensitive dynamic information service
US6754805B1 (en) 2000-08-07 2004-06-22 Transwitch Corporation Method and apparatus for configurable multi-cell digital signal processing employing global parallel configuration
US20020032551A1 (en) 2000-08-07 2002-03-14 Jabari Zakiya Systems and methods for implementing hash algorithms
GB0019341D0 (en) 2000-08-08 2000-09-27 Easics Nv System-on-chip solutions
FR2813409A1 (en) 2000-08-29 2002-03-01 Canon Res Ct France Sa Configuration of a peripheral for processing of electronic documents in a communication network, uses user data to access level of service available to that user on a network and prepares configuration from user data and service level data
JP3473695B2 (en) 2000-08-30 2003-12-08 Necエレクトロニクス株式会社 Cell search method and circuit in W-CDMA system
US6754470B2 (en) 2000-09-01 2004-06-22 Telephia, Inc. System and method for measuring wireless device and network usage and performance metrics
US6751723B1 (en) * 2000-09-02 2004-06-15 Actel Corporation Field programmable gate array and microcontroller system-on-a-chip
KR100342483B1 (en) 2000-09-09 2002-06-28 윤종용 Apparatus and method for searching base station in umts
US6538470B1 (en) 2000-09-18 2003-03-25 Altera Corporation Devices and methods with programmable logic and digital signal processing regions
US6718182B1 (en) 2000-09-18 2004-04-06 Compal Electronics, Inc. Modularized functionality enhancement for a cellular telephone
US6771688B1 (en) 2000-09-19 2004-08-03 Lucent Technologies Inc. Segmented architecture for multiple sequence detection and identification in fading channels
JP2004512716A (en) 2000-10-02 2004-04-22 アルテラ・コーポレイション Programmable logic integrated circuit device including dedicated processor device
JP3933380B2 (en) 2000-10-05 2007-06-20 富士通株式会社 compiler
US20030012270A1 (en) 2000-10-06 2003-01-16 Changming Zhou Receiver
US6941336B1 (en) * 2000-10-26 2005-09-06 Cypress Semiconductor Corporation Programmable analog system architecture
US7035932B1 (en) 2000-10-27 2006-04-25 Eric Morgan Dowling Federated multiprotocol communication
US20020107962A1 (en) 2000-11-07 2002-08-08 Richter Roger K. Single chassis network endpoint system with network processor for load balancing
JP3415579B2 (en) 2000-11-09 2003-06-09 松下電器産業株式会社 Matched filter and correlation detection calculation method
US6766165B2 (en) 2000-12-05 2004-07-20 Nortel Networks Limited Method and system for remote and local mobile network management
US6738744B2 (en) 2000-12-08 2004-05-18 Microsoft Corporation Watermark detection via cardinality-scaled correlation
US7844666B2 (en) 2000-12-12 2010-11-30 Microsoft Corporation Controls and displays for acquiring preferences, inspecting behavior, and guiding the learning and decision policies of an adaptive communications prioritization and routing system
US6865664B2 (en) 2000-12-13 2005-03-08 Conexant Systems, Inc. Methods, systems, and computer program products for compressing a computer program based on a compression criterion and executing the compressed program
US6823448B2 (en) 2000-12-15 2004-11-23 Intel Corporation Exception handling using an exception pipeline in a pipelined processor
US6775758B2 (en) * 2000-12-21 2004-08-10 Hewlett-Packard Development Company, L.P. Buffer page roll implementation for PCI-X block read transactions
US6842895B2 (en) 2000-12-21 2005-01-11 Freescale Semiconductor, Inc. Single instruction for multiple loops
US6615295B2 (en) * 2000-12-26 2003-09-02 Hewlett-Packard Development Company, L.P. Relaxed read completion ordering in a system using transaction order queue
US6426649B1 (en) * 2000-12-29 2002-07-30 Quicklogic Corporation Architecture for field programmable gate array
US20020087829A1 (en) 2000-12-29 2002-07-04 Snyder Walter L. Re-targetable communication system
US7230978B2 (en) 2000-12-29 2007-06-12 Infineon Technologies Ag Channel CODEC processor configurable for multiple wireless communications standards
US6483343B1 (en) * 2000-12-29 2002-11-19 Quicklogic Corporation Configurable computational unit embedded in a programmable device
DE60213762T2 (en) 2001-01-12 2007-10-04 Broadcom Corp., Irvine Implementation of the SHA1 algorithm
TW490637B (en) * 2001-01-16 2002-06-11 Ind Tech Res Inst Memory emulator for simulating memory components of different interface specification and not restrained to memory space
US6871236B2 (en) 2001-01-26 2005-03-22 Microsoft Corporation Caching transformed content in a mobile gateway
US7085310B2 (en) 2001-01-29 2006-08-01 Qualcomm, Incorporated Method and apparatus for managing finger resources in a communication system
US20020133688A1 (en) * 2001-01-29 2002-09-19 Ming-Hau Lee SIMD/MIMD processing on a reconfigurable array
US6753873B2 (en) 2001-01-31 2004-06-22 General Electric Company Shared memory control between detector framing node and processor
US6925167B2 (en) 2001-02-01 2005-08-02 Estech Systems, Inc. Service observing in a voice over IP telephone system
US20020107905A1 (en) 2001-02-05 2002-08-08 Roe Colleen A. Scalable agent service system
WO2002095543A2 (en) 2001-02-06 2002-11-28 En Garde Systems Apparatus and method for providing secure network communication
US7028116B2 (en) * 2001-02-08 2006-04-11 Hewlett-Packard Development Company, L.P. Enhancement of transaction order queue
US6901467B2 (en) * 2001-02-23 2005-05-31 Hewlett-Packard Development Company, L.P. Enhancing a PCI-X split completion transaction by aligning cachelines with an allowable disconnect boundary's ending address
US6950897B2 (en) * 2001-02-23 2005-09-27 Hewlett-Packard Development Company, L.P. Method and apparatus for a dual mode PCI/PCI-X device
US6889283B2 (en) * 2001-02-23 2005-05-03 Hewlett-Packard Development Company, L.P. Method and system to promote arbitration priority in a buffer queue
US6760587B2 (en) 2001-02-23 2004-07-06 Qualcomm Incorporated Forward-link scheduling in a wireless communication system during soft and softer handoff
US7433942B2 (en) 2001-02-27 2008-10-07 Intel Corporation Network management
US20020147845A1 (en) 2001-03-06 2002-10-10 Juan-Antonio Sanchez-Herrero Flexible user distribution between user's serving entities
US6674999B2 (en) 2001-03-16 2004-01-06 Skyworks Solutions, Inc Dynamically varying linearity system for an RF front-end of a communication device
US7249242B2 (en) * 2002-10-28 2007-07-24 Nvidia Corporation Input pipeline registers for a node in an adaptive computing engine
US7233998B2 (en) * 2001-03-22 2007-06-19 Sony Computer Entertainment Inc. Computer architecture and software cells for broadband networks
US7225279B2 (en) 2002-06-25 2007-05-29 Nvidia Corporation Data distributor in a computation unit forwarding network data to select components in respective communication method type
US6809734B2 (en) * 2001-03-22 2004-10-26 Sony Computer Entertainment Inc. Resource dedication system and method for a computer architecture for broadband networks
US7231500B2 (en) * 2001-03-22 2007-06-12 Sony Computer Entertainment Inc. External data interface in a computer architecture for broadband networks
US6526491B2 (en) * 2001-03-22 2003-02-25 Sony Corporation Entertainment Inc. Memory protection system and method for computer architecture for broadband networks
US7093104B2 (en) * 2001-03-22 2006-08-15 Sony Computer Entertainment Inc. Processing modules for computer architecture for broadband networks
US7325123B2 (en) 2001-03-22 2008-01-29 Qst Holdings, Llc Hierarchical interconnect for configuring separate interconnects for each group of fixed and diverse computational elements
US6826662B2 (en) * 2001-03-22 2004-11-30 Sony Computer Entertainment Inc. System and method for data synchronization for a computer architecture for broadband networks
US7653710B2 (en) 2002-06-25 2010-01-26 Qst Holdings, Llc. Hardware task manager
US6836839B2 (en) 2001-03-22 2004-12-28 Quicksilver Technology, Inc. Adaptive integrated circuitry with heterogeneous and reconfigurable matrices of diverse and adaptive computational units having fixed, application specific computational elements
US7061928B2 (en) 2001-03-26 2006-06-13 Azurn Networks, Inc. Unified XML voice and data media converging switch and application delivery system
JP4642264B2 (en) 2001-04-03 2011-03-02 株式会社日立国際電気 Correlation circuit for spread spectrum communication
US6968447B1 (en) * 2001-04-13 2005-11-22 The United States Of America As Represented By The Secretary Of The Navy System and method for data forwarding in a programmable multiple network processor environment
US6978459B1 (en) * 2001-04-13 2005-12-20 The United States Of America As Represented By The Secretary Of The Navy System and method for processing overlapping tasks in a programmable network processor environment
US6950927B1 (en) * 2001-04-13 2005-09-27 The United States Of America As Represented By The Secretary Of The Navy System and method for instruction-level parallelism in a programmable multiple network processor environment
EP1255368A1 (en) 2001-04-30 2002-11-06 Siemens Information and Communication Networks S.p.A. Method to perform link adaptation in enhanced cellular communication systems with several modulation and coding schemes
US6577678B2 (en) 2001-05-08 2003-06-10 Quicksilver Technology Method and system for reconfigurable channel coding
US6785341B2 (en) 2001-05-11 2004-08-31 Qualcomm Incorporated Method and apparatus for processing data in a multiple-input multiple-output (MIMO) communication system utilizing channel state information
US6963890B2 (en) * 2001-05-31 2005-11-08 Koninklijke Philips Electronics N.V. Reconfigurable digital filter having multiple filtering modes
US6618434B2 (en) 2001-05-31 2003-09-09 Quicksilver Technology, Inc. Adaptive, multimode rake receiver for dynamic search and multipath reception
US20020184291A1 (en) 2001-05-31 2002-12-05 Hogenauer Eugene B. Method and system for scheduling in an adaptable computing engine
US6912515B2 (en) 2001-06-04 2005-06-28 Xerox Corporation Method and system for algorithm synthesis in problem solving
US7032229B1 (en) 2001-06-04 2006-04-18 Palmsource, Inc. Automatic tracking of user progress in a software application
US6653859B2 (en) 2001-06-11 2003-11-25 Lsi Logic Corporation Heterogeneous integrated circuit with reconfigurable logic cores
US7266703B2 (en) 2001-06-13 2007-09-04 Itt Manufacturing Enterprises, Inc. Single-pass cryptographic processor and method
US7076627B2 (en) 2001-06-29 2006-07-11 Intel Corporation Memory control for multiple read requests
US7969431B2 (en) 2001-06-29 2011-06-28 National Instruments Corporation Graphical program node for generating a measurement program
US20030023830A1 (en) 2001-07-25 2003-01-30 Hogenauer Eugene B. Method and system for encoding instructions for a VLIW that reduces instruction memory requirements
US6883084B1 (en) 2001-07-25 2005-04-19 University Of New Mexico Reconfigurable data path processor
US6782336B2 (en) * 2001-09-17 2004-08-24 Hewlett-Packard Development Company, L.P. Test outputs using an idle bus
US6768768B2 (en) 2001-09-19 2004-07-27 Qualcomm Incorporated Method and apparatus for step two W-CDMA searching
US7257620B2 (en) 2001-09-24 2007-08-14 Siemens Energy & Automation, Inc. Method for providing engineering tool services
US20030061260A1 (en) 2001-09-25 2003-03-27 Timesys Corporation Resource reservation and priority management
US20030142818A1 (en) 2001-09-28 2003-07-31 Nec Usa, Inc. Techniques for efficient security processing
US20030074473A1 (en) * 2001-10-12 2003-04-17 Duc Pham Scalable network gateway processor architecture
US7139263B2 (en) 2001-10-19 2006-11-21 Sentito Networks, Inc. Voice over IP architecture
US7146500B2 (en) 2001-11-14 2006-12-05 Compass Technology Management, Inc. System for obtaining signatures on a single authoritative copy of an electronic record
US7106787B2 (en) 2001-11-28 2006-09-12 Broadcom Corporation Acquisition matched filter for W-CDMA systems providing frequency offset robustness
US8412915B2 (en) 2001-11-30 2013-04-02 Altera Corporation Apparatus, system and method for configuration of adaptive integrated circuitry having heterogeneous computational elements
US6986021B2 (en) 2001-11-30 2006-01-10 Quick Silver Technology, Inc. Apparatus, method, system and executable module for configuration and operation of adaptive integrated circuitry having fixed, application specific computational elements
US20030131162A1 (en) * 2002-01-10 2003-07-10 Stacey Secatch Non-destructive read FIFO
US7548586B1 (en) * 2002-02-04 2009-06-16 Mimar Tibet Audio and video processing apparatus
US7631196B2 (en) 2002-02-25 2009-12-08 Intel Corporation Method and apparatus for loading a trustable operating system
US20040015970A1 (en) * 2002-03-06 2004-01-22 Scheuermann W. James Method and system for data flow control of execution nodes of an adaptive computing engine (ACE)
US20030212684A1 (en) 2002-03-11 2003-11-13 Markus Meyer System and method for adapting preferences based on device location or network topology
US20040039801A9 (en) 2002-03-11 2004-02-26 Venkatachary Srinivasan System and method for delivering data in a network
US20030172175A1 (en) 2002-03-11 2003-09-11 Mccormack Jonathan I. System for standardizing updates of data on a plurality of electronic devices
US7200735B2 (en) 2002-04-10 2007-04-03 Tensilica, Inc. High-performance hybrid processor with configurable execution units
US6732354B2 (en) 2002-04-23 2004-05-04 Quicksilver Technology, Inc. Method, system and software for programming reconfigurable hardware
US6988139B1 (en) 2002-04-26 2006-01-17 Microsoft Corporation Distributed computing of a job corresponding to a plurality of predefined tasks
JP3860075B2 (en) 2002-05-30 2006-12-20 シャープ株式会社 Self-synchronous logic circuit having test circuit and method for testing self-synchronous logic circuit
US6907598B2 (en) * 2002-06-05 2005-06-14 Microsoft Corporation Method and system for compressing program code and interpreting compressed program code
US6735747B2 (en) * 2002-06-10 2004-05-11 Lsi Logic Corporation Pre-silicon verification path coverage
US20040062300A1 (en) 2002-10-01 2004-04-01 Mcdonough John G. System and method for detecting direct sequence spread spectrum signals using batch processing of independent parameters
US6859434B2 (en) * 2002-10-01 2005-02-22 Comsys Communication & Signal Processing Ltd. Data transfer scheme in a communications system incorporating multiple processing elements
US7225301B2 (en) * 2002-11-22 2007-05-29 Quicksilver Technologies External memory controller node
US6883074B2 (en) * 2002-12-13 2005-04-19 Sun Microsystems, Inc. System and method for efficient write operations for repeated snapshots by copying-on-write to most recent snapshot
US7174432B2 (en) * 2003-08-19 2007-02-06 Nvidia Corporation Asynchronous, independent and multiple process shared memory system in an adaptive computing architecture
US7200837B2 (en) * 2003-08-21 2007-04-03 Qst Holdings, Llc System, method and software for static and dynamic programming and configuration of an adaptive computing architecture
US7321979B2 (en) * 2004-01-22 2008-01-22 International Business Machines Corporation Method and apparatus to change the operating frequency of system core logic to maximize system memory bandwidth

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6282627B1 (en) * 1998-06-29 2001-08-28 Chameleon Systems, Inc. Integrated processor and programmable data path chip for reconfigurable computing
US6347346B1 (en) * 1999-06-30 2002-02-12 Chameleon Systems, Inc. Local memory unit system with global access for use on reconfigurable chips

Also Published As

Publication number Publication date
US7937538B2 (en) 2011-05-03
US20090276583A1 (en) 2009-11-05
US7941614B2 (en) 2011-05-10
US20070226433A1 (en) 2007-09-27
US20040177225A1 (en) 2004-09-09
US20090037673A1 (en) 2009-02-05
AU2003295814A8 (en) 2004-06-18
US8769214B2 (en) 2014-07-01
US7984247B2 (en) 2011-07-19
US7937539B2 (en) 2011-05-03
US20090276582A1 (en) 2009-11-05
US9223520B2 (en) 2015-12-29
US7225301B2 (en) 2007-05-29
US20080244197A1 (en) 2008-10-02
US8266388B2 (en) 2012-09-11
US7743220B2 (en) 2010-06-22
US20160132460A1 (en) 2016-05-12
US20090276584A1 (en) 2009-11-05
AU2003295814A1 (en) 2004-06-18
WO2004049175A2 (en) 2004-06-10
US20140310450A1 (en) 2014-10-16
US20110264873A1 (en) 2011-10-27
US7451280B2 (en) 2008-11-11
US7979646B2 (en) 2011-07-12
US20130013872A1 (en) 2013-01-10
US20090055598A1 (en) 2009-02-26

Similar Documents

Publication Publication Date Title
WO2004049175A3 (en) External memory controller node
GB2389742B (en) Communications device and method
WO2003036450A3 (en) Dram power management
AU2003250418A1 (en) A data network, user terminal and method for providing recommendations
CA2320693A1 (en) Method for controlling data flow associated with a communications node
AU2002347376A1 (en) Hybrid search memory for network processor and computer systems
WO2004075477A8 (en) Method and system for managing network access device using a smart card
WO2004027567A3 (en) A method for managing an investment portfolio
AU2002357183A1 (en) Structure of policy information for storage, network and data management applications
WO2006078562A3 (en) System, node, and method optimizing data connections for packet services
AU2003256318A1 (en) Dynamic self-configuring metering network
AU2001270294A1 (en) Methods and systems for adaptation, diagnosis, optimization, and prescription technology for network based applications
AU2003295145A1 (en) Communications system and method
AU2003239055A1 (en) Access terminal profile in a data cellular network
AU2003251084A1 (en) Method, system and apparatuses for data communication employing interworking between upper and lower layer protocols
AU2002366184A1 (en) Network configuration database storing past, current and future configuration data
WO2005008469A3 (en) System and method for generating a graphical user interface (gui) element
SE0004672D0 (en) A method and system for real-time updating of available network service access in a communications network
AU2003249434A1 (en) Dynamic memory management
AU2001230593A1 (en) Server device, communication terminal, relay server, conversion rule management server, and recording medium storing program
WO2004095211A3 (en) Data storage system
AU2003214694A1 (en) Management information-providing method and computer redable storage medium storing program therefor
WO2004074998A3 (en) Method for delegated administration
AU2003211829A1 (en) Data transmission method in the wireless access network
WO2007047154A3 (en) An autonomous mode for a plurality of nested mobile networks

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): BW GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
122 Ep: pct application non-entry in european phase
NENP Non-entry into the national phase

Ref country code: JP

WWW Wipo information: withdrawn in national office

Country of ref document: JP