WO2004094693A2 - Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition - Google Patents

Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition Download PDF

Info

Publication number
WO2004094693A2
WO2004094693A2 PCT/US2004/011477 US2004011477W WO2004094693A2 WO 2004094693 A2 WO2004094693 A2 WO 2004094693A2 US 2004011477 W US2004011477 W US 2004011477W WO 2004094693 A2 WO2004094693 A2 WO 2004094693A2
Authority
WO
WIPO (PCT)
Prior art keywords
gas distribution
plate assembly
hole
plate
distribution plate
Prior art date
Application number
PCT/US2004/011477
Other languages
French (fr)
Other versions
WO2004094693A3 (en
Inventor
Soo Young Choi
Quanyuan Shang
Robert I. Greene
Li Hou
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to CN2004800052710A priority Critical patent/CN1754008B/en
Priority to JP2005518601A priority patent/JP2006515039A/en
Publication of WO2004094693A2 publication Critical patent/WO2004094693A2/en
Publication of WO2004094693A3 publication Critical patent/WO2004094693A3/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges

Definitions

  • Embodiments of the invention generally relate to a gas distribution plate assembly and method for distributing gas in a processing chamber.
  • Liquid crystal displays or flat panels are commonly used for active matrix displays such as computer and television monitors.
  • flat panels comprise two glass plates having a layer of liquid crystal material sandwiched therebetween. At least one of the glass plates includes at least one conductive film disposed thereon that is coupled to a power supply. Power supplied to the conductive film from the power supply changes the orientation of the crystal material, creating a pattern such as text or graphics seen on the display.
  • One fabrication process frequently used to produce flat panels is plasma enhanced chemical vapor deposition (PECVD).
  • PECVD plasma enhanced chemical vapor deposition
  • Plasma enhanced chemical vapor deposition is generally employed to deposit thin films on a substrate such as a flat panel or semiconductor wafer.
  • Plasma enhanced chemical vapor deposition is generally accomplished by introducing a precursor gas into a vacuum chamber that contains a flat panel.
  • the precursor gas is typically directed downwardly through a distribution plate situated near the top of the chamber.
  • the precursor gas in the chamber is energized ⁇ e.g., excited) into a plasma by applying RF power to the chamber from one or more RF sources coupled to the chamber.
  • the excited gas reacts to form a layer of material on a surface of the flat panel that is positioned on a temperature controlled substrate support.
  • the substrate support may be heated in excess of 400 degrees Celsius. Volatile by-products produced during the reaction are pumped from the chamber through an exhaust system.
  • Gas distribution plates utilized to provide uniform process gas flow over flat panels are proportionally large in size, particularly as compared to gas distribution plates utilized for 200mm and 300mm semiconductor wafer processing.
  • Large gas distribution plates utilized for flat panel processing have a number of fabricating issues that result in high manufacturing costs. For example, gas flow holes formed through the gas distribution plate are small in diameter relative to thickness of the gas distribution plate, for examples a 0.062 diameter hole through a 1.2 inch thick plate, resulting in a high frequency of drill bit breakage during hole formation.
  • a gas distribution plate for distributing gas in a processing chamber.
  • a gas distribution plate includes a diffuser plate having a plurality of gas passages passing between an upstream side and a downstream side of the diffuser plate. At least one of the gas passages includes a first hole and a second hole coupled by an orifice hole. The first hole extends from the upstream side of the diffuser plate while the second hole extends from the downstream side. The orifice hole has a diameter less than either of the respective diameters of the first and second holes.
  • Figure 1 is a schematic sectional view of an illustrative processing chamber having one embodiment of a gas distribution plate assembly of the present invention
  • Figure 2 is partial sectional view of the gas distribution plate assembly depicted in Figure 1 ;
  • Figure 3 is partial sectional view of another embodiment of a gas distribution plate assembly
  • Figure 4 is a partial top view of the gas distribution plate assembly of Figure
  • Figure 5 is a partial sectional view of another embodiment of a gas distribution plate assembly that includes a diffuser assembly
  • Figure 6 depicts another partial sectional view of one embodiment of the gas distribution plate assembly of Figure 5.
  • the invention generally provides a gas distribution plate assembly for providing gas delivery within a processing chamber.
  • the invention is illustratively described below in reference to a plasma enhanced chemical vapor deposition system configured to process large area substrates, such as a plasma enhanced chemical vapor deposition (PECVD) system, available from AKT, a division of Applied Materials, Inc., Santa Clara, California.
  • PECVD plasma enhanced chemical vapor deposition
  • AKT a plasma enhanced chemical vapor deposition
  • Figure 1 is a cross sectional view of one embodiment of a plasma enhanced chemical vapor deposition system 100.
  • the system 100 generally includes a processing chamber 102 coupled to a gas source 104.
  • the processing chamber 102 has walls 106 and a bottom 108 that partially define a process volume 1 12.
  • the process volume 1 12 is typically accessed through a port (not shown) in the walls 106 that facilitates movement of a substrate 140 into and out of the processing chamber 102.
  • the walls 106 and bottom 108 are typically fabricated from a unitary block of aluminum or other material compatible for processing.
  • the walls 106 support a lid assembly 1 10 that contains a pumping plenum 1 14 that couples the process volume 1 12 to an exhaust port (that includes various pumping components, not shown).
  • a temperature controlled substrate support assembly 138 is centrally disposed within the processing chamber 102.
  • the support assembly 138 supports the glass substrate 140 during processing.
  • the substrate support assembly 138 comprises an aluminum body 124 that encapsulates at least one embedded heater 132.
  • the heater 132 such as a resistive element, disposed in the support assembly 138, is coupled to a power source 130 and controllably heats the support assembly 138 and the glass substrate 140 positioned thereon to a predetermined temperature. Typically, in a CVD process, the heater 132 maintains the glass substrate 140 at a uniform temperature between about 150 to at least about 460 degrees Celsius, depending on the deposition processing parameters for the material being deposited.
  • the support assembly 138 has a lower side 126 and an upper side 134.
  • the upper side 134 supports the glass substrate 140.
  • the lower side 126 has a stem 142 coupled thereto.
  • the stem 142 couples the support assembly 138 to a lift system (not shown) that moves the support assembly 138 between an elevated processing position (as shown) and a lowered position that facilitates substrate transfer to and from the processing chamber 102.
  • the stem 142 additionally provides a conduit for electrical and thermocouple leads between the support assembly 138 and other components of the system 100.
  • a bellows 146 is coupled between support assembly 138 (or the stem 142) and the bottom 108 of the processing chamber 102.
  • the bellows 146 provides a vacuum seal between the chamber volume 1 12 and the atmosphere outside the processing chamber 102 while facilitating vertical movement of the support assembly 138.
  • the support assembly 138 generally is grounded such that RF power supplied by a power source 122 to a gas distribution plate assembly 1 18 positioned between the lid assembly 1 10 and substrate support assembly 138 (or other electrode positioned within or near the lid assembly of the chamber) may excite gases present in the process volume 1 12 between the support assembly 138 and the distribution plate assembly 1 18.
  • the RF power from the power source 122 is generally selected commensurate with the size of the substrate to drive the chemical vapor deposition process.
  • the support assembly 138 additionally supports a circumscribing shadow frame 148.
  • the shadow frame 148 prevents deposition at the edge of the glass substrate 140 and support assembly 138 so that the substrate does not stick to the support assembly 138.
  • the support assembly 138 has a plurality of holes 128 disposed therethrough that accept a plurality of lift pins 150.
  • the lift pins 150 are typically comprised of ceramic or anodized aluminum.
  • the lift pins 150 have first ends that are substantially flush with or slightly recessed from an upper side 134 of the support assembly 138 when the lift pins 150 are in a normal position (i.e., retracted relative to the support assembly 138).
  • the first ends are typically flared to prevent the lift pins 150 from falling through the holes 128. Additionally, the lift pins 150 have a second end that extends beyond the lower side 126 of the support assembly 138.
  • the lift pins 150 may be actuated relative to the support assembly 138 by a lift plate 154 to project from the support surface 130, thereby placing the substrate in a spaced-apart relation to the support assembly 138.
  • the lift plate 154 is disposed between the lower side 126 of the substrate support assembly 138 and the bottom 108 of the processing chamber 102.
  • the lift plate 154 is connected to an actuator (not shown) by a collar 156 that circumscribes a portion of the stem 142.
  • the bellows 146 includes an upper portion 168 and a lower portion 170 that allows the stem 142 and collar 156 to move independently while maintaining the isolation of the process volume 1 12 from the environment exterior to the processing chamber 102.
  • the lift plate 154 is actuated to cause the lift pins 150 to extend from the upper side 134 as the support assembly 138 and the lift plate 154 move closer together relative to one another.
  • the lid assembly 1 10 provides an upper boundary to the process volume 1 12.
  • the lid assembly 110 typically can be removed or opened to service the processing chamber 102.
  • the lid assembly 1 10 is fabricated from aluminum.
  • the lid assembly 1 10 includes a pumping plenum 1 14 formed therein coupled to an external pumping system (not shown).
  • the pumping plenum 1 14 is utilized to channel gases and processing by-products uniformly from the process volume 112 and out of the processing chamber 102.
  • the lid assembly 1 10 typically includes an entry port 180 through which process gases provided by the gas source 104 are introduced into the processing chamber 102.
  • the entry port 180 is also coupled to a cleaning source 182.
  • the cleaning source 182 typically provides a cleaning agent, such as disassociated fluorine, that is introduced into the processing chamber 102 to remove deposition byproducts and films from processing chamber hardware, including the gas distribution plate assembly 118.
  • the gas distribution plate assembly 1 18 is coupled to an interior side 120 of the lid assembly 1 10.
  • the gas distribution plate assembly 118 is typically configured to substantially follow the profile of the glass substrate 140, for example, polygonal for large area substrates and circular for wafers.
  • the gas distribution plate assembly 1 18 includes a perforated area 1 16 through which process and other gases supplied from the gas source 104 are delivered to the process volume 112.
  • the perforated area 116 of the gas distribution plate assembly 1 18 is configured to provide uniform distribution of gases passing through the gas distribution plate assembly 1 18 into the processing chamber 102.
  • One gas distribution plate that may be adapted to benefit from the invention is described in United States Patent Application Serial Nos.
  • the gas distribution plate assembly 1 18 typically includes a diffuser plate 158 suspended from a hanger plate 160.
  • the diffuser plate 158 and hanger plate 160 may alternatively comprise a single unitary member (as shown by the gas distribution plate assembly 300 depicted in Figure 3).
  • a plurality of gas passages 162 are formed through the diffuser plate 158 to allow a predetermined distribution of gas passing through the gas distribution plate assembly 1 18 and into the process volume 1 12.
  • the hanger plate 160 maintains the diffuser plate 158 and the interior surface 120 of the lid assembly 1 10 in a spaced-apart relation, thus defining a plenum 164 therebetween.
  • the plenum 164 allows gases flowing through the lid assembly 1 10 to uniformly distribute across the width of the diffuser plate 158 so that gas is provided uniformly above the center perforated area 1 16 and flows with a uniform distribution through the gas passages 162.
  • the hanger plate 160 is typically fabricated from stainless steel, aluminum, or nickel or other RF conductive material.
  • the hanger plate 160 includes a central aperture 166 that facilitates unobstructed gas flow through the hanger plate 160 from the gas entry port 180 formed in the lid assembly 110 and through the gas passages 162 of the diffuser plate 158.
  • the hanger plate 160 generally provides a mounting surface for coupling the diffuser plate 158 to the lid assembly 1 10 or chamber walls 106.
  • the diffuser plate 158 is typically fabricated from stainless steel, aluminum, nickel or other RF conductive material.
  • the diffuser plate 158 is configured with a thickness that maintains sufficient flatness across the aperture 166 as not to adversely affect substrate processing.
  • the diffuser plate 158 has a thickness of about 1.2 inches thick.
  • Figure 2 is a partial sectional view of the diffuser plate 158.
  • the diffuser plate 158 includes a first or upstream side 202 facing the lid assembly 1 10 and an opposing second or downstream side 204 that faces the support assembly 138.
  • the diffuser plate 158 is fabricated from aluminum and anodized on at least the downstream side 204. Anodization on the downstream side 204 has been found to enhance plasma uniformity.
  • the upstream side 202 may be optionally free from anodization to limit the absorption of fluorine during cleaning, which may later be released during processing and become a source of contamination.
  • each gas passage 162 is defined by a first bore 210 coupled by an orifice hole 214 to a second bore 212 that combine to form a fluid path through the gas distribution plate 158.
  • the first bore 210 extends a first depth 230 from the upstream side 202 of the gas distribution plate 158 to a bottom 218.
  • the bottom 218 of the first bore 210 may be tapered, beveled, chamfered or rounded to minimize the flow restriction as gases flow from the first bore into the orifice hole 210.
  • the first bore 210 generally has a diameter of about 0.093 to about 0.218 inches, and in one embodiment is about 0.156 inches.
  • the second bore 212 is formed in the diffuser plate 158 and extends from the downstream side 204 to a depth 232 of about 0.250 to about 0.375 inches.
  • the diameter of the second bore 212 is generally about 0.187 to about 0.375 inches and may be flared at an angle 216 of about 22 to at least about 35 degrees.
  • the second bore 212 has a diameter of 0.320 inches and the flare angle 216 is about 35 degrees.
  • a distance 280 between rims 282 of adjacent second bores 212 is about 25 to about 85 mils.
  • the diameter of the first bore 210 is usually, but not limited to, being at least equal to or smaller than the diameter of the second bore 212.
  • a bottom 220 of the second bore 212 may be tapered, beveled, chamfered or rounded to minimize the pressure loss of gases flowing out from the orifice hole 214 and into the second bore 212. Moreover, as the proximately of the orifice hole 214 to the downstream side 204 of the serves to minimize the exposed surface area of the second bore 212 and the downstream side 204 that face the substrate, the downstream area of the diffuser plate 158 exposed to fluorine provided during chamber cleaning is reduced, thereby reducing the occurrence of fluorine contamination of deposited films.
  • the orifice hole 214 generally couples the bottom 218 of the first hole 210 and the bottom 220 of the second bore 212.
  • the orifice hole generally has a diameter of about 0.25 to about 0.76 mm (about 0.02 to about 0.3 inches), and typically has a length 234 of about 0.040 to about 0.085 inches.
  • the length 234 and diameter (or other geometric attribute) of the orifice hole 214 is the primary source of back pressure in the plenum 164 which promotes even distribution of gas across the upstream side 202 of the gas distribution plate 158.
  • the orifice hole 214 is typically configured uniformly among the plurality of gas passages 162, however, the restriction through the orifice hole 214 may be configured differently among the gas passages 162 to promote more gas flow through one area of the gas distribution plate 158 relative to another area.
  • the orifice hole 214 may have a larger diameter and/or a shorter length 234 in those gas passages 262 closer to a perimeter 206 of the gas distribution plate 158 so that more gas flows through the edges of the perforated area 116 to increase the deposition rate at the perimeter of the glass substrate.
  • the orifice hole 214 may be efficiently fabricated in the gas distribution plate 158 with minimal probability of drill breakage.
  • the gas distribution plate 158 of the present invention may be fabricated at a reduced cost as compared to conventional gas distribution plates where the expense of drill breakage and extraction from the distribution plate is a common occurrence over the thousands the gas passages formed in the perforated area.
  • anodized gas distribution plates 158 have a diminished propensity for retaining fluorine over the course of cleaning cycles, thereby reducing the amount of fluorine that may be released during processing.
  • the total restriction provided by the orifice holes 214 directly effect the backpressure upstream of the diffuser plate 158, and accordingly should be configured to prevent re-combination of disassociated fluorine utilized during cleaning.
  • the orifice hole diameter should be balanced against the number of holes. While the orifice hole diameter may be increase to allow fewer holes to be utilized and the realization of diminished manufacturing costs, the spacing between the rims 282 of adjacent second bores 212 may be selected in the lower range of between about 25 to about 50 mils to achieve deposition uniformity performance comparable to conventional diffuser plates having greater gas flow hole density.
  • the hanger plate 160 and the diffuser plate 158 may be coupled in a manner that facilitates thermal expansion and contraction of the diffuser plate 158 without warpage, distortion or adversely stressing the diffuser plate 158 in a manner that would affect the uniformity of gas flow through the gas distribution plate assembly 1 18.
  • the hanger plate 160 is a polygonal frame that includes a first flange 264 that extends outward from a main body 262 and a second flange 260 that extends inward in the opposite direction of the first flange 264.
  • the hanger plate 160 may be a flanged cylinder.
  • the first flange 264 includes a plurality of mounting holes 266, each of which aligning with a threaded hole 278 formed in the lid assembly 110. Vented fasteners 268 are respectively passed through the mounting hole 266 and threaded into the threaded hole 278 to secure the hanger plate 160 to the lid assembly 1 10.
  • the second flange 260 includes a plurality of holes 270 formed therein that respectively retain a dowel pin 244.
  • the dowel pins 244 (one is shown in Figure 2) extend upward from the second flange 260 toward the first flange 262 and the interior surface 120 of the lid assembly 1 10. Holes or slots 246 formed through the diffuser plate 158 are adapted to respectively accept a pin 244.
  • the slots 246 in the diffuser plate 158 are large enough with respect to the dowel pins 244 to allow the diffuser plate 158 to move relative to the dowel pins 244, thereby facilitating compensation for differences in thermal expansion between the diffuser plate 158.
  • the slots 246 are typically oriented along each side of the diffuser plate 158 in orthogonal directions to accommodate expansion of the plate assembly 1 18 along two axes.
  • the slots 246 may be configured radially for circular gas distribution plates.
  • the diffuser plate 158 is free to move relative to the lid assembly 1 10 and thereby remains free from warpage or other stresses that may cause the distribution plate assembly 1 18 to distort or otherwise alter the pattern of gases flowing through the distribution plate assembly 1 18.
  • slots may be formed in the hanger plate 160 to accept pins extending from the diffuser plate 158.
  • FIG. 5 is a partial sectional view of another embodiment of a gas distribution plate assembly 500.
  • the gas distribution plate assembly 500 includes a hanger plate 160 and a diffuser plate assembly 502 mounted to a lid assembly 1 10 similar to as described above.
  • the diffuser plate assembly 502 includes a tuning plate 504 coupled to a diffuser plate 506.
  • a plurality of gas passages 508 are formed through the tuning plate 504 and diffuser plate 506 to distribute gases from a plenum 510 defined between the gas distribution plate assembly 500 and the lid assembly 1 10 to the processing area 512 of a processing chamber.
  • the gas passages 508 are configured similar to the gas passages 162 described above, except that an upstream portion of each gas passage 508 is formed through the tuning plate 504 while a downstream portion is formed in the diffuser plate 506.
  • an upstream portion of each gas passage 508 is formed through the tuning plate 504 while a downstream portion is formed in the diffuser plate 506.
  • at least a portion of a first bore 520 is formed in the tuning plate 504 while at least a portion of a second bore 522 is formed in the diffuser plate 506.
  • An orifice hole 524 that fluidly couples the first and second bores 520, 522 may be formed at least partially in at least one of the tuning plate 504 or the diffuser plate 506.
  • the first bore 520 is formed through the tuning plate 504 and partially in the diffuser plate 506.
  • the second bore 522 and orifice hole 524 are formed the diffuser plate 506. Fabrication of the bores and holes 520, 522, 524 separately in each plate 504, 506 allows for more efficient fabrication as drilled length and depth (i.e, position within a plate) of the orifice hole 524 is minimized, further reducing the occurrence of drill bit breakage, thus further reducing fabrication costs. [0047] A plurality of locating features 546 are disposed between the tuning plate 504 and the diffuser plate 506 to ensure mating and alignment of the portions of the gas passages 508 formed in the tuning plate 504 and the diffuser plate 506.
  • the locating features 546 are a plurality of locating pins 544 (one of which is shown) disposed between the tuning plate 504 and the diffuser plate 506.
  • the locating pins 544 extend from the diffuser plate 506 and engage a mating bushing 542 that is press-fit in an opening through the tuning plate 504.
  • the pins 544 may be positioned so that alignment of the gas passages 508 and predefined orientation between the tuning plate 504 and diffuser plate 506 relative to the lid assembly 110 is assured.
  • the tuning plate 504 and diffuser plate 506 may be fastened together in any number of manners, including fasteners, rivets, screws, brazing, welding, adhesives, clamps and the like.
  • FIG. 6 is partial sectional view of another embodiment of a diffuser distribution plate assembly 650 that includes a plurality of gas passages 660 that are formed through a tuning plate 652 and a diffuser plate 654, where the turning plate 652 is removably fastened to the diffuser plate 654.
  • the tuning plate 652 and diffuser plate 654 are coupled at regular intervals by a breakaway fastener system 600 (one is shown in Figure 6).
  • the gas passages 660 are configured similar to the gas passages 508 described above.
  • Each of the breakaway fastener systems 600 includes a fastener 602 and a mating nut 604, both of which are typically fabricated from aluminum or other suitable material.
  • the breakaway fastener system 600 allows the tuning plate 652 and diffuser plate 654 to be separated where traditional aluminum fasteners would seize, requiring removal and re-threading of components. This allows the tuning plate 652 to be changed to alter the flow characteristics of the gas passages 660 thereby allowing the distribution plate assembly 650 to be tailored for a given process without having to change the entire assembly. This feature is discussed in detail in the previously incorporated United States Patent Application Serial No. 10/337,483, filed January 7, 2003 by Blonigan et al. (attorney dkt. no 7651 ).
  • the fastener 602 has a head 606, a shank 608 and a threaded portion 610.
  • the head 606 is typically disposed in a counterbore 612 formed in a top surface 614 of the tuning plate 652.
  • a hole 616 is formed through the tuning plate 652 concentrically to the counterbore 612 to accept the shank 608 of the fastener 602.
  • the shank 608 passes through a hole 618 formed through the diffuser plate 654 that aligns concentrically with the hole 616.
  • the shank 608 typically includes a necked portion 620 adapted to shear when the fastener 602 is subjected to a torque in excess of a predetermined amount.
  • the nut 604 is typically disposed in a slot 622 formed in a downstream side 624 of the diffuser plate 654 opposite the tuning plate 652.
  • the slot 624 is in communication with the hole 618 formed through the diffuser plate 654.
  • the shank 608 passes through the holes 616, 618 to expose the threaded portion 610 in the slot 622.
  • the nut 602 disposed in the slot 622 is mated with the threaded portion 610 of the fastener 602.
  • the slot 622 is configured to prevent the nut 604 from turning as the fastener 602 is tightened to urge the plates 652, 654 against each other.
  • the two-plate configuration of the diffuser plate assembly 650 further facilitates economical manufacture of the gas passages 660 by substantially decreasing the distance required to form the orifice hole 694 during fabrication, thereby further reducing the occurrence of drill breakage during fabrication.
  • a gas distribution plate assembly has been provided that is economical to fabricate.
  • the gas distribution plate assembly provided advantageously allows gas flow characteristics to be adjusted by varying orifice hole configurations across the width of the plate and/or by one plate of the assembly.

Abstract

Embodiments of a gas distribution plate for distributing gas in a processing chamber are provided. In one embodiment, a gas distribution plate includes a diffuser plate having a plurality of gas passages passing between an upstream side and a downstream side of the diffuser plate. At least one of the gas passages includes a first hole and a second hole coupled by an orifice hole. The first hole extends from the upstream side of the diffuser plate while the second hole extends from the downstream side. The orifice hole has a diameter less than the respective diameters of the first and second holes.

Description

GAS DISTRIBUTION PLATE ASSEMBLY FOR LARGE AREA PLASMA ENHANCED
CHEMICAL VAPOR DEPOSITION
BACKGROUND OF THE DISCLOSURE
Field of the Invention
[0001] Embodiments of the invention generally relate to a gas distribution plate assembly and method for distributing gas in a processing chamber.
Description of the Background Art
[0002] Liquid crystal displays or flat panels are commonly used for active matrix displays such as computer and television monitors. Generally, flat panels comprise two glass plates having a layer of liquid crystal material sandwiched therebetween. At least one of the glass plates includes at least one conductive film disposed thereon that is coupled to a power supply. Power supplied to the conductive film from the power supply changes the orientation of the crystal material, creating a pattern such as text or graphics seen on the display. One fabrication process frequently used to produce flat panels is plasma enhanced chemical vapor deposition (PECVD). [0003] Plasma enhanced chemical vapor deposition is generally employed to deposit thin films on a substrate such as a flat panel or semiconductor wafer. Plasma enhanced chemical vapor deposition is generally accomplished by introducing a precursor gas into a vacuum chamber that contains a flat panel. The precursor gas is typically directed downwardly through a distribution plate situated near the top of the chamber. The precursor gas in the chamber is energized {e.g., excited) into a plasma by applying RF power to the chamber from one or more RF sources coupled to the chamber. The excited gas reacts to form a layer of material on a surface of the flat panel that is positioned on a temperature controlled substrate support. In applications where the flat panel receives a layer of low temperature polysilicon, the substrate support may be heated in excess of 400 degrees Celsius. Volatile by-products produced during the reaction are pumped from the chamber through an exhaust system.
[0004] Flat panels processed by PECVD techniques are typically large, often exceeding 370mm x 470mm and ranging over 1 square meter in size. Large area substrates approaching and exceeding 4 square meters are envisioned in the near future. Gas distribution plates utilized to provide uniform process gas flow over flat panels are proportionally large in size, particularly as compared to gas distribution plates utilized for 200mm and 300mm semiconductor wafer processing. [0005] Large gas distribution plates utilized for flat panel processing have a number of fabricating issues that result in high manufacturing costs. For example, gas flow holes formed through the gas distribution plate are small in diameter relative to thickness of the gas distribution plate, for examples a 0.062 diameter hole through a 1.2 inch thick plate, resulting in a high frequency of drill bit breakage during hole formation. Removal of broken drill bits is time consuming and may result in the entire gas distribution plate being scrapped. Additionally, as the number of gas flow holes formed through the gas distribution plate is proportional to the size of the flat panel, the great number of holes formed in each plate disadvantageously contributes to a high probability of trouble during plate fabrication. Moreover, the high number of holes coupled with the care required to minimize drill bit breakage results in long fabrication times, thereby elevating fabrication costs.
[0006] As the cost of materials and manufacturing the gas distribution plate is great, it would be advantageous to develop a gas distribution plate in a configuration that can be efficiently and cost effectively fabricated. Moreover, as the size of the next generation gas distribution plates is increased to accommodate processing flat panels in excess of 1.2 square meters, resolution of the aforementioned problems becomes increasingly important to resolve.
[0007] While addressing the cost implications of the design of large gas distribution plates is important, performance attributes must not be overlooked. For example, the configuration, location and density of gas flow holes directly impact deposition performance, such as deposition uniformity and cleaning attributes. For example, if the gas flow holes formed through the gas distribution plate create too much backpressure, disassociated fluorine utilized to clean the plate has an increase propensity to recombine, disadvantageously diminishing cleaning effectiveness. Moreover, as fluorine is typically a film contaminant, the surface area of the gas distribution plate should be configured to encourage good flow therethrough while providing minimal area for fluorine adherence to the plate. [0008] Therefore, there is a need for an improved gas distribution plate assembly. SUMMARY OF THE INVENTION
[0009] Embodiments of a gas distribution plate for distributing gas in a processing chamber are provided. In one embodiment, a gas distribution plate includes a diffuser plate having a plurality of gas passages passing between an upstream side and a downstream side of the diffuser plate. At least one of the gas passages includes a first hole and a second hole coupled by an orifice hole. The first hole extends from the upstream side of the diffuser plate while the second hole extends from the downstream side. The orifice hole has a diameter less than either of the respective diameters of the first and second holes.
BRIEF DESCRIPTION OF THE DRAWINGS
[0010] The teachings of the present invention can be readily understood by considering the following detailed description in conjunction with the accompanying drawings, in which:
[0011] Figure 1 is a schematic sectional view of an illustrative processing chamber having one embodiment of a gas distribution plate assembly of the present invention;
[0012] Figure 2 is partial sectional view of the gas distribution plate assembly depicted in Figure 1 ;
[0013] Figure 3 is partial sectional view of another embodiment of a gas distribution plate assembly;
[0014] Figure 4 is a partial top view of the gas distribution plate assembly of Figure
2; [0015] Figure 5 is a partial sectional view of another embodiment of a gas distribution plate assembly that includes a diffuser assembly; and
[0016] Figure 6 depicts another partial sectional view of one embodiment of the gas distribution plate assembly of Figure 5.
[0017] To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures.
DETAILED DESCRIPTION
[0018] The invention generally provides a gas distribution plate assembly for providing gas delivery within a processing chamber. The invention is illustratively described below in reference to a plasma enhanced chemical vapor deposition system configured to process large area substrates, such as a plasma enhanced chemical vapor deposition (PECVD) system, available from AKT, a division of Applied Materials, Inc., Santa Clara, California. However, it should be understood that the invention has utility in other system configurations such as etch systems, other chemical vapor deposition systems and any other system in which distributing gas within a process chamber is desired, including those systems configured to process round substrates. [0019] Figure 1 is a cross sectional view of one embodiment of a plasma enhanced chemical vapor deposition system 100. The system 100 generally includes a processing chamber 102 coupled to a gas source 104. The processing chamber 102 has walls 106 and a bottom 108 that partially define a process volume 1 12. The process volume 1 12 is typically accessed through a port (not shown) in the walls 106 that facilitates movement of a substrate 140 into and out of the processing chamber 102. The walls 106 and bottom 108 are typically fabricated from a unitary block of aluminum or other material compatible for processing. The walls 106 support a lid assembly 1 10 that contains a pumping plenum 1 14 that couples the process volume 1 12 to an exhaust port (that includes various pumping components, not shown). [0020] A temperature controlled substrate support assembly 138 is centrally disposed within the processing chamber 102. The support assembly 138 supports the glass substrate 140 during processing. In one embodiment, the substrate support assembly 138 comprises an aluminum body 124 that encapsulates at least one embedded heater 132.
[0021] The heater 132, such as a resistive element, disposed in the support assembly 138, is coupled to a power source 130 and controllably heats the support assembly 138 and the glass substrate 140 positioned thereon to a predetermined temperature. Typically, in a CVD process, the heater 132 maintains the glass substrate 140 at a uniform temperature between about 150 to at least about 460 degrees Celsius, depending on the deposition processing parameters for the material being deposited. [0022] Generally, the support assembly 138 has a lower side 126 and an upper side 134. The upper side 134 supports the glass substrate 140. The lower side 126 has a stem 142 coupled thereto. The stem 142 couples the support assembly 138 to a lift system (not shown) that moves the support assembly 138 between an elevated processing position (as shown) and a lowered position that facilitates substrate transfer to and from the processing chamber 102. The stem 142 additionally provides a conduit for electrical and thermocouple leads between the support assembly 138 and other components of the system 100.
[0023] A bellows 146 is coupled between support assembly 138 (or the stem 142) and the bottom 108 of the processing chamber 102. The bellows 146 provides a vacuum seal between the chamber volume 1 12 and the atmosphere outside the processing chamber 102 while facilitating vertical movement of the support assembly 138.
[0024] The support assembly 138 generally is grounded such that RF power supplied by a power source 122 to a gas distribution plate assembly 1 18 positioned between the lid assembly 1 10 and substrate support assembly 138 (or other electrode positioned within or near the lid assembly of the chamber) may excite gases present in the process volume 1 12 between the support assembly 138 and the distribution plate assembly 1 18. The RF power from the power source 122 is generally selected commensurate with the size of the substrate to drive the chemical vapor deposition process.
[0025] The support assembly 138 additionally supports a circumscribing shadow frame 148. Generally, the shadow frame 148 prevents deposition at the edge of the glass substrate 140 and support assembly 138 so that the substrate does not stick to the support assembly 138. [0026] The support assembly 138 has a plurality of holes 128 disposed therethrough that accept a plurality of lift pins 150. The lift pins 150 are typically comprised of ceramic or anodized aluminum. Generally, the lift pins 150 have first ends that are substantially flush with or slightly recessed from an upper side 134 of the support assembly 138 when the lift pins 150 are in a normal position (i.e., retracted relative to the support assembly 138). The first ends are typically flared to prevent the lift pins 150 from falling through the holes 128. Additionally, the lift pins 150 have a second end that extends beyond the lower side 126 of the support assembly 138. The lift pins 150 may be actuated relative to the support assembly 138 by a lift plate 154 to project from the support surface 130, thereby placing the substrate in a spaced-apart relation to the support assembly 138.
[0027] The lift plate 154 is disposed between the lower side 126 of the substrate support assembly 138 and the bottom 108 of the processing chamber 102. The lift plate 154 is connected to an actuator (not shown) by a collar 156 that circumscribes a portion of the stem 142. The bellows 146 includes an upper portion 168 and a lower portion 170 that allows the stem 142 and collar 156 to move independently while maintaining the isolation of the process volume 1 12 from the environment exterior to the processing chamber 102. Generally, the lift plate 154 is actuated to cause the lift pins 150 to extend from the upper side 134 as the support assembly 138 and the lift plate 154 move closer together relative to one another.
[0028] The lid assembly 1 10 provides an upper boundary to the process volume 1 12. The lid assembly 110 typically can be removed or opened to service the processing chamber 102. In one embodiment, the lid assembly 1 10 is fabricated from aluminum. [0029] The lid assembly 1 10 includes a pumping plenum 1 14 formed therein coupled to an external pumping system (not shown). The pumping plenum 1 14 is utilized to channel gases and processing by-products uniformly from the process volume 112 and out of the processing chamber 102. [0030] The lid assembly 1 10 typically includes an entry port 180 through which process gases provided by the gas source 104 are introduced into the processing chamber 102. The entry port 180 is also coupled to a cleaning source 182. The cleaning source 182 typically provides a cleaning agent, such as disassociated fluorine, that is introduced into the processing chamber 102 to remove deposition byproducts and films from processing chamber hardware, including the gas distribution plate assembly 118.
[0031] The gas distribution plate assembly 1 18 is coupled to an interior side 120 of the lid assembly 1 10. The gas distribution plate assembly 118 is typically configured to substantially follow the profile of the glass substrate 140, for example, polygonal for large area substrates and circular for wafers. The gas distribution plate assembly 1 18 includes a perforated area 1 16 through which process and other gases supplied from the gas source 104 are delivered to the process volume 112. The perforated area 116 of the gas distribution plate assembly 1 18 is configured to provide uniform distribution of gases passing through the gas distribution plate assembly 1 18 into the processing chamber 102. One gas distribution plate that may be adapted to benefit from the invention is described in United States Patent Application Serial Nos. 09/922,219, filed August 8, 2001 by Keller et al.; 10/140,324, filed May 6, 2002; and 10/337,483, filed January 7, 2003 by Blonigan et al.; and United States Patent No. 6,477,980, issued November 12, 2002 to White et al., which are hereby incorporated by reference in their entireties. [0032] The gas distribution plate assembly 1 18 typically includes a diffuser plate 158 suspended from a hanger plate 160. The diffuser plate 158 and hanger plate 160 may alternatively comprise a single unitary member (as shown by the gas distribution plate assembly 300 depicted in Figure 3). A plurality of gas passages 162 are formed through the diffuser plate 158 to allow a predetermined distribution of gas passing through the gas distribution plate assembly 1 18 and into the process volume 1 12. The hanger plate 160 maintains the diffuser plate 158 and the interior surface 120 of the lid assembly 1 10 in a spaced-apart relation, thus defining a plenum 164 therebetween. The plenum 164 allows gases flowing through the lid assembly 1 10 to uniformly distribute across the width of the diffuser plate 158 so that gas is provided uniformly above the center perforated area 1 16 and flows with a uniform distribution through the gas passages 162.
[0033] The hanger plate 160 is typically fabricated from stainless steel, aluminum, or nickel or other RF conductive material. The hanger plate 160 includes a central aperture 166 that facilitates unobstructed gas flow through the hanger plate 160 from the gas entry port 180 formed in the lid assembly 110 and through the gas passages 162 of the diffuser plate 158. The hanger plate 160 generally provides a mounting surface for coupling the diffuser plate 158 to the lid assembly 1 10 or chamber walls 106. [0034] The diffuser plate 158 is typically fabricated from stainless steel, aluminum, nickel or other RF conductive material. The diffuser plate 158 is configured with a thickness that maintains sufficient flatness across the aperture 166 as not to adversely affect substrate processing. In one embodiment the diffuser plate 158 has a thickness of about 1.2 inches thick. [0035] Figure 2 is a partial sectional view of the diffuser plate 158. The diffuser plate 158 includes a first or upstream side 202 facing the lid assembly 1 10 and an opposing second or downstream side 204 that faces the support assembly 138. In one embodiment, the diffuser plate 158 is fabricated from aluminum and anodized on at least the downstream side 204. Anodization on the downstream side 204 has been found to enhance plasma uniformity. The upstream side 202 may be optionally free from anodization to limit the absorption of fluorine during cleaning, which may later be released during processing and become a source of contamination. [0036] In one embodiment, each gas passage 162 is defined by a first bore 210 coupled by an orifice hole 214 to a second bore 212 that combine to form a fluid path through the gas distribution plate 158. The first bore 210 extends a first depth 230 from the upstream side 202 of the gas distribution plate 158 to a bottom 218. The bottom 218 of the first bore 210 may be tapered, beveled, chamfered or rounded to minimize the flow restriction as gases flow from the first bore into the orifice hole 210. The first bore 210 generally has a diameter of about 0.093 to about 0.218 inches, and in one embodiment is about 0.156 inches.
[0037] The second bore 212 is formed in the diffuser plate 158 and extends from the downstream side 204 to a depth 232 of about 0.250 to about 0.375 inches. The diameter of the second bore 212 is generally about 0.187 to about 0.375 inches and may be flared at an angle 216 of about 22 to at least about 35 degrees. In one embodiment, the second bore 212 has a diameter of 0.320 inches and the flare angle 216 is about 35 degrees. In another embodiment, a distance 280 between rims 282 of adjacent second bores 212 is about 25 to about 85 mils. The diameter of the first bore 210 is usually, but not limited to, being at least equal to or smaller than the diameter of the second bore 212. A bottom 220 of the second bore 212 may be tapered, beveled, chamfered or rounded to minimize the pressure loss of gases flowing out from the orifice hole 214 and into the second bore 212. Moreover, as the proximately of the orifice hole 214 to the downstream side 204 of the serves to minimize the exposed surface area of the second bore 212 and the downstream side 204 that face the substrate, the downstream area of the diffuser plate 158 exposed to fluorine provided during chamber cleaning is reduced, thereby reducing the occurrence of fluorine contamination of deposited films.
[0038] The orifice hole 214 generally couples the bottom 218 of the first hole 210 and the bottom 220 of the second bore 212. The orifice hole generally has a diameter of about 0.25 to about 0.76 mm (about 0.02 to about 0.3 inches), and typically has a length 234 of about 0.040 to about 0.085 inches. The length 234 and diameter (or other geometric attribute) of the orifice hole 214 is the primary source of back pressure in the plenum 164 which promotes even distribution of gas across the upstream side 202 of the gas distribution plate 158. The orifice hole 214 is typically configured uniformly among the plurality of gas passages 162, however, the restriction through the orifice hole 214 may be configured differently among the gas passages 162 to promote more gas flow through one area of the gas distribution plate 158 relative to another area. For example, the orifice hole 214 may have a larger diameter and/or a shorter length 234 in those gas passages 262 closer to a perimeter 206 of the gas distribution plate 158 so that more gas flows through the edges of the perforated area 116 to increase the deposition rate at the perimeter of the glass substrate. [0039] As the orifice hole 214 is relatively short in length 234 and positioned between the two larger diameter bores 210, 212, the orifice hole 214 may be efficiently fabricated in the gas distribution plate 158 with minimal probability of drill breakage. Thus, the gas distribution plate 158 of the present invention may be fabricated at a reduced cost as compared to conventional gas distribution plates where the expense of drill breakage and extraction from the distribution plate is a common occurrence over the thousands the gas passages formed in the perforated area. Moreover, as the surface area of the upstream side 202 of the gas distribution plate 158 directly exposed to cleaning agents entering through the lid assembly 110 is considerably less than conventional gas distribution plates having gas flow orifices formed directly in the upstream side of the plate, anodized gas distribution plates 158 have a diminished propensity for retaining fluorine over the course of cleaning cycles, thereby reducing the amount of fluorine that may be released during processing.
[0040] The total restriction provided by the orifice holes 214 directly effect the backpressure upstream of the diffuser plate 158, and accordingly should be configured to prevent re-combination of disassociated fluorine utilized during cleaning. In that regards, the orifice hole diameter should be balanced against the number of holes. While the orifice hole diameter may be increase to allow fewer holes to be utilized and the realization of diminished manufacturing costs, the spacing between the rims 282 of adjacent second bores 212 may be selected in the lower range of between about 25 to about 50 mils to achieve deposition uniformity performance comparable to conventional diffuser plates having greater gas flow hole density. [0041] In the embodiment depicted in Figure 2, the hanger plate 160 and the diffuser plate 158 may be coupled in a manner that facilitates thermal expansion and contraction of the diffuser plate 158 without warpage, distortion or adversely stressing the diffuser plate 158 in a manner that would affect the uniformity of gas flow through the gas distribution plate assembly 1 18. In one embodiment, the hanger plate 160 is a polygonal frame that includes a first flange 264 that extends outward from a main body 262 and a second flange 260 that extends inward in the opposite direction of the first flange 264. Alternatively, the hanger plate 160 may be a flanged cylinder. The first flange 264 includes a plurality of mounting holes 266, each of which aligning with a threaded hole 278 formed in the lid assembly 110. Vented fasteners 268 are respectively passed through the mounting hole 266 and threaded into the threaded hole 278 to secure the hanger plate 160 to the lid assembly 1 10.
[0042] The second flange 260 includes a plurality of holes 270 formed therein that respectively retain a dowel pin 244. The dowel pins 244 (one is shown in Figure 2) extend upward from the second flange 260 toward the first flange 262 and the interior surface 120 of the lid assembly 1 10. Holes or slots 246 formed through the diffuser plate 158 are adapted to respectively accept a pin 244.
[0043] Referring additionally to the partial sectional top view of the hanger plate 160 shown in Figure 4, the slots 246 in the diffuser plate 158 are large enough with respect to the dowel pins 244 to allow the diffuser plate 158 to move relative to the dowel pins 244, thereby facilitating compensation for differences in thermal expansion between the diffuser plate 158. The hanger plate 160 and the lid assembly 1 10. As depicted in Figure 4, the slots 246 are typically oriented along each side of the diffuser plate 158 in orthogonal directions to accommodate expansion of the plate assembly 1 18 along two axes. Alternatively, the slots 246 may be configured radially for circular gas distribution plates. Thus, as the distribution plate assembly 1 18 heats and cools, the diffuser plate 158 is free to move relative to the lid assembly 1 10 and thereby remains free from warpage or other stresses that may cause the distribution plate assembly 1 18 to distort or otherwise alter the pattern of gases flowing through the distribution plate assembly 1 18. Alternatively, slots may be formed in the hanger plate 160 to accept pins extending from the diffuser plate 158.
[0044] Figure 5 is a partial sectional view of another embodiment of a gas distribution plate assembly 500. The gas distribution plate assembly 500 includes a hanger plate 160 and a diffuser plate assembly 502 mounted to a lid assembly 1 10 similar to as described above. The diffuser plate assembly 502 includes a tuning plate 504 coupled to a diffuser plate 506. A plurality of gas passages 508 are formed through the tuning plate 504 and diffuser plate 506 to distribute gases from a plenum 510 defined between the gas distribution plate assembly 500 and the lid assembly 1 10 to the processing area 512 of a processing chamber. [0045] The gas passages 508 are configured similar to the gas passages 162 described above, except that an upstream portion of each gas passage 508 is formed through the tuning plate 504 while a downstream portion is formed in the diffuser plate 506. For example, at least a portion of a first bore 520 is formed in the tuning plate 504 while at least a portion of a second bore 522 is formed in the diffuser plate 506. An orifice hole 524 that fluidly couples the first and second bores 520, 522 may be formed at least partially in at least one of the tuning plate 504 or the diffuser plate 506. [0046] In the embodiment depicted in Figure 5, the first bore 520 is formed through the tuning plate 504 and partially in the diffuser plate 506. The second bore 522 and orifice hole 524 are formed the diffuser plate 506. Fabrication of the bores and holes 520, 522, 524 separately in each plate 504, 506 allows for more efficient fabrication as drilled length and depth (i.e, position within a plate) of the orifice hole 524 is minimized, further reducing the occurrence of drill bit breakage, thus further reducing fabrication costs. [0047] A plurality of locating features 546 are disposed between the tuning plate 504 and the diffuser plate 506 to ensure mating and alignment of the portions of the gas passages 508 formed in the tuning plate 504 and the diffuser plate 506. In one embodiment, the locating features 546 are a plurality of locating pins 544 (one of which is shown) disposed between the tuning plate 504 and the diffuser plate 506. In the embodiment depicted in Figure 5, the locating pins 544 extend from the diffuser plate 506 and engage a mating bushing 542 that is press-fit in an opening through the tuning plate 504. The pins 544 may be positioned so that alignment of the gas passages 508 and predefined orientation between the tuning plate 504 and diffuser plate 506 relative to the lid assembly 110 is assured. The tuning plate 504 and diffuser plate 506 may be fastened together in any number of manners, including fasteners, rivets, screws, brazing, welding, adhesives, clamps and the like.
[0048] Figure 6 is partial sectional view of another embodiment of a diffuser distribution plate assembly 650 that includes a plurality of gas passages 660 that are formed through a tuning plate 652 and a diffuser plate 654, where the turning plate 652 is removably fastened to the diffuser plate 654. In the embodiment depicted in Figure 6, the tuning plate 652 and diffuser plate 654 are coupled at regular intervals by a breakaway fastener system 600 (one is shown in Figure 6). The gas passages 660 are configured similar to the gas passages 508 described above. [0049] Each of the breakaway fastener systems 600 includes a fastener 602 and a mating nut 604, both of which are typically fabricated from aluminum or other suitable material. In applications where it is advantageous to use aluminum fasteners to minimize fastener material effects on processing, the breakaway fastener system 600 allows the tuning plate 652 and diffuser plate 654 to be separated where traditional aluminum fasteners would seize, requiring removal and re-threading of components. This allows the tuning plate 652 to be changed to alter the flow characteristics of the gas passages 660 thereby allowing the distribution plate assembly 650 to be tailored for a given process without having to change the entire assembly. This feature is discussed in detail in the previously incorporated United States Patent Application Serial No. 10/337,483, filed January 7, 2003 by Blonigan et al. (attorney dkt. no 7651 ). [0050] In one embodiment, the fastener 602 has a head 606, a shank 608 and a threaded portion 610. The head 606 is typically disposed in a counterbore 612 formed in a top surface 614 of the tuning plate 652. A hole 616 is formed through the tuning plate 652 concentrically to the counterbore 612 to accept the shank 608 of the fastener 602. The shank 608 passes through a hole 618 formed through the diffuser plate 654 that aligns concentrically with the hole 616. The shank 608 typically includes a necked portion 620 adapted to shear when the fastener 602 is subjected to a torque in excess of a predetermined amount. [0051] The nut 604 is typically disposed in a slot 622 formed in a downstream side 624 of the diffuser plate 654 opposite the tuning plate 652. The slot 624 is in communication with the hole 618 formed through the diffuser plate 654. The shank 608 passes through the holes 616, 618 to expose the threaded portion 610 in the slot 622. The nut 602 disposed in the slot 622 is mated with the threaded portion 610 of the fastener 602. The slot 622 is configured to prevent the nut 604 from turning as the fastener 602 is tightened to urge the plates 652, 654 against each other. Additionally, the two-plate configuration of the diffuser plate assembly 650 further facilitates economical manufacture of the gas passages 660 by substantially decreasing the distance required to form the orifice hole 694 during fabrication, thereby further reducing the occurrence of drill breakage during fabrication. [0052] Thus, a gas distribution plate assembly has been provided that is economical to fabricate. Furthermore, the gas distribution plate assembly provided advantageously allows gas flow characteristics to be adjusted by varying orifice hole configurations across the width of the plate and/or by one plate of the assembly. [0053] Although several preferred embodiments which incorporate the teachings of the present invention have been shown and described in detail, those skilled in the art can readily devise many other varied embodiments that still incorporate these teachings.

Claims

What is claimed is:
1. A gas distribution plate assembly for a processing chamber, comprising: a diffuser plate having an upstream side and a downstream side; and a plurality of gas passages passing between the upstream and downstream sides of the diffuser plate, wherein at least one of the gas passages comprises: a first hole extending from the upstream side and having a first diameter; a second hole concentric with the first hole extending from the downstream side and having a second diameter; and an orifice fluidly coupling the first hole and the second hole and having a diameter less than the first and second holes.
2. The gas distribution plate assembly of claim 1 , wherein the second hole is flared.
3. The gas distribution plate assembly of claim 2, wherein the second hole is flared about 22 to at least about 35 degrees.
4. The gas distribution plate assembly of claim 1 , wherein upstream surface non- anodized aluminum and downstream surface is anodized.
5. The gas distribution plate assembly of claim 1 , wherein the diffuser plate further comprises: a first plate having at least a portion of the first hole of the gas passage formed therein; and a second plate coupled to the first plate and having at least a portion of the second hole of the gas passage formed therein.
6. The gas distribution plate assembly of claim 1 further comprising: a hanger plate having a substantially polygonal aperture and adapted to support the diffuser plate in a processing chamber.
7. The gas distribution plate assembly of claim 6 further comprising: a plurality of pins extending between the hanger plate and diffuser plate, at least one of the pins cooperating with a slot formed in one of the hanger plate or diffuser plate in a manner that accommodates differences in thermal expansion.
8. The gas distribution plate assembly of claim 1 , wherein the diffuser plate is polygonal.
9. The gas distribution plate assembly of claim 1 , wherein at least one of the orifice holes formed through the diffuser plate has a flow restricting attribute different than at least one of the other orifice holes.
10. A gas distribution plate assembly for a processing chamber, comprising: a diffuser plate assembly having an aluminum upstream side and a downstream side; and a plurality of gas passages passing between the upstream and downstream sides of the diffuser plate assembly, wherein at least one of the gas passages comprises: a first hole extending from the upstream side; an orifice hole fluidly coupled to a bottom of the first hole; and a flared second hole extending from the orifice hole to the downstream side, wherein a diameter of the orifice hole is less than the first and second holes.
1 1. The gas distribution plate assembly of claim 10, wherein the bottom of the first hole is at least one of tapered, beveled, rounded or chamfered.
12. The gas distribution plate assembly of claim 10, wherein the second hole is flared about 22 to at least about 35 degrees.
13. The gas distribution plate assembly of claim 10, wherein the downstream surface has an anodized coating and the upstream surface is un-anodized aluminum.
14. The gas distribution plate assembly of claim 10, wherein the downstream and upstream surfaces have an anodized coating.
15. The gas distribution plate assembly of claim 10, wherein the diffuser plate assembly further comprises: a first plate having at least a portion of the first hole of the gas passage formed therein; a second plate coupled to the first plate and having at least a portion of the second hole of the gas passage formed therein.
16. The gas distribution plate assembly of claim 10 further comprising: a hanger plate having inwardly extending flange defining a substantially polygonal aperture, wherein the flange of the hanger plate is adapted to support the diffuser plate assembly.
17. The gas distribution plate assembly of claim 16 further comprising: a plurality of pins extending between the hanger plate and diffuser plate, at least one of the pins positioned within a slot formed in one of the hanger plate or diffuser plate.
18. The gas distribution plate assembly of claim 10, wherein the diffuser plate is polygonal.
19. The gas distribution plate assembly of claim 18, wherein at least one of the orifice holes formed through the diffuser plate has a flow restricting attribute different than at least one of the other orifice holes.
20. A gas distribution plate assembly for a processing chamber, comprising: a polygonal aluminum diffuser plate assembly having a lower plate disposed against an upper plate, an upstream side of the diffuser plate assembly defined in the upper plate and a downstream side of the diffuser plate assembly defined in the lower plate; and a plurality of gas passages passing between the upstream and downstream sides of a center region of the diffuser plate, wherein at least one of the gas passages comprises: a first hole extending from the upstream side; a flared second hole concentric with the first hole extending from the downstream side and having a diameter at least about equal to or greater than the diameter of the first hole; and an orifice hole coupling the first and second holes and having a diameter less than the first hole.
21. The gas distribution plate assembly of claim 20, wherein a spacing between flared edges of adjacent second holes is about 25 mils.
22. The gas distribution plate assembly of claim 20, wherein the upstream side and the downstream side of the diffuser plate assembly define a thickness about of at least about 1.2 inches.
23. The gas distribution plate assembly of claim 20, wherein the first hole extending from the upstream side of the diffuser plate assembly has a diameter of about 0.093 to about 0.218 inches.
PCT/US2004/011477 2003-04-16 2004-04-14 Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition WO2004094693A2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN2004800052710A CN1754008B (en) 2003-04-16 2004-04-14 Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
JP2005518601A JP2006515039A (en) 2003-04-16 2004-04-14 Gas distribution plate assembly for large area plasma chemical vapor deposition

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/417,592 2003-04-16
US10/417,592 US6942753B2 (en) 2003-04-16 2003-04-16 Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition

Publications (2)

Publication Number Publication Date
WO2004094693A2 true WO2004094693A2 (en) 2004-11-04
WO2004094693A3 WO2004094693A3 (en) 2005-02-10

Family

ID=33158943

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2004/011477 WO2004094693A2 (en) 2003-04-16 2004-04-14 Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition

Country Status (6)

Country Link
US (1) US6942753B2 (en)
JP (2) JP2006515039A (en)
KR (1) KR100696021B1 (en)
CN (2) CN1754008B (en)
TW (1) TWI276701B (en)
WO (1) WO2004094693A2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008535203A (en) * 2005-04-05 2008-08-28 松下電器産業株式会社 Gas shower plate for plasma processing equipment
JP2010077537A (en) * 2003-04-16 2010-04-08 Applied Materials Inc Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition

Families Citing this family (550)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
WO2004015165A1 (en) * 2002-08-08 2004-02-19 Trikon Technologies Limited Improvements to showerheads
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US20050098106A1 (en) * 2003-11-12 2005-05-12 Tokyo Electron Limited Method and apparatus for improved electrode plate
US20050103265A1 (en) * 2003-11-19 2005-05-19 Applied Materials, Inc., A Delaware Corporation Gas distribution showerhead featuring exhaust apertures
JP4231417B2 (en) * 2004-01-07 2009-02-25 パナソニック株式会社 Substrate processing apparatus and cleaning method thereof
JP4698251B2 (en) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド Movable or flexible shower head mounting
US20050220568A1 (en) * 2004-03-31 2005-10-06 Tokyo Electron Limited Method and system for fastening components used in plasma processing
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US20050241767A1 (en) * 2004-04-30 2005-11-03 Ferris David S Multi-piece baffle plate assembly for a plasma processing system
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US20060011582A1 (en) * 2004-07-14 2006-01-19 Savas Stephen E Fast isotropic etching system and process for large, non-circular substrates
KR20060014495A (en) * 2004-08-11 2006-02-16 주식회사 유진테크 Shower head of chemical vapor deposition apparatus
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US20060090773A1 (en) * 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
US20060185590A1 (en) * 2005-02-18 2006-08-24 General Electric Company High temperature chemical vapor deposition apparatus
US20060185591A1 (en) * 2005-02-18 2006-08-24 General Electric Company High temperature chemical vapor deposition apparatus
JP4654738B2 (en) * 2005-04-05 2011-03-23 パナソニック株式会社 Plasma processing equipment
KR100629358B1 (en) * 2005-05-24 2006-10-02 삼성전자주식회사 Shower head
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
US8709162B2 (en) * 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
US20070044714A1 (en) * 2005-08-31 2007-03-01 Applied Materials, Inc. Method and apparatus for maintaining a cross sectional shape of a diffuser during processing
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
US20070084408A1 (en) * 2005-10-13 2007-04-19 Applied Materials, Inc. Batch processing chamber with diffuser plate and injector assembly
US20070084406A1 (en) * 2005-10-13 2007-04-19 Joseph Yudovsky Reaction chamber with opposing pockets for gas injection and exhaust
KR100752622B1 (en) * 2006-02-17 2007-08-30 한양대학교 산학협력단 Apparatus for generating remote plasma
US20070254112A1 (en) * 2006-04-26 2007-11-01 Applied Materials, Inc. Apparatus and method for high utilization of process chambers of a cluster system through staggered plasma cleaning
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US8475625B2 (en) * 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US7811085B2 (en) * 2006-05-04 2010-10-12 Honeywell International Inc. Gas preheater for chemical vapor processing furnace
US7771194B2 (en) * 2006-05-26 2010-08-10 Honeywell International Inc. Gas preheater for chemical vapor processing furnace having circuitous passages
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
US8702866B2 (en) * 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
JP4954734B2 (en) * 2007-01-30 2012-06-20 東京エレクトロン株式会社 Substrate processing apparatus and gas supply method
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
US8034410B2 (en) 2007-07-17 2011-10-11 Asm International N.V. Protective inserts to line holes in parts for semiconductor process equipment
US7807222B2 (en) * 2007-09-17 2010-10-05 Asm International N.V. Semiconductor processing parts having apertures with deposited coatings and methods for forming the same
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
WO2009057583A1 (en) * 2007-10-31 2009-05-07 Tohoku University Plasma processing system and plasma processing method
JP5150217B2 (en) * 2007-11-08 2013-02-20 東京エレクトロン株式会社 Shower plate and substrate processing apparatus
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US20090194021A1 (en) * 2008-02-01 2009-08-06 Scott Snodgrass Dispenser for a coating apparatus having reduced sag
US8066895B2 (en) * 2008-02-28 2011-11-29 Applied Materials, Inc. Method to control uniformity using tri-zone showerhead
EP2283510B1 (en) * 2008-05-02 2013-01-23 Oerlikon Solar AG, Trübbach Plasma treatment apparatus and method for plasma-assisted treatment of substrates
EP2291849A2 (en) 2008-05-13 2011-03-09 Nanoink, Inc. Height sensing cantilever
JP5520455B2 (en) * 2008-06-11 2014-06-11 東京エレクトロン株式会社 Plasma processing equipment
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US9493875B2 (en) * 2008-09-30 2016-11-15 Eugene Technology Co., Ltd. Shower head unit and chemical vapor deposition apparatus
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
DE102008044024A1 (en) * 2008-11-24 2010-05-27 Robert Bosch Gmbh Coating method and coating device
WO2010065473A2 (en) * 2008-12-01 2010-06-10 Applied Materials, Inc. Gas distribution blocker apparatus
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8402918B2 (en) 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8147614B2 (en) * 2009-06-09 2012-04-03 Applied Materials, Inc. Multi-gas flow diffuser
CN105088191B (en) * 2009-07-15 2018-07-13 应用材料公司 The fluid control features structure of CVD chambers
US8657959B2 (en) * 2009-07-31 2014-02-25 E I Du Pont De Nemours And Company Apparatus for atomic layer deposition on a moving substrate
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWM412457U (en) * 2009-09-18 2011-09-21 Lam Res Corp Showerhead electrode for use in a plasma reaction chamber and showerhead electrode assembly
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
JP3160877U (en) 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation End-clamping and machine-fixed inner electrode of showerhead electrode assembly
TWI394986B (en) * 2009-11-09 2013-05-01 Global Material Science Co Ltd Diffuser structure and manufacturing method thereof
CN102064082B (en) * 2009-11-13 2014-11-05 世界中心科技股份有限公司 Diffusion plate structure and manufacturing method thereof
JP5721132B2 (en) * 2009-12-10 2015-05-20 オルボテック エルティ ソラー,エルエルシー Shower head assembly for vacuum processing apparatus and method for fastening shower head assembly for vacuum processing apparatus to vacuum processing chamber
EP2360292B1 (en) 2010-02-08 2012-03-28 Roth & Rau AG Parallel plate reactor for uniform thin film deposition with reduced tool foot-print
US20110198034A1 (en) * 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
CN102918180B (en) 2010-05-21 2014-12-17 应用材料公司 Tightly fitted ceramic insulator on large area electrode
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8721791B2 (en) * 2010-07-28 2014-05-13 Applied Materials, Inc. Showerhead support structure for improved gas flow
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9109754B2 (en) * 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
KR20140092892A (en) * 2011-11-08 2014-07-24 어플라이드 머티어리얼스, 인코포레이티드 Precursor distribution features for improved deposition uniformity
KR101361711B1 (en) * 2011-12-30 2014-02-12 엘아이지에이디피 주식회사 Supporting unit for supporting a processing chamber and apparatus for processing a substrate including the same
US20130273239A1 (en) 2012-03-13 2013-10-17 Universal Display Corporation Nozzle design for organic vapor jet printing
KR101441478B1 (en) 2012-07-09 2014-09-17 주식회사 에스에프에이 Chemical Vapor Deposition Apparatus for Flat Display
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
KR101488878B1 (en) * 2012-12-28 2015-02-04 주식회사 에스에프에이 Gas distribution assembly
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US10403521B2 (en) * 2013-03-13 2019-09-03 Applied Materials, Inc. Modular substrate heater for efficient thermal cycling
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
CN104099583B (en) * 2013-04-09 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 A kind of air intake installation, reaction chamber and plasma processing device
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US20150011025A1 (en) * 2013-07-03 2015-01-08 Tsmc Solar Ltd. Enhanced selenium supply in copper indium gallium selenide processes
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
TW201517112A (en) * 2013-10-09 2015-05-01 Applied Materials Inc Multizone hollow cathode discharge system with coaxial and azimuthal symmetry and with consistent central trigger
US20150111394A1 (en) * 2013-10-23 2015-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming uniform film on semiconductor substrate
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9484190B2 (en) * 2014-01-25 2016-11-01 Yuri Glukhoy Showerhead-cooler system of a semiconductor-processing chamber for semiconductor wafers of large area
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
WO2015116245A1 (en) * 2014-01-30 2015-08-06 Applied Materials, Inc. Gas confiner assembly for eliminating shadow frame
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
CN104952760A (en) * 2014-03-24 2015-09-30 北京北方微电子基地设备工艺研究中心有限责任公司 Intake device and semiconductor processing equipment
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) * 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
DE102015110440A1 (en) * 2014-11-20 2016-05-25 Aixtron Se CVD or PVD reactor for coating large-area substrates
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
JP6426298B2 (en) * 2015-10-19 2018-11-21 東芝三菱電機産業システム株式会社 Film deposition system
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
TWI733712B (en) * 2015-12-18 2021-07-21 美商應用材料股份有限公司 A diffuser for a deposition chamber and an electrode for a deposition chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR102589743B1 (en) * 2016-06-10 2023-10-17 주식회사 뉴파워 프라즈마 Plasma chamber having gas distribution plate for uniform gas distribution
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR101855654B1 (en) * 2016-12-23 2018-05-08 주식회사 테스 Large sized showerhead assembly
EP3560301B1 (en) * 2016-12-23 2021-01-20 Plasmatreat GmbH Nozzle arrangement and device for generating an atmospheric plasma jet
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US20180340257A1 (en) * 2017-05-25 2018-11-29 Applied Materials, Inc. Diffuser for uniformity improvement in display pecvd applications
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11380557B2 (en) * 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11598003B2 (en) * 2017-09-12 2023-03-07 Applied Materials, Inc. Substrate processing chamber having heated showerhead assembly
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
JP7206265B2 (en) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. Equipment with a clean mini-environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (en) 2018-02-28 2021-01-21 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
US20190304756A1 (en) * 2018-04-03 2019-10-03 Applied Materials, Inc. Semiconductor chamber coatings and processes
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (en) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102576220B1 (en) 2018-06-22 2023-09-07 삼성디스플레이 주식회사 Thin Film Processing Appartus and Method
CN112292478A (en) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 Cyclic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11286565B2 (en) * 2018-12-13 2022-03-29 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Apparatus and method for semiconductor fabrication
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
JP2020136678A (en) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー Method for filing concave part formed inside front surface of base material, and device
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
JP2020167398A (en) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (en) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 Liquid level sensor for chemical source container
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210078405A (en) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
TW202212623A (en) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 Method of forming metal silicon oxide layer and metal silicon oxynitride layer, semiconductor structure, and system
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
TW202235675A (en) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 Injector, and substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023043453A1 (en) * 2021-09-17 2023-03-23 Applied Materials, Inc. One side anodization of diffuser

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6024799A (en) * 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
US6132512A (en) * 1997-01-08 2000-10-17 Ebara Corporation Vapor-phase film growth apparatus and gas ejection head
EP1118693A2 (en) * 2000-01-20 2001-07-25 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US20030019580A1 (en) * 2000-03-30 2003-01-30 Strang Eric J. Method of and apparatus for tunable gas injection in a plasma processing system

Family Cites Families (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US45361A (en) * 1864-12-06 Improvement in magazine or self-loading fire-arms
US553461A (en) * 1896-01-21 Chain geae case for cycles
US463601A (en) * 1891-11-17 Brick-kiln
US594186A (en) * 1897-11-23 walter
US17243A (en) * 1857-05-05 Blind-fastening
US370371A (en) * 1887-09-27 Device for bending metal beams or bars
US670A (en) * 1838-04-02 Improved mode of forming raised surfaces for printing on paper, calico
US580505A (en) * 1897-04-13 potter
US129769A (en) * 1872-07-23 Improvement in wagon-beds
US594187A (en) * 1897-11-23 Belt-shipper
US579819A (en) * 1897-03-30 Automatic feeding device for cigarette-machines
US465233A (en) * 1891-12-15 Commutator connection for dynamo-electric machines
US679843A (en) * 1901-06-06 1901-08-06 Benjamin Holland Means for holding loose members of machines upon ends of studs or shafts.
US957681A (en) * 1909-10-11 1910-05-10 Andrew Theodore John Keyed finger-board for violins and similar stringed musical instruments.
US4262631A (en) 1979-10-01 1981-04-21 Kubacki Ronald M Thin film deposition apparatus using an RF glow discharge
JPS59128281A (en) 1982-12-29 1984-07-24 信越化学工業株式会社 Manufacture of silicon carbide coated matter
JPH07111957B2 (en) 1984-03-28 1995-11-29 圭弘 浜川 Semiconductor manufacturing method
US4759947A (en) 1984-10-08 1988-07-26 Canon Kabushiki Kaisha Method for forming deposition film using Si compound and active species from carbon and halogen compound
JPS6213573A (en) * 1985-07-10 1987-01-22 Fujitsu Ltd Cvd device
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US5871811A (en) 1986-12-19 1999-02-16 Applied Materials, Inc. Method for protecting against deposition on a selected region of a substrate
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
GB8907007D0 (en) * 1989-03-28 1989-05-10 Tate & Lyle Plc Sucralose compositions
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US4792378A (en) 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
JPH04115531A (en) * 1990-09-05 1992-04-16 Mitsubishi Electric Corp Chemical vapor growth device
US5304248A (en) * 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
US5238866A (en) 1991-09-11 1993-08-24 GmbH & Co. Ingenieurburo Berlin Biotronik Mess- und Therapiegerate Plasma enhanced chemical vapor deposition process for producing an amorphous semiconductive surface coating
TW347149U (en) 1993-02-26 1998-12-01 Dow Corning Integrated circuits protected from the environment by ceramic and barrier metal layers
KR100324792B1 (en) 1993-03-31 2002-06-20 히가시 데쓰로 Plasma processing apparatus
US5465680A (en) 1993-07-01 1995-11-14 Dow Corning Corporation Method of forming crystalline silicon carbide coatings
JPH0766138A (en) * 1993-08-30 1995-03-10 Mitsubishi Heavy Ind Ltd Plasma cvd system
KR950020993A (en) * 1993-12-22 1995-07-26 김광호 Semiconductor manufacturing device
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
JP2726005B2 (en) * 1994-07-20 1998-03-11 株式会社ジーティシー Film forming apparatus and film forming method
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5818071A (en) 1995-02-02 1998-10-06 Dow Corning Corporation Silicon carbide metal diffusion barrier layer
JP3360098B2 (en) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 Shower head structure of processing equipment
US5780163A (en) 1996-06-05 1998-07-14 Dow Corning Corporation Multilayer coating for microelectronic devices
US5846332A (en) * 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5711987A (en) 1996-10-04 1998-01-27 Dow Corning Corporation Electronic coatings
US5776235A (en) 1996-10-04 1998-07-07 Dow Corning Corporation Thick opaque ceramic coatings
US5730792A (en) 1996-10-04 1998-03-24 Dow Corning Corporation Opaque ceramic coatings
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
JP3710587B2 (en) * 1997-02-17 2005-10-26 株式会社荏原製作所 Gas injection head
JPH10195661A (en) * 1997-01-08 1998-07-28 Ebara Corp Vapor growth device
JPH10226887A (en) * 1997-02-17 1998-08-25 Ebara Corp Gas injection head
JPH10226885A (en) * 1997-02-17 1998-08-25 Ebara Corp Gas injection head
JP3702068B2 (en) 1997-04-09 2005-10-05 東京エレクトロン株式会社 Substrate processing equipment
KR100469047B1 (en) * 1997-04-11 2005-01-31 동경 엘렉트론 주식회사 Processing System, Upper Electrode Unit and Method of Use of an Upper Electrode, and Electrode Unit and Method of Manufacturing the Electrode unit
JP2001525997A (en) 1997-05-20 2001-12-11 東京エレクトロン株式会社 Processing equipment
US6080446A (en) 1997-08-21 2000-06-27 Anelva Corporation Method of depositing titanium nitride thin film and CVD deposition apparatus
US6140226A (en) 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6593247B1 (en) * 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
JP4147608B2 (en) * 1998-03-06 2008-09-10 東京エレクトロン株式会社 Heat treatment equipment
US6126753A (en) 1998-05-13 2000-10-03 Tokyo Electron Limited Single-substrate-processing CVD apparatus and method
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6230651B1 (en) 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6263829B1 (en) 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
JP3645768B2 (en) * 1999-12-07 2005-05-11 シャープ株式会社 Plasma process equipment
US6366450B1 (en) * 1999-12-09 2002-04-02 Gateway, Inc. Hideaway integrated docking cradle
US6772827B2 (en) 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
KR100406174B1 (en) 2000-06-15 2003-11-19 주식회사 하이닉스반도체 Showerhead used chemically enhanced chemical vapor deposition equipment
US6461435B1 (en) * 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
US6641673B2 (en) * 2000-12-20 2003-11-04 General Electric Company Fluid injector for and method of prolonged delivery and distribution of reagents into plasma
JP4669137B2 (en) * 2001-02-16 2011-04-13 東京エレクトロン株式会社 Dividable electrode and plasma processing apparatus using the electrode
CN1302152C (en) * 2001-03-19 2007-02-28 株式会社Ips Chemical vapor depositing apparatus
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US7008484B2 (en) 2002-05-06 2006-03-07 Applied Materials Inc. Method and apparatus for deposition of low dielectric constant materials
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US20040173313A1 (en) * 2003-03-03 2004-09-09 Bradley Beach Fire polished showerhead electrode
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6132512A (en) * 1997-01-08 2000-10-17 Ebara Corporation Vapor-phase film growth apparatus and gas ejection head
US6024799A (en) * 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
EP1118693A2 (en) * 2000-01-20 2001-07-25 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US20030019580A1 (en) * 2000-03-30 2003-01-30 Strang Eric J. Method of and apparatus for tunable gas injection in a plasma processing system

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010077537A (en) * 2003-04-16 2010-04-08 Applied Materials Inc Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
JP2008535203A (en) * 2005-04-05 2008-08-28 松下電器産業株式会社 Gas shower plate for plasma processing equipment
JP4746620B2 (en) * 2005-04-05 2011-08-10 パナソニック株式会社 Gas shower plate for plasma processing equipment
US8757090B2 (en) 2005-04-05 2014-06-24 Panasonic Corporation Gas shower plate for plasma processing apparatus

Also Published As

Publication number Publication date
CN102443783A (en) 2012-05-09
JP2010077537A (en) 2010-04-08
TW200500492A (en) 2005-01-01
WO2004094693A3 (en) 2005-02-10
CN1754008A (en) 2006-03-29
TWI276701B (en) 2007-03-21
US6942753B2 (en) 2005-09-13
US20040206305A1 (en) 2004-10-21
JP5302865B2 (en) 2013-10-02
CN102443783B (en) 2014-04-30
KR100696021B1 (en) 2007-03-16
KR20050096111A (en) 2005-10-05
JP2006515039A (en) 2006-05-18
CN1754008B (en) 2012-01-11

Similar Documents

Publication Publication Date Title
US6942753B2 (en) Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US7270713B2 (en) Tunable gas distribution plate assembly
US6827815B2 (en) Showerhead assembly for a processing chamber
US10087524B2 (en) Showerhead support structure for improved gas flow
KR20210103953A (en) Gas distribution assembly and method of using same
JP4541117B2 (en) Gas distribution plate assembly, plasma processing chamber and diffuser plate
US6368450B2 (en) Processing apparatus
KR20020066198A (en) Substrate supporting table, method for manufacturing the same and processing system
EP1167571A2 (en) Showerhead for semiconductor processing chamber
JP5004436B2 (en) Electrostatic adsorption electrode and processing device
US20060032586A1 (en) Reducing electrostatic charge by roughening the susceptor
WO2006020006A1 (en) Shadow frame with mask panels
JP2013519790A (en) Gas distribution showerhead with coating material for semiconductor processing
WO2017074700A1 (en) High productivity pecvd tool for wafer processing of semiconductor manufacturing
US20180340257A1 (en) Diffuser for uniformity improvement in display pecvd applications
CN114787415A (en) High density plasma enhanced chemical vapor deposition chamber
WO2012132575A1 (en) Shower plate, vapor-phase growth apparatus, and vapor-phase growth method
JP7121446B2 (en) High density plasma chemical vapor deposition chamber
KR102224586B1 (en) Coating material for processing chambers
KR20070094413A (en) Plasma enhanced chemical vapor deposition chamber having the shower head free from thermal stress-induced deformation
KR101111042B1 (en) Heating and cooling of substrate support
WO2021257225A1 (en) High temperature face plate for deposition application

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): BW GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2005518601

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 1020057012394

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 20048052710

Country of ref document: CN

WWP Wipo information: published in national office

Ref document number: 1020057012394

Country of ref document: KR

122 Ep: pct application non-entry in european phase