WO2004095499A3 - Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel - Google Patents

Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel Download PDF

Info

Publication number
WO2004095499A3
WO2004095499A3 PCT/US2004/011183 US2004011183W WO2004095499A3 WO 2004095499 A3 WO2004095499 A3 WO 2004095499A3 US 2004011183 W US2004011183 W US 2004011183W WO 2004095499 A3 WO2004095499 A3 WO 2004095499A3
Authority
WO
WIPO (PCT)
Prior art keywords
vessel
channel
reactive gas
plasma source
vacuum vessel
Prior art date
Application number
PCT/US2004/011183
Other languages
French (fr)
Other versions
WO2004095499A2 (en
Inventor
William M Holber
Xing Chen
Andrew B Cowe
Matthew M Besen
Jr Ronald W Collins
Susan C Trulli
Shouqian Shao
Original Assignee
Applied Science & Technology I
William M Holber
Xing Chen
Andrew B Cowe
Matthew M Besen
Jr Ronald W Collins
Susan C Trulli
Shouqian Shao
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Science & Technology I, William M Holber, Xing Chen, Andrew B Cowe, Matthew M Besen, Jr Ronald W Collins, Susan C Trulli, Shouqian Shao filed Critical Applied Science & Technology I
Priority to CN2004800100663A priority Critical patent/CN1774787B/en
Priority to EP04759827.1A priority patent/EP1618588B1/en
Priority to JP2006509918A priority patent/JP5301096B2/en
Publication of WO2004095499A2 publication Critical patent/WO2004095499A2/en
Publication of WO2004095499A3 publication Critical patent/WO2004095499A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature

Abstract

Plasma ignition and cooling apparatus and methods for plasma systems are described. An apparatus (300) includes a vessel (310) and at least one ignition electrode (330) adjacent to the vessel. A total length of a dimension (D) of the at least one ignition electrode is greater than 10% of a length of the vessel's channel. The apparatus can include a dielectric toroidal vessel, a heat sink having multiple segments urged toward the vessel by a spring-loaded mechanism, and a thermal interface between the vessel and the heat sink. A method can include providing a gas having a flow rate and a pressure and directing a portion of the flow rate of the gas into a vessel channel. The gas is ignited in the channel while the remaining portion of the flow rate is directed away from the channel.
PCT/US2004/011183 2003-04-16 2004-04-12 Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel WO2004095499A2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN2004800100663A CN1774787B (en) 2003-04-16 2004-04-12 Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel
EP04759827.1A EP1618588B1 (en) 2003-04-16 2004-04-12 Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel
JP2006509918A JP5301096B2 (en) 2003-04-16 2004-04-12 How to ignite plasma

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/417,408 US6872909B2 (en) 2003-04-16 2003-04-16 Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel
US10/417.408 2003-04-16

Publications (2)

Publication Number Publication Date
WO2004095499A2 WO2004095499A2 (en) 2004-11-04
WO2004095499A3 true WO2004095499A3 (en) 2005-02-10

Family

ID=33158894

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2004/011183 WO2004095499A2 (en) 2003-04-16 2004-04-12 Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel

Country Status (7)

Country Link
US (3) US6872909B2 (en)
EP (3) EP1618588B1 (en)
JP (5) JP5301096B2 (en)
KR (1) KR100810258B1 (en)
CN (3) CN102339717B (en)
TW (3) TWI359628B (en)
WO (1) WO2004095499A2 (en)

Families Citing this family (168)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8779322B2 (en) 1997-06-26 2014-07-15 Mks Instruments Inc. Method and apparatus for processing metal bearing gases
US7569790B2 (en) * 1997-06-26 2009-08-04 Mks Instruments, Inc. Method and apparatus for processing metal bearing gases
US7238266B2 (en) * 2002-12-06 2007-07-03 Mks Instruments, Inc. Method and apparatus for fluorine generation and recirculation
US8053700B2 (en) * 2003-04-16 2011-11-08 Mks Instruments, Inc. Applicators and cooling systems for a plasma device
US7307375B2 (en) * 2004-07-09 2007-12-11 Energetiq Technology Inc. Inductively-driven plasma light source
US7199384B2 (en) * 2004-07-09 2007-04-03 Energetiq Technology Inc. Inductively-driven light source for lithography
US7948185B2 (en) * 2004-07-09 2011-05-24 Energetiq Technology Inc. Inductively-driven plasma light source
GB0516695D0 (en) * 2005-08-15 2005-09-21 Boc Group Plc Microwave plasma reactor
US7572741B2 (en) * 2005-09-16 2009-08-11 Cree, Inc. Methods of fabricating oxide layers on silicon carbide layers utilizing atomic oxygen
US7842355B2 (en) * 2005-11-01 2010-11-30 Applied Materials, Inc. System and method for modulation of power and power related functions of PECVD discharge sources to achieve new film properties
US20070267143A1 (en) * 2006-05-16 2007-11-22 Applied Materials, Inc. In situ cleaning of CVD system exhaust
KR101253751B1 (en) * 2006-07-21 2013-04-11 주성엔지니어링(주) Substrate processing apparatus
WO2008039845A2 (en) * 2006-09-26 2008-04-03 Applied Materials, Inc. Fluorine plasma treatment of high-k gate stack for defect passivation
US20080083701A1 (en) * 2006-10-04 2008-04-10 Mks Instruments, Inc. Oxygen conditioning of plasma vessels
JP4926653B2 (en) * 2006-10-31 2012-05-09 京セラ株式会社 Plasma generator, reaction device, and light source device
JP4721230B2 (en) * 2006-10-31 2011-07-13 京セラ株式会社 Plasma generator, reaction device, and light source device
US7550927B2 (en) * 2006-11-09 2009-06-23 Applied Materials, Inc. System and method for generating ions and radicals
US7969096B2 (en) * 2006-12-15 2011-06-28 Mks Instruments, Inc. Inductively-coupled plasma source
US7605008B2 (en) * 2007-04-02 2009-10-20 Applied Materials, Inc. Plasma ignition and complete faraday shielding of capacitive coupling for an inductively-coupled plasma
US20080302652A1 (en) * 2007-06-06 2008-12-11 Mks Instruments, Inc. Particle Reduction Through Gas and Plasma Source Control
KR20100072316A (en) * 2007-10-19 2010-06-30 엠케이에스 인스트루먼츠, 인코포레이티드 Toroidal plasma chamber for high gas flow rate process
US7914603B2 (en) * 2008-06-26 2011-03-29 Mks Instruments, Inc. Particle trap for a plasma source
US20100037820A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
US20100037824A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Plasma Reactor Having Injector
US8770142B2 (en) * 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
US8851012B2 (en) * 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US8871628B2 (en) * 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
US8257799B2 (en) 2009-02-23 2012-09-04 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US8771538B2 (en) * 2009-11-18 2014-07-08 Applied Materials, Inc. Plasma source design
US8742665B2 (en) * 2009-11-18 2014-06-03 Applied Materials, Inc. Plasma source design
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
DE102010062349A1 (en) * 2010-12-02 2012-06-06 Robert Bosch Gmbh Ignition coil with integrated electronics
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
KR101296723B1 (en) * 2011-05-31 2013-08-20 주식회사 뉴파워 프라즈마 Ignition circuit for plasma ignition
KR101325137B1 (en) * 2011-05-31 2013-11-06 주식회사 뉴파워 프라즈마 Plasma power supply system having arc prevention mfunction and plasma processing apparutus having the same
US20130118589A1 (en) * 2011-11-15 2013-05-16 Mks Instruments, Inc. Toroidal Plasma Channel with Varying Cross-Section Areas Along the Channel
KR101314666B1 (en) * 2011-11-28 2013-10-04 최대규 Hybride plasma reactor
US10115565B2 (en) * 2012-03-02 2018-10-30 Panasonic Intellectual Property Management Co., Ltd. Plasma processing apparatus and plasma processing method
CN102705082A (en) * 2012-05-29 2012-10-03 哈尔滨工程大学 Windward pressure differential plasma ignition nozzle
CN205166151U (en) * 2012-07-13 2016-04-20 魄金莱默保健科学有限公司 System for torch with be used for maintaining atomization source
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US20140062285A1 (en) 2012-08-29 2014-03-06 Mks Instruments, Inc. Method and Apparatus for a Large Area Inductive Plasma Source
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8944003B2 (en) * 2012-11-16 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Remote plasma system and method
JP5962773B2 (en) * 2012-12-28 2016-08-03 ニュー パワー プラズマ カンパニー リミテッド Plasma reactor and plasma ignition method using the same
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
MY187052A (en) * 2013-03-15 2021-08-27 Plasmability Llc Toroidal plasma processing apparatus
JP2014185363A (en) * 2013-03-22 2014-10-02 Hitachi Kokusai Electric Inc Substrate treatment apparatus, treatment container, and method for manufacturing semiconductor device
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9155184B2 (en) * 2013-11-18 2015-10-06 Applied Materials, Inc. Plasma generation source employing dielectric conduit assemblies having removable interfaces and related assemblies and methods
US9376455B2 (en) 2013-11-27 2016-06-28 Veeco Ald Inc. Molecular layer deposition using reduction process
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
TWI486996B (en) * 2013-12-04 2015-06-01 Ind Tech Res Inst Plasma device and operation method of plasma device
KR101406696B1 (en) * 2013-12-27 2014-06-11 (주)제이오션 Plasma Block for Remote Plasma Source
US9305749B2 (en) * 2014-02-10 2016-04-05 Applied Materials, Inc. Methods of directing magnetic fields in a plasma source, and associated systems
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9240308B2 (en) * 2014-03-06 2016-01-19 Applied Materials, Inc. Hall effect enhanced capacitively coupled plasma source, an abatement system, and vacuum processing system
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) * 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9736920B2 (en) * 2015-02-06 2017-08-15 Mks Instruments, Inc. Apparatus and method for plasma ignition with a self-resonating device
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
TWI670749B (en) 2015-03-13 2019-09-01 美商應用材料股份有限公司 Plasma source coupled to a process chamber
EP3081921B1 (en) * 2015-04-16 2019-08-14 Heraeus Electro-Nite International N.V. Spectrometer calibration method
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN109247031B (en) * 2016-01-19 2023-02-17 辉光能源公司 Thermal photovoltaic generator
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
NL2017198B1 (en) 2016-07-20 2018-01-26 Jiaco Instr Holding B V Decapsulation of electronic devices
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
JP6872935B2 (en) * 2016-09-30 2021-05-19 株式会社ダイヘン Plasma generator
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR101977702B1 (en) * 2016-12-09 2019-05-14 에스케이하이닉스 주식회사 Ion source head and ion implantation apparatus including the same
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10505348B2 (en) 2017-09-15 2019-12-10 Mks Instruments, Inc. Apparatus and method for ignition of a plasma system and for monitoring health of the plasma system
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (en) 2018-02-28 2021-01-21 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) * 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102113294B1 (en) * 2018-05-31 2020-06-16 (주) 엔피홀딩스 Plasma generator having improved insulation part
WO2019241405A1 (en) 2018-06-14 2019-12-19 Mks Instruments, Inc. Radical output monitor for a remote plasma source and method of use
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US11019715B2 (en) 2018-07-13 2021-05-25 Mks Instruments, Inc. Plasma source having a dielectric plasma chamber with improved plasma resistance
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10553403B1 (en) 2019-05-08 2020-02-04 Mks Instruments, Inc. Polygonal toroidal plasma source
CN112509899B (en) * 2019-09-16 2024-02-09 中微半导体设备(上海)股份有限公司 Inductively coupled plasma processing apparatus and ignition control method thereof

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1534251A (en) * 1918-01-28 1925-04-21 Charles G Smith Electric light
US3291715A (en) * 1963-08-19 1966-12-13 Litton Systems Inc Apparatus for cathode sputtering including a plasmaconfining chamber
US5834905A (en) * 1995-09-15 1998-11-10 Osram Sylvania Inc. High intensity electrodeless low pressure light source driven by a transformer core arrangement
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6150628A (en) * 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6167835B1 (en) * 1997-03-27 2001-01-02 Mitsubishi Denki Kabushiki Kaisha Two chamber plasma processing apparatus

Family Cites Families (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2806356A (en) * 1952-08-27 1957-09-17 Theodore Raymond R Bocchio Combustion initiator
US4431898A (en) * 1981-09-01 1984-02-14 The Perkin-Elmer Corporation Inductively coupled discharge for plasma etching and resist stripping
US4615851A (en) 1981-09-08 1986-10-07 Ford Motor Company Preparation of beta"-alumina tubes by the extrusion process
JP2569078B2 (en) * 1987-10-19 1997-01-08 株式会社日立製作所 Fusion reactor wall
JPH01125933A (en) * 1987-11-11 1989-05-18 Hitachi Ltd Method and apparatus for vacuum treatment
CH677557A5 (en) * 1989-03-29 1991-05-31 Asea Brown Boveri
US5274306A (en) * 1990-08-31 1993-12-28 Kaufman & Robinson, Inc. Capacitively coupled radiofrequency plasma source
US5273609A (en) * 1990-09-12 1993-12-28 Texas Instruments Incorporated Method and apparatus for time-division plasma chopping in a multi-channel plasma processing equipment
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5158442A (en) * 1991-05-01 1992-10-27 Guerra Romeo E Flare igniter
JPH06507514A (en) 1991-05-28 1994-08-25 コンコーラ,セッポ タネリ Method for generating and utilizing plasma spheres or similar phenomena in a chamber and chamber thereof
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
JPH05166595A (en) * 1991-12-12 1993-07-02 Fuji Denpa Koki Kk Method for generating plasma of high atmospheric pressure and high density
US6190512B1 (en) * 1993-09-07 2001-02-20 Tokyo Electron Arizona Inc. Soft plasma ignition in plasma processing chambers
JP3121486B2 (en) * 1993-12-13 2000-12-25 日本真空技術株式会社 Discharge tube cooling mechanism in plasma processing equipment
JPH07176519A (en) * 1993-12-17 1995-07-14 Shibaura Eng Works Co Ltd Discharge processing device
JPH07273064A (en) * 1994-03-28 1995-10-20 Sony Corp Minute structure and its manufacture and method of forming contact wiring structure
JPH07282993A (en) * 1994-04-14 1995-10-27 Kawasaki Heavy Ind Ltd Electron beam generating device for plasma generation energized with electron beam
JPH07302790A (en) * 1994-04-28 1995-11-14 Tokyo Electron Ltd Heat-treating device
US5972450A (en) 1995-10-10 1999-10-26 Bundy Corporation Metal tubing coated with multiple layers of polymeric materials
WO1996039794A1 (en) * 1995-06-05 1996-12-12 Tohoku Unicom Co., Ltd. Power supply for multielectrode discharge
JPH10511806A (en) * 1995-09-15 1998-11-10 パテント−トロイハント−ゲゼルシャフト フュール エレクトリッシェ グリューラムペン ミット ベシュレンクテル ハフツング High power electrodeless low pressure light source
JP3803432B2 (en) * 1995-10-30 2006-08-02 セイコーエプソン株式会社 Lamp unit and image reading apparatus using the same
US6440221B2 (en) * 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
ATE296482T1 (en) * 1996-05-31 2005-06-15 Akashic Memories Corp HIGH TETRAHEDRAL AMORPHOUS CARBON FILM AND METHOD AND ION BEAM SOURCE FOR PRODUCING THE SAME
US5981899A (en) * 1997-01-17 1999-11-09 Balzers Aktiengesellschaft Capacitively coupled RF-plasma reactor
JPH10223182A (en) * 1997-02-10 1998-08-21 Stanley Electric Co Ltd Fluorescent lamp
US6692617B1 (en) * 1997-05-08 2004-02-17 Applied Materials, Inc. Sustained self-sputtering reactor having an increased density plasma
US6388226B1 (en) * 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6815633B1 (en) 1997-06-26 2004-11-09 Applied Science & Technology, Inc. Inductively-coupled toroidal plasma source
US6084199A (en) * 1997-08-01 2000-07-04 Hypertherm, Inc. Plasma arc torch with vented flow nozzle retainer
US6074514A (en) 1998-02-09 2000-06-13 Applied Materials, Inc. High selectivity etch using an external plasma discharge
DE19806519A1 (en) * 1998-02-17 1999-08-19 Ruediger Haaga Gmbh Sterilization unit for containers using low pressure plasma
US6441552B1 (en) 1998-04-30 2002-08-27 Physical Sciences Inc. Apparatus and methods for generating persistent ionization plasmas
US6150835A (en) * 1998-05-08 2000-11-21 Intel Corporation Method and apparatus for fast production programming and low-voltage in-system writes for programmable logic device
JP2000117447A (en) * 1998-10-20 2000-04-25 Purometoron Technic Kk Manufacture of plasma torch electrode, manufacture of insert for plasma torch electrode and insert for plasma torch electrode
DE19913614C1 (en) 1999-03-25 2000-05-11 Fraunhofer Ges Forschung Electrical discharge method for treating exhaust fumes in which extensions on earthed electrode are perforated to allow passage of gas through them
JP4193320B2 (en) * 1999-03-30 2008-12-10 昭和電工株式会社 Method for manufacturing magnetic recording medium
JP3784203B2 (en) * 1999-04-23 2006-06-07 松下電器産業株式会社 Magnetron sputtering method and apparatus
US6392351B1 (en) * 1999-05-03 2002-05-21 Evgeny V. Shun'ko Inductive RF plasma source with external discharge bridge
US6466426B1 (en) 1999-08-03 2002-10-15 Applied Materials Inc. Method and apparatus for thermal control of a semiconductor substrate
US6432260B1 (en) * 1999-08-06 2002-08-13 Advanced Energy Industries, Inc. Inductively coupled ring-plasma source apparatus for processing gases and materials and method thereof
JP4221847B2 (en) 1999-10-25 2009-02-12 パナソニック電工株式会社 Plasma processing apparatus and plasma lighting method
JP2001150143A (en) * 1999-11-26 2001-06-05 Komatsu Sanki Kk Electrode for plasma processing and plasma arc cutting machine
US6156667A (en) 1999-12-31 2000-12-05 Litmas, Inc. Methods and apparatus for plasma processing
JP3640376B2 (en) * 2000-02-14 2005-04-20 富士電機アドバンストテクノロジー株式会社 Thin film manufacturing method
US6329297B1 (en) 2000-04-21 2001-12-11 Applied Materials, Inc. Dilute remote plasma clean
US6387207B1 (en) * 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6418874B1 (en) 2000-05-25 2002-07-16 Applied Materials, Inc. Toroidal plasma source for plasma processing
JP2002075690A (en) * 2000-08-24 2002-03-15 Japan Vilene Co Ltd Electrode for discharging
JP2002072205A (en) * 2000-09-04 2002-03-12 Hitachi Ltd Liquid crystal display device
US6258735B1 (en) 2000-10-05 2001-07-10 Applied Materials, Inc. Method for using bypass lines to stabilize gas flow and maintain plasma inside a deposition chamber
JP2002190475A (en) * 2000-12-21 2002-07-05 Canon Inc Microwave plasma processing apparatus, method of plasma processing using the same, and method of manufacturing object
JP4522003B2 (en) * 2001-02-26 2010-08-11 株式会社エフオーアイ Plasma processing equipment
JP2002256437A (en) * 2001-02-27 2002-09-11 Japan Science & Technology Corp Apparatus for manufacturing multilayer film of diamond- like carbon
JP3814492B2 (en) * 2001-04-12 2006-08-30 松下電器産業株式会社 Plasma processing apparatus and plasma processing method
JP3883396B2 (en) * 2001-05-21 2007-02-21 東京応化工業株式会社 Inductively coupled plasma ignition method
JP2003036723A (en) * 2001-07-19 2003-02-07 Harison Toshiba Lighting Corp Lighting device
US20030129106A1 (en) 2001-08-29 2003-07-10 Applied Materials, Inc. Semiconductor processing using an efficiently coupled gas source
KR100481313B1 (en) * 2001-11-09 2005-04-07 최대규 Inductively coupled plasma source
JP2004006699A (en) * 2002-04-25 2004-01-08 Hitachi Kokusai Electric Inc Manufacturing method for semiconductor device, and substrate processing apparatus
US6759624B2 (en) * 2002-05-07 2004-07-06 Ananda H. Kumar Method and apparatus for heating a semiconductor wafer plasma reactor vacuum chamber
JP4443818B2 (en) * 2002-10-02 2010-03-31 パナソニック株式会社 Plasma doping method
KR100542740B1 (en) * 2002-11-11 2006-01-11 삼성전자주식회사 Method and apparatus for generating a gas plasma, gas compostion for generating a plasma and method for semiconductor processing using the same
KR100674279B1 (en) * 2003-03-25 2007-01-24 동경 엘렉트론 주식회사 Processing apparatus and processing method
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1534251A (en) * 1918-01-28 1925-04-21 Charles G Smith Electric light
US3291715A (en) * 1963-08-19 1966-12-13 Litton Systems Inc Apparatus for cathode sputtering including a plasmaconfining chamber
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5834905A (en) * 1995-09-15 1998-11-10 Osram Sylvania Inc. High intensity electrodeless low pressure light source driven by a transformer core arrangement
US6167835B1 (en) * 1997-03-27 2001-01-02 Mitsubishi Denki Kabushiki Kaisha Two chamber plasma processing apparatus
US6150628A (en) * 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source

Also Published As

Publication number Publication date
JP2013191566A (en) 2013-09-26
US20050145173A1 (en) 2005-07-07
TW201130402A (en) 2011-09-01
JP2011124226A (en) 2011-06-23
CN102339716A (en) 2012-02-01
JP5301096B2 (en) 2013-09-25
US7501600B2 (en) 2009-03-10
TWI359628B (en) 2012-03-01
KR20050103183A (en) 2005-10-27
EP1618588B1 (en) 2019-02-13
EP2276052A2 (en) 2011-01-19
CN1774787B (en) 2011-10-26
JP5492060B2 (en) 2014-05-14
WO2004095499A2 (en) 2004-11-04
EP1618588A2 (en) 2006-01-25
TWI448214B (en) 2014-08-01
JP5658010B2 (en) 2015-01-21
EP2276052B1 (en) 2017-06-07
CN102339717B (en) 2015-11-25
JP2012151115A (en) 2012-08-09
EP2296166A3 (en) 2011-10-05
US20040206730A1 (en) 2004-10-21
US6872909B2 (en) 2005-03-29
TW201119515A (en) 2011-06-01
CN102339717A (en) 2012-02-01
JP5695694B2 (en) 2015-04-08
CN1774787A (en) 2006-05-17
JP2006523934A (en) 2006-10-19
KR100810258B1 (en) 2008-03-06
JP2011124227A (en) 2011-06-23
TW200505292A (en) 2005-02-01
EP2296166B1 (en) 2014-01-08
US20070145023A1 (en) 2007-06-28
TWI404462B (en) 2013-08-01
CN102339716B (en) 2016-02-24
EP2276052A3 (en) 2011-05-18
US7659489B2 (en) 2010-02-09
EP2296166A2 (en) 2011-03-16

Similar Documents

Publication Publication Date Title
WO2004095499A3 (en) Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel
AU2003225878A1 (en) System and method for heating and cooling wafer at accelerated rates
AU5144399A (en) Apparatus and method for atmospheric pressure 3-dimensional ion trapping
WO2002071438A3 (en) Capillary discharge plasma apparatus and method for surface treatment using the same
TW372263B (en) System and method for controlled delivery of liquefied gases
WO2005056150A3 (en) Method and apparatus for simultaneous heat and mass transfer utilizing a carrier-gas at various absolute pressures
JPS56158873A (en) Dry etching method
WO2002029848A3 (en) Wafer area pressure control for plasma confinement
AU2003297699A1 (en) Dual flow gas guide apparatus and method
WO2003041132A3 (en) Gas-assisted rapid thermal processing
AU2003276023A1 (en) Method and arrangement for generating an atmospheric pressure glow discharge plasma (apg)
TW200614369A (en) Methods and apparatus for the optimization of etch resistance in a plasma processing system
WO2002015236A3 (en) Wafer area pressure control
MXPA02001040A (en) Improved gas flow for plasma arc torch.
DE50200894D1 (en) plasma nozzle
ITRM20010291A1 (en) PLASMA TORCH
AU2001229406A1 (en) Transverse thermal modulation
WO2004036627A3 (en) Plasma system and method for anistropically etching structures into a substrate
MXPA02009724A (en) Method and device for dust protection in a laser processing apparatus.
DE202004019719U1 (en) Device for flooding connected tubes with gas comprises a body arranged in the tubes having an outlet opening for the gas, sealing elements delimiting a flooding chamber and removal elements for removing protective gas
AU2003267013A1 (en) Shrinking device
HK1040373A1 (en) Esrf coolant degassing process.
WO2004073025A3 (en) Methods of reducing photoresist distortion while etching in a plasma processing system
WO2004056441A3 (en) Method and apparatus for mixture separation
WO2005006386A3 (en) System and method for inductive coupling of an expanding thermal plasma

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): BW GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 1020057003115

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2006509918

Country of ref document: JP

Ref document number: 20048100663

Country of ref document: CN

WWP Wipo information: published in national office

Ref document number: 1020057003115

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2004759827

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 2004759827

Country of ref document: EP