WO2005010934A3 - Three-dimensional integrated circuit structure and method of making same - Google Patents

Three-dimensional integrated circuit structure and method of making same Download PDF

Info

Publication number
WO2005010934A3
WO2005010934A3 PCT/US2004/020122 US2004020122W WO2005010934A3 WO 2005010934 A3 WO2005010934 A3 WO 2005010934A3 US 2004020122 W US2004020122 W US 2004020122W WO 2005010934 A3 WO2005010934 A3 WO 2005010934A3
Authority
WO
WIPO (PCT)
Prior art keywords
devices
substrate
integrated circuit
semiconductor
circuit structure
Prior art date
Application number
PCT/US2004/020122
Other languages
French (fr)
Other versions
WO2005010934A2 (en
Original Assignee
Lee Sang Yun
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR1020030047515A external-priority patent/KR100904771B1/en
Application filed by Lee Sang Yun filed Critical Lee Sang Yun
Priority to JP2006517574A priority Critical patent/JP5202842B2/en
Priority to EP04776960.9A priority patent/EP1636831B1/en
Priority to CN2004800173344A priority patent/CN1809914B/en
Publication of WO2005010934A2 publication Critical patent/WO2005010934A2/en
Publication of WO2005010934A3 publication Critical patent/WO2005010934A3/en
Priority to US11/378,059 priority patent/US20060275962A1/en
Priority to US11/606,523 priority patent/US7888764B2/en

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78642Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • H01L29/7881Programmable transistors with only two possible levels of programmation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/792Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
    • H01L29/7926Vertical transistors, i.e. transistors having source and drain not in the same horizontal plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/861Diodes
    • H01L29/8613Mesa PN junction diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/861Diodes
    • H01L29/872Schottky diodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B20/00Read-only memory [ROM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B20/00Read-only memory [ROM] devices
    • H10B20/20Programmable ROM [PROM] devices comprising field-effect components
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • H10B53/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B61/00Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices
    • H10B61/20Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors
    • H10B61/22Magnetic memory devices, e.g. magnetoresistive RAM [MRAM] devices comprising components having three or more electrodes, e.g. transistors of the field-effect transistor [FET] type
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B63/00Resistance change memory devices, e.g. resistive RAM [ReRAM] devices
    • H10B63/30Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors
    • H10B63/34Resistance change memory devices, e.g. resistive RAM [ReRAM] devices comprising selection components having three or more electrodes, e.g. transistors of the vertical channel field-effect transistor type
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices

Abstract

A plurality of vertically oriented semiconductor devices (111, 112, 113) are physically separated from each other, and are not disposed within a same semiconductor body, or semiconductor substrate. The devices (111, 112, 113) may be added to a separately fabricated substrate (103) as a thin layer including several doped semiconductor regions which, subsequent to attachment, are etch to produce individual doped stacked structures. Alternatively the devices (111, 112, 113) may be fabricated prior to attachment to the substrate (103). The doped stacked structures my form the basis for diodes, capacitors and transistor devices, wherein stackable add-on layers may include interconnect lines (132).
PCT/US2004/020122 2003-06-24 2004-06-23 Three-dimensional integrated circuit structure and method of making same WO2005010934A2 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2006517574A JP5202842B2 (en) 2003-06-24 2004-06-23 Three-dimensional integrated circuit structure and method of making the same
EP04776960.9A EP1636831B1 (en) 2003-06-24 2004-06-23 Method of making a three-dimensional integrated circuit structure
CN2004800173344A CN1809914B (en) 2003-06-24 2004-06-23 Method for forming semiconductor structure
US11/378,059 US20060275962A1 (en) 2003-06-24 2006-03-17 Three-dimensional integrated circuit structure and method of making same
US11/606,523 US7888764B2 (en) 2003-06-24 2006-11-30 Three-dimensional integrated circuit structure

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
KR20030040920 2003-06-24
KR10-2003-0040920 2003-06-24
KR1020030047515A KR100904771B1 (en) 2003-06-24 2003-07-12 3-Dimensional Integrated Circuit Structure and Method of Making the Same
KR10-2003-0047515 2003-07-12

Publications (2)

Publication Number Publication Date
WO2005010934A2 WO2005010934A2 (en) 2005-02-03
WO2005010934A3 true WO2005010934A3 (en) 2005-05-06

Family

ID=33543620

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2004/020122 WO2005010934A2 (en) 2003-06-24 2004-06-23 Three-dimensional integrated circuit structure and method of making same

Country Status (4)

Country Link
US (2) US7052941B2 (en)
EP (1) EP1636831B1 (en)
JP (1) JP5202842B2 (en)
WO (1) WO2005010934A2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9257432B2 (en) 2011-02-17 2016-02-09 Semiconductor Energy Laboratory Co., Ltd. Semiconductor memory device and method of manufacturing semiconductor memory device

Families Citing this family (465)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8779597B2 (en) * 2004-06-21 2014-07-15 Sang-Yun Lee Semiconductor device with base support structure
US8018058B2 (en) * 2004-06-21 2011-09-13 Besang Inc. Semiconductor memory device
US8058142B2 (en) * 1996-11-04 2011-11-15 Besang Inc. Bonded semiconductor structure and method of making the same
US7470598B2 (en) * 2004-06-21 2008-12-30 Sang-Yun Lee Semiconductor layer structure and method of making the same
US20050280155A1 (en) * 2004-06-21 2005-12-22 Sang-Yun Lee Semiconductor bonding and layer transfer method
EP2988331B1 (en) * 2000-08-14 2019-01-09 SanDisk Technologies LLC Semiconductor memory device
US20060249753A1 (en) * 2005-05-09 2006-11-09 Matrix Semiconductor, Inc. High-density nonvolatile memory array fabricated at low temperature comprising semiconductor diodes
US8071438B2 (en) * 2003-06-24 2011-12-06 Besang Inc. Semiconductor circuit
US7632738B2 (en) * 2003-06-24 2009-12-15 Sang-Yun Lee Wafer bonding method
US20100190334A1 (en) * 2003-06-24 2010-07-29 Sang-Yun Lee Three-dimensional semiconductor structure and method of manufacturing the same
US8471263B2 (en) 2003-06-24 2013-06-25 Sang-Yun Lee Information storage system which includes a bonded semiconductor structure
US7473596B2 (en) 2003-12-19 2009-01-06 Micron Technology, Inc. Methods of forming memory cells
US7190616B2 (en) * 2004-07-19 2007-03-13 Micron Technology, Inc. In-service reconfigurable DRAM and flash memory device
US7060592B2 (en) * 2004-09-15 2006-06-13 United Microelectronics Corp. Image sensor and fabricating method thereof
US20060102963A1 (en) * 2004-11-15 2006-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Passive device and method for forming the same
WO2006079952A1 (en) * 2005-01-25 2006-08-03 Nxp B.V. Fabrication of phase-change resistor using a backend process
US20060177173A1 (en) * 2005-02-04 2006-08-10 Sioptical, Inc. Vertical stacking of multiple integrated circuits including SOI-based optical components
US7470991B2 (en) * 2005-02-24 2008-12-30 Texas Instruments Incorporated Integrated high voltage capacitor having capacitance uniformity structures and a method of manufacture therefor
US20060186450A1 (en) * 2005-02-24 2006-08-24 Texas Instruments Inc. Integrated high voltage capacitor and a method of manufacture therefor
US20110001172A1 (en) * 2005-03-29 2011-01-06 Sang-Yun Lee Three-dimensional integrated circuit structure
US8455978B2 (en) 2010-05-27 2013-06-04 Sang-Yun Lee Semiconductor circuit structure and method of making the same
US8367524B2 (en) 2005-03-29 2013-02-05 Sang-Yun Lee Three-dimensional integrated circuit structure
KR100663360B1 (en) * 2005-04-20 2007-01-02 삼성전자주식회사 Semiconductor devices having thin film transistor and fabrication methods thereof
EP1717861B1 (en) * 2005-04-27 2010-08-25 STMicroelectronics Srl Vertical MOSFET transistor operating as a selector in nonvolatile memory devices
US7638855B2 (en) * 2005-05-06 2009-12-29 Macronix International Co., Ltd. Anti-fuse one-time-programmable nonvolatile memory
US8044437B1 (en) * 2005-05-16 2011-10-25 Lsi Logic Corporation Integrated circuit cell architecture configurable for memory or logic elements
US20060273298A1 (en) * 2005-06-02 2006-12-07 Matrix Semiconductor, Inc. Rewriteable memory cell comprising a transistor and resistance-switching material in series
US20060273370A1 (en) * 2005-06-07 2006-12-07 Micron Technology, Inc. NROM flash memory with vertical transistors and surrounding gates
US7851348B2 (en) 2005-06-14 2010-12-14 Abhay Misra Routingless chip architecture
JP5253158B2 (en) * 2005-06-14 2013-07-31 キューファー アセット リミテッド. エル.エル.シー. Post and penetration interconnection
US7215032B2 (en) * 2005-06-14 2007-05-08 Cubic Wafer, Inc. Triaxial through-chip connection
US7884483B2 (en) 2005-06-14 2011-02-08 Cufer Asset Ltd. L.L.C. Chip connector
US7687400B2 (en) 2005-06-14 2010-03-30 John Trezza Side stacking apparatus and method
US7560813B2 (en) 2005-06-14 2009-07-14 John Trezza Chip-based thermo-stack
US7388273B2 (en) 2005-06-14 2008-06-17 International Business Machines Corporation Reprogrammable fuse structure and method
US7781886B2 (en) 2005-06-14 2010-08-24 John Trezza Electronic chip contact structure
US7786592B2 (en) 2005-06-14 2010-08-31 John Trezza Chip capacitive coupling
US7838997B2 (en) 2005-06-14 2010-11-23 John Trezza Remote chip attachment
US8456015B2 (en) 2005-06-14 2013-06-04 Cufer Asset Ltd. L.L.C. Triaxial through-chip connection
US7453755B2 (en) * 2005-07-01 2008-11-18 Sandisk 3D Llc Memory cell with high-K antifuse for reverse bias programming
US7426128B2 (en) * 2005-07-11 2008-09-16 Sandisk 3D Llc Switchable resistive memory with opposite polarity write pulses
US7482615B2 (en) * 2005-07-21 2009-01-27 International Business Machines Corporation High performance MOSFET comprising stressed phase change material
US7776715B2 (en) * 2005-07-26 2010-08-17 Micron Technology, Inc. Reverse construction memory cell
US7579615B2 (en) * 2005-08-09 2009-08-25 Micron Technology, Inc. Access transistor for memory device
US20070034922A1 (en) * 2005-08-11 2007-02-15 Micron Technology, Inc. Integrated surround gate multifunctional memory device
JP2007087548A (en) * 2005-09-26 2007-04-05 Nec Lcd Technologies Ltd Memory circuit
US8513066B2 (en) * 2005-10-25 2013-08-20 Freescale Semiconductor, Inc. Method of making an inverted-T channel transistor
US7494849B2 (en) * 2005-11-03 2009-02-24 Cswitch Inc. Methods for fabricating multi-terminal phase change devices
CN1992173B (en) * 2005-11-30 2010-04-21 硅起源股份有限公司 Method and structure for implanting bonded substrates for electrical conductivity
US7345899B2 (en) * 2006-04-07 2008-03-18 Infineon Technologies Ag Memory having storage locations within a common volume of phase change material
US7687397B2 (en) 2006-06-06 2010-03-30 John Trezza Front-end processed wafer having through-chip connections
US7781797B2 (en) * 2006-06-29 2010-08-24 International Business Machines Corporation One-transistor static random access memory with integrated vertical PNPN device
KR100791071B1 (en) * 2006-07-04 2008-01-02 삼성전자주식회사 One time programmable device, electronic system including the same and operating method of the same
DE102006037510B3 (en) * 2006-08-10 2008-04-10 Infineon Technologies Austria Ag A method for producing a trench structure, the use of this method for producing a semiconductor device and semiconductor device having a trench structure
US7582549B2 (en) 2006-08-25 2009-09-01 Micron Technology, Inc. Atomic layer deposited barium strontium titanium oxide films
JP5341327B2 (en) * 2006-09-28 2013-11-13 セミコンダクター・コンポーネンツ・インダストリーズ・リミテッド・ライアビリティ・カンパニー Semiconductor device
US8866194B2 (en) * 2006-09-28 2014-10-21 Semiconductor Components Industries, Llc Semiconductor device
CN101553903B (en) * 2006-10-17 2012-08-29 丘费尔资产股份有限公司 Wafer via formation
US20080113505A1 (en) * 2006-11-13 2008-05-15 Sparks Terry G Method of forming a through-substrate via
US7593248B2 (en) * 2006-11-16 2009-09-22 Aptina Imaging Corporation Method, apparatus and system providing a one-time programmable memory device
US7875840B2 (en) * 2006-11-16 2011-01-25 Aptina Imaging Corporation Imager device with anti-fuse pixels and recessed color filter array
US8283718B2 (en) * 2006-12-16 2012-10-09 Spansion Llc Integrated circuit system with metal and semi-conducting gate
US7705613B2 (en) * 2007-01-03 2010-04-27 Abhay Misra Sensitivity capacitive sensor
KR100891799B1 (en) * 2007-02-06 2009-04-07 삼성전기주식회사 Light emitting device for alternating current source
US7803693B2 (en) * 2007-02-15 2010-09-28 John Trezza Bowed wafer hybridization compensation
US7598163B2 (en) * 2007-02-15 2009-10-06 John Callahan Post-seed deposition process
US7705632B2 (en) * 2007-02-15 2010-04-27 Wyman Theodore J Ted Variable off-chip drive
US7670874B2 (en) * 2007-02-16 2010-03-02 John Trezza Plated pillar package formation
JP5114968B2 (en) * 2007-02-20 2013-01-09 富士通セミコンダクター株式会社 Semiconductor device and manufacturing method thereof
KR100819560B1 (en) * 2007-03-26 2008-04-08 삼성전자주식회사 Phase change memory device and method of fabricating the same
US7850060B2 (en) * 2007-04-05 2010-12-14 John Trezza Heat cycle-able connection
US7748116B2 (en) * 2007-04-05 2010-07-06 John Trezza Mobile binding in an electronic connection
US7704788B2 (en) * 2007-04-06 2010-04-27 Samsung Electronics Co., Ltd. Methods of fabricating multi-bit phase-change memory devices and devices formed thereby
US7960210B2 (en) * 2007-04-23 2011-06-14 Cufer Asset Ltd. L.L.C. Ultra-thin chip packaging
US20080261392A1 (en) * 2007-04-23 2008-10-23 John Trezza Conductive via formation
US7842999B2 (en) * 2007-05-17 2010-11-30 Elpida Memory, Inc. Semiconductor memory device and method of manufacturing the same
JP4690438B2 (en) * 2007-05-31 2011-06-01 エルピーダメモリ株式会社 Semiconductor memory device, manufacturing method thereof, and data processing system
US7932167B2 (en) * 2007-06-29 2011-04-26 International Business Machines Corporation Phase change memory cell with vertical transistor
JP5298470B2 (en) * 2007-07-11 2013-09-25 三菱電機株式会社 Semiconductor device and method for manufacturing semiconductor device
US8247861B2 (en) * 2007-07-18 2012-08-21 Infineon Technologies Ag Semiconductor device and method of making same
US20090026524A1 (en) * 2007-07-27 2009-01-29 Franz Kreupl Stacked Circuits
US7847586B2 (en) * 2007-08-20 2010-12-07 Northern Lights Semiconductor Corp. Integrate circuit chip with magnetic devices
JP2009064860A (en) * 2007-09-05 2009-03-26 Renesas Technology Corp Semiconductor device
US20090086521A1 (en) * 2007-09-28 2009-04-02 Herner S Brad Multiple antifuse memory cells and methods to form, program, and sense the same
US8415783B1 (en) 2007-10-04 2013-04-09 Xilinx, Inc. Apparatus and methodology for testing stacked die
US7518398B1 (en) * 2007-10-04 2009-04-14 Xilinx, Inc. Integrated circuit with through-die via interface for die stacking
US8133745B2 (en) * 2007-10-17 2012-03-13 Magic Technologies, Inc. Method of magnetic tunneling layer processes for spin-transfer torque MRAM
US8183628B2 (en) 2007-10-29 2012-05-22 Unisantis Electronics Singapore Pte Ltd. Semiconductor structure and method of fabricating the semiconductor structure
US8035126B2 (en) * 2007-10-29 2011-10-11 International Business Machines Corporation One-transistor static random access memory with integrated vertical PNPN device
US20090109582A1 (en) * 2007-10-30 2009-04-30 Jack Michael D Method of protecting circuits using integrated array fuse elements and process for fabrication
KR100855403B1 (en) * 2007-11-27 2008-08-29 주식회사 동부하이텍 Image sensor and method for manufacturing the same
US7902051B2 (en) * 2008-01-07 2011-03-08 International Business Machines Corporation Method for fabrication of single crystal diodes for resistive memories
US8217380B2 (en) * 2008-01-09 2012-07-10 International Business Machines Corporation Polysilicon emitter BJT access device for PCRAM
JP5317343B2 (en) 2009-04-28 2013-10-16 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド Semiconductor device and manufacturing method thereof
WO2009095998A1 (en) * 2008-01-29 2009-08-06 Unisantis Electronics (Japan) Ltd. Semiconductor storage device
US8598650B2 (en) * 2008-01-29 2013-12-03 Unisantis Electronics Singapore Pte Ltd. Semiconductor device and production method therefor
US8378425B2 (en) * 2008-01-29 2013-02-19 Unisantis Electronics Singapore Pte Ltd. Semiconductor storage device
US7906818B2 (en) * 2008-03-13 2011-03-15 Micron Technology, Inc. Memory array with a pair of memory-cell strings to a single conductive pillar
US7973555B1 (en) 2008-05-28 2011-07-05 Xilinx, Inc. Configuration interface to stacked FPGA
US7974119B2 (en) 2008-07-10 2011-07-05 Seagate Technology Llc Transmission gate-based spin-transfer torque memory unit
JP4751432B2 (en) * 2008-09-26 2011-08-17 シャープ株式会社 Semiconductor memory device
KR101124857B1 (en) * 2008-09-30 2012-03-27 주식회사 동부하이텍 Image Sensor and Method for Manufacturing thereof
US8395206B2 (en) * 2008-10-09 2013-03-12 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
KR101502585B1 (en) * 2008-10-09 2015-03-24 삼성전자주식회사 Vertical type semiconductor device and forming method of the same
US9030867B2 (en) 2008-10-20 2015-05-12 Seagate Technology Llc Bipolar CMOS select device for resistive sense memory
US7936580B2 (en) 2008-10-20 2011-05-03 Seagate Technology Llc MRAM diode array and access method
US7936583B2 (en) 2008-10-30 2011-05-03 Seagate Technology Llc Variable resistive memory punchthrough access method
US7825478B2 (en) 2008-11-07 2010-11-02 Seagate Technology Llc Polarity dependent switch for resistive sense memory
US8178864B2 (en) 2008-11-18 2012-05-15 Seagate Technology Llc Asymmetric barrier diode
TWI418027B (en) * 2008-11-28 2013-12-01 Powerchip Technology Corp Phase-change memory devices and methods for fabricating the same
US8203869B2 (en) 2008-12-02 2012-06-19 Seagate Technology Llc Bit line charge accumulation sensing for resistive changing memory
US8278167B2 (en) * 2008-12-18 2012-10-02 Micron Technology, Inc. Method and structure for integrating capacitor-less memory cell with logic
US8837204B2 (en) 2009-02-15 2014-09-16 NDEP Technologies Ltd. Four-transistor and five-transistor BJT-CMOS asymmetric SRAM cells
KR20100111531A (en) 2009-04-07 2010-10-15 삼성전자주식회사 Memory devices having diodes and methods of fabricating the same
US8258810B2 (en) 2010-09-30 2012-09-04 Monolithic 3D Inc. 3D semiconductor device
US8362800B2 (en) 2010-10-13 2013-01-29 Monolithic 3D Inc. 3D semiconductor device including field repairable logics
US8373439B2 (en) 2009-04-14 2013-02-12 Monolithic 3D Inc. 3D semiconductor device
US9711407B2 (en) * 2009-04-14 2017-07-18 Monolithic 3D Inc. Method of manufacturing a three dimensional integrated circuit by transfer of a mono-crystalline layer
US8669778B1 (en) 2009-04-14 2014-03-11 Monolithic 3D Inc. Method for design and manufacturing of a 3D semiconductor device
US8754533B2 (en) 2009-04-14 2014-06-17 Monolithic 3D Inc. Monolithic three-dimensional semiconductor device and structure
US8384426B2 (en) 2009-04-14 2013-02-26 Monolithic 3D Inc. Semiconductor device and structure
US8395191B2 (en) * 2009-10-12 2013-03-12 Monolithic 3D Inc. Semiconductor device and structure
US9577642B2 (en) 2009-04-14 2017-02-21 Monolithic 3D Inc. Method to form a 3D semiconductor device
US8378715B2 (en) 2009-04-14 2013-02-19 Monolithic 3D Inc. Method to construct systems
US8405420B2 (en) 2009-04-14 2013-03-26 Monolithic 3D Inc. System comprising a semiconductor device and structure
US9509313B2 (en) 2009-04-14 2016-11-29 Monolithic 3D Inc. 3D semiconductor device
US8362482B2 (en) 2009-04-14 2013-01-29 Monolithic 3D Inc. Semiconductor device and structure
US8427200B2 (en) 2009-04-14 2013-04-23 Monolithic 3D Inc. 3D semiconductor device
US8058137B1 (en) 2009-04-14 2011-11-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US7986042B2 (en) 2009-04-14 2011-07-26 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US7964916B2 (en) 2009-04-14 2011-06-21 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
JP4487221B1 (en) * 2009-04-17 2010-06-23 日本ユニサンティスエレクトロニクス株式会社 Semiconductor device
US8350316B2 (en) 2009-05-22 2013-01-08 Macronix International Co., Ltd. Phase change memory cells having vertical channel access transistor and memory plane
US7968876B2 (en) 2009-05-22 2011-06-28 Macronix International Co., Ltd. Phase change memory cell having vertical channel access transistor
US8159856B2 (en) 2009-07-07 2012-04-17 Seagate Technology Llc Bipolar select device for resistive sense memory
US8183126B2 (en) 2009-07-13 2012-05-22 Seagate Technology Llc Patterning embedded control lines for vertically stacked semiconductor elements
US8208285B2 (en) * 2009-07-13 2012-06-26 Seagate Technology Llc Vertical non-volatile switch with punchthrough access and method of fabrication therefor
US8158964B2 (en) 2009-07-13 2012-04-17 Seagate Technology Llc Schottky diode switch and memory units containing the same
US8063654B2 (en) * 2009-07-17 2011-11-22 Xilinx, Inc. Apparatus and method for testing of stacked die structure
JP4987926B2 (en) * 2009-09-16 2012-08-01 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド Semiconductor device
US8294212B2 (en) * 2009-09-18 2012-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for SRAM bit cell with low standby current, low supply voltage and high speed
US8399935B2 (en) * 2009-09-18 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded SRAM memory for low power applications
JP5356970B2 (en) * 2009-10-01 2013-12-04 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド Semiconductor device
US9099424B1 (en) 2012-08-10 2015-08-04 Monolithic 3D Inc. Semiconductor system, device and structure with heat removal
US8536023B2 (en) 2010-11-22 2013-09-17 Monolithic 3D Inc. Method of manufacturing a semiconductor device and structure
US11018133B2 (en) 2009-10-12 2021-05-25 Monolithic 3D Inc. 3D integrated circuit
US8581349B1 (en) 2011-05-02 2013-11-12 Monolithic 3D Inc. 3D memory semiconductor device and structure
US10388863B2 (en) 2009-10-12 2019-08-20 Monolithic 3D Inc. 3D memory device and structure
US8450804B2 (en) 2011-03-06 2013-05-28 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US10910364B2 (en) 2009-10-12 2021-02-02 Monolitaic 3D Inc. 3D semiconductor device
US10354995B2 (en) 2009-10-12 2019-07-16 Monolithic 3D Inc. Semiconductor memory device and structure
US8742476B1 (en) 2012-11-27 2014-06-03 Monolithic 3D Inc. Semiconductor device and structure
US11374118B2 (en) 2009-10-12 2022-06-28 Monolithic 3D Inc. Method to form a 3D integrated circuit
US8476145B2 (en) 2010-10-13 2013-07-02 Monolithic 3D Inc. Method of fabricating a semiconductor device and structure
US10043781B2 (en) 2009-10-12 2018-08-07 Monolithic 3D Inc. 3D semiconductor device and structure
US9892972B2 (en) * 2009-10-12 2018-02-13 Monolithic 3D Inc. 3D semiconductor device and structure
US10157909B2 (en) 2009-10-12 2018-12-18 Monolithic 3D Inc. 3D semiconductor device and structure
US10366970B2 (en) 2009-10-12 2019-07-30 Monolithic 3D Inc. 3D semiconductor device and structure
US8294159B2 (en) 2009-10-12 2012-10-23 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8841777B2 (en) * 2010-01-12 2014-09-23 International Business Machines Corporation Bonded structure employing metal semiconductor alloy bonding
KR20220070577A (en) * 2010-02-05 2022-05-31 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Semiconductor device and method for manufacturing semiconductor device
WO2011099342A1 (en) * 2010-02-10 2011-08-18 Semiconductor Energy Laboratory Co., Ltd. Field effect transistor
US8026521B1 (en) 2010-10-11 2011-09-27 Monolithic 3D Inc. Semiconductor device and structure
US8461035B1 (en) 2010-09-30 2013-06-11 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8541819B1 (en) 2010-12-09 2013-09-24 Monolithic 3D Inc. Semiconductor device and structure
US8373230B1 (en) 2010-10-13 2013-02-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US9099526B2 (en) 2010-02-16 2015-08-04 Monolithic 3D Inc. Integrated circuit device and structure
US8492886B2 (en) 2010-02-16 2013-07-23 Monolithic 3D Inc 3D integrated circuit with logic
US8298875B1 (en) 2011-03-06 2012-10-30 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8513722B2 (en) * 2010-03-02 2013-08-20 Micron Technology, Inc. Floating body cell structures, devices including same, and methods for forming same
US8507966B2 (en) 2010-03-02 2013-08-13 Micron Technology, Inc. Semiconductor cells, arrays, devices and systems having a buried conductive line and methods for forming the same
US9646869B2 (en) * 2010-03-02 2017-05-09 Micron Technology, Inc. Semiconductor devices including a diode structure over a conductive strap and methods of forming such semiconductor devices
US9608119B2 (en) 2010-03-02 2017-03-28 Micron Technology, Inc. Semiconductor-metal-on-insulator structures, methods of forming such structures, and semiconductor devices including such structures
US8288795B2 (en) 2010-03-02 2012-10-16 Micron Technology, Inc. Thyristor based memory cells, devices and systems including the same and methods for forming the same
KR101211442B1 (en) 2010-03-08 2012-12-12 유니산티스 일렉트로닉스 싱가포르 프라이빗 리미티드 Solid state imaging device
US8487357B2 (en) 2010-03-12 2013-07-16 Unisantis Electronics Singapore Pte Ltd. Solid state imaging device having high sensitivity and high pixel density
CN101834152B (en) * 2010-04-20 2012-08-22 中国科学院上海微系统与信息技术研究所 Method for manufacturing three-dimensionally stacked resistance conversion memory
US8723335B2 (en) 2010-05-20 2014-05-13 Sang-Yun Lee Semiconductor circuit structure and method of forming the same using a capping layer
KR101669244B1 (en) 2010-06-08 2016-10-25 삼성전자주식회사 Sram devices and methods for fabricating the same
JP5066590B2 (en) * 2010-06-09 2012-11-07 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド Semiconductor device and manufacturing method thereof
JP5087655B2 (en) 2010-06-15 2012-12-05 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド Semiconductor device and manufacturing method thereof
KR101134819B1 (en) 2010-07-02 2012-04-13 이상윤 Method for fabricating semiconductor memory
US8455919B2 (en) * 2010-07-19 2013-06-04 Micron Technology, Inc. High density thyristor random access memory device and method
US9953925B2 (en) 2011-06-28 2018-04-24 Monolithic 3D Inc. Semiconductor system and device
US8642416B2 (en) 2010-07-30 2014-02-04 Monolithic 3D Inc. Method of forming three dimensional integrated circuit devices using layer transfer technique
US8901613B2 (en) 2011-03-06 2014-12-02 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US9219005B2 (en) 2011-06-28 2015-12-22 Monolithic 3D Inc. Semiconductor system and device
WO2012015550A2 (en) 2010-07-30 2012-02-02 Monolithic 3D, Inc. Semiconductor device and structure
US10217667B2 (en) 2011-06-28 2019-02-26 Monolithic 3D Inc. 3D semiconductor device, fabrication method and system
JP5075959B2 (en) * 2010-09-14 2012-11-21 株式会社東芝 Resistance change memory
US8617952B2 (en) * 2010-09-28 2013-12-31 Seagate Technology Llc Vertical transistor with hardening implatation
US8163581B1 (en) 2010-10-13 2012-04-24 Monolith IC 3D Semiconductor and optoelectronic devices
US9613844B2 (en) * 2010-11-18 2017-04-04 Monolithic 3D Inc. 3D semiconductor device having two layers of transistors
US8273610B2 (en) 2010-11-18 2012-09-25 Monolithic 3D Inc. Method of constructing a semiconductor device and structure
US10497713B2 (en) 2010-11-18 2019-12-03 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11217472B2 (en) * 2010-12-16 2022-01-04 Monolithic 3D Inc. 3D semiconductor device and structure with multiple isolation layers
US11482440B2 (en) * 2010-12-16 2022-10-25 Monolithic 3D Inc. 3D semiconductor device and structure with a built-in test circuit for repairing faulty circuits
US11024673B1 (en) * 2010-10-11 2021-06-01 Monolithic 3D Inc. 3D semiconductor device and structure
US11600667B1 (en) 2010-10-11 2023-03-07 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US10825864B2 (en) * 2010-10-11 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US10290682B2 (en) 2010-10-11 2019-05-14 Monolithic 3D Inc. 3D IC semiconductor device and structure with stacked memory
US10896931B1 (en) * 2010-10-11 2021-01-19 Monolithic 3D Inc. 3D semiconductor device and structure
US8114757B1 (en) 2010-10-11 2012-02-14 Monolithic 3D Inc. Semiconductor device and structure
US11133351B2 (en) * 2010-10-11 2021-09-28 Monolithic 3D Inc. 3D semiconductor device and structure
US11018191B1 (en) 2010-10-11 2021-05-25 Monolithic 3D Inc. 3D semiconductor device and structure
US11335731B1 (en) * 2010-10-11 2022-05-17 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US11158674B2 (en) 2010-10-11 2021-10-26 Monolithic 3D Inc. Method to produce a 3D semiconductor device and structure
US11469271B2 (en) 2010-10-11 2022-10-11 Monolithic 3D Inc. Method to produce 3D semiconductor devices and structures with memory
US11315980B1 (en) * 2010-10-11 2022-04-26 Monolithic 3D Inc. 3D semiconductor device and structure with transistors
US11227897B2 (en) 2010-10-11 2022-01-18 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11257867B1 (en) 2010-10-11 2022-02-22 Monolithic 3D Inc. 3D semiconductor device and structure with oxide bonds
US10978501B1 (en) 2010-10-13 2021-04-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US11605663B2 (en) 2010-10-13 2023-03-14 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11855100B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11404466B2 (en) 2010-10-13 2022-08-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11063071B1 (en) 2010-10-13 2021-07-13 Monolithic 3D Inc. Multilevel semiconductor device and structure with waveguides
US10679977B2 (en) 2010-10-13 2020-06-09 Monolithic 3D Inc. 3D microdisplay device and structure
US8283215B2 (en) 2010-10-13 2012-10-09 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US11437368B2 (en) 2010-10-13 2022-09-06 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US11869915B2 (en) 2010-10-13 2024-01-09 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US8379458B1 (en) 2010-10-13 2013-02-19 Monolithic 3D Inc. Semiconductor device and structure
US11694922B2 (en) 2010-10-13 2023-07-04 Monolithic 3D Inc. Multilevel semiconductor device and structure with oxide bonding
US10998374B1 (en) 2010-10-13 2021-05-04 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11327227B2 (en) 2010-10-13 2022-05-10 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US11163112B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure with electromagnetic modulators
US10943934B2 (en) 2010-10-13 2021-03-09 Monolithic 3D Inc. Multilevel semiconductor device and structure
US11929372B2 (en) 2010-10-13 2024-03-12 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11133344B2 (en) 2010-10-13 2021-09-28 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11043523B1 (en) 2010-10-13 2021-06-22 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors
US11855114B2 (en) 2010-10-13 2023-12-26 Monolithic 3D Inc. Multilevel semiconductor device and structure with image sensors and wafer bonding
US11164898B2 (en) 2010-10-13 2021-11-02 Monolithic 3D Inc. Multilevel semiconductor device and structure
US9197804B1 (en) 2011-10-14 2015-11-24 Monolithic 3D Inc. Semiconductor and optoelectronic devices
US10833108B2 (en) 2010-10-13 2020-11-10 Monolithic 3D Inc. 3D microdisplay device and structure
US8361856B2 (en) 2010-11-01 2013-01-29 Micron Technology, Inc. Memory cells, arrays of memory cells, and methods of forming memory cells
US8329567B2 (en) 2010-11-03 2012-12-11 Micron Technology, Inc. Methods of forming doped regions in semiconductor substrates
US11355380B2 (en) * 2010-11-18 2022-06-07 Monolithic 3D Inc. Methods for producing 3D semiconductor memory device and structure utilizing alignment marks
US11508605B2 (en) 2010-11-18 2022-11-22 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11004719B1 (en) 2010-11-18 2021-05-11 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11862503B2 (en) * 2010-11-18 2024-01-02 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11610802B2 (en) * 2010-11-18 2023-03-21 Monolithic 3D Inc. Method for producing a 3D semiconductor device and structure with single crystal transistors and metal gate electrodes
US11521888B2 (en) * 2010-11-18 2022-12-06 Monolithic 3D Inc. 3D semiconductor device and structure with high-k metal gate transistors
US11923230B1 (en) 2010-11-18 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11018042B1 (en) 2010-11-18 2021-05-25 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11569117B2 (en) * 2010-11-18 2023-01-31 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11094576B1 (en) * 2010-11-18 2021-08-17 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11031275B2 (en) 2010-11-18 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11482439B2 (en) 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device comprising charge trap junction-less transistors
US11495484B2 (en) 2010-11-18 2022-11-08 Monolithic 3D Inc. 3D semiconductor devices and structures with at least two single-crystal layers
US11342214B1 (en) * 2010-11-18 2022-05-24 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
US11211279B2 (en) 2010-11-18 2021-12-28 Monolithic 3D Inc. Method for processing a 3D integrated circuit and structure
US11735462B2 (en) 2010-11-18 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with single-crystal layers
US11164770B1 (en) * 2010-11-18 2021-11-02 Monolithic 3D Inc. Method for producing a 3D semiconductor memory device and structure
US11121021B2 (en) 2010-11-18 2021-09-14 Monolithic 3D Inc. 3D semiconductor device and structure
US11355381B2 (en) 2010-11-18 2022-06-07 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11784082B2 (en) 2010-11-18 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11804396B2 (en) * 2010-11-18 2023-10-31 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11443971B2 (en) 2010-11-18 2022-09-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11854857B1 (en) * 2010-11-18 2023-12-26 Monolithic 3D Inc. Methods for producing a 3D semiconductor device and structure with memory cells and multiple metal layers
US11107721B2 (en) 2010-11-18 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure with NAND logic
US11901210B2 (en) 2010-11-18 2024-02-13 Monolithic 3D Inc. 3D semiconductor device and structure with memory
US11615977B2 (en) 2010-11-18 2023-03-28 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11482438B2 (en) * 2010-11-18 2022-10-25 Monolithic 3D Inc. Methods for producing a 3D semiconductor memory device and structure
CN102487087B (en) * 2010-12-01 2014-08-13 张家港丽恒光微电子科技有限公司 Thin-film transistor applied to three-dimensional on-chip integration system and manufacturing method of thin film transistor
US8648426B2 (en) 2010-12-17 2014-02-11 Seagate Technology Llc Tunneling transistors
JP6000560B2 (en) * 2011-02-02 2016-09-28 株式会社半導体エネルギー研究所 Semiconductor memory device
US8598621B2 (en) 2011-02-11 2013-12-03 Micron Technology, Inc. Memory cells, memory arrays, methods of forming memory cells, and methods of forming a shared doped semiconductor region of a vertically oriented thyristor and a vertically oriented access transistor
US8450175B2 (en) 2011-02-22 2013-05-28 Micron Technology, Inc. Methods of forming a vertical transistor and at least a conductive line electrically coupled therewith
US8952418B2 (en) 2011-03-01 2015-02-10 Micron Technology, Inc. Gated bipolar junction transistors
US8975670B2 (en) 2011-03-06 2015-03-10 Monolithic 3D Inc. Semiconductor device and structure for heat removal
US8519431B2 (en) 2011-03-08 2013-08-27 Micron Technology, Inc. Thyristors
US9673102B2 (en) * 2011-04-01 2017-06-06 Micron Technology, Inc. Methods of forming vertical field-effect transistor with self-aligned contacts for memory devices with planar periphery/array and intermediate structures formed thereby
US8148174B1 (en) * 2011-05-03 2012-04-03 Avalanche Technology, Inc. Magnetic tunnel junction (MTJ) formation with two-step process
US8313960B1 (en) * 2011-05-03 2012-11-20 Avalanche Technology, Inc. Magnetic tunnel junction (MTJ) formation using multiple etching processes
TWI571058B (en) 2011-05-18 2017-02-11 半導體能源研究所股份有限公司 Semiconductor device and method of driving semiconductor device
EP3534399A1 (en) * 2011-05-24 2019-09-04 Sony Corporation Semiconductor device
JP5383744B2 (en) * 2011-05-24 2014-01-08 株式会社日立製作所 Magnetic memory
US8569831B2 (en) 2011-05-27 2013-10-29 Micron Technology, Inc. Integrated circuit arrays and semiconductor constructions
US10388568B2 (en) 2011-06-28 2019-08-20 Monolithic 3D Inc. 3D semiconductor device and system
KR101758759B1 (en) 2011-07-18 2017-07-17 삼성전자주식회사 Method and apparatus for feedback channel information in wireless communication system
US8772848B2 (en) 2011-07-26 2014-07-08 Micron Technology, Inc. Circuit structures, memory circuitry, and methods
US8609492B2 (en) * 2011-07-27 2013-12-17 Micron Technology, Inc. Vertical memory cell
US8866121B2 (en) 2011-07-29 2014-10-21 Sandisk 3D Llc Current-limiting layer and a current-reducing layer in a memory device
US8659001B2 (en) 2011-09-01 2014-02-25 Sandisk 3D Llc Defect gradient to boost nonvolatile memory performance
US8564034B2 (en) 2011-09-08 2013-10-22 Unisantis Electronics Singapore Pte. Ltd. Solid-state imaging device
JP2013065638A (en) 2011-09-15 2013-04-11 Elpida Memory Inc Semiconductor device
US8669601B2 (en) 2011-09-15 2014-03-11 Unisantis Electronics Singapore Pte. Ltd. Method for producing semiconductor device and semiconductor device having pillar-shaped semiconductor
CN102306655B (en) * 2011-09-29 2013-03-06 清华大学 Three-dimensional storage device array structure and manufacturing method thereof
US8687399B2 (en) 2011-10-02 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US9029173B2 (en) * 2011-10-18 2015-05-12 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US8637413B2 (en) 2011-12-02 2014-01-28 Sandisk 3D Llc Nonvolatile resistive memory element with a passivated switching layer
US8916478B2 (en) 2011-12-19 2014-12-23 Unisantis Electronics Singapore Pte. Ltd. Method for manufacturing semiconductor device and semiconductor device
US8772175B2 (en) 2011-12-19 2014-07-08 Unisantis Electronics Singapore Pte. Ltd. Method for manufacturing semiconductor device and semiconductor device
US8698119B2 (en) 2012-01-19 2014-04-15 Sandisk 3D Llc Nonvolatile memory device using a tunnel oxide as a current limiter element
JP5830400B2 (en) * 2012-02-02 2015-12-09 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method of semiconductor device
JP2013161878A (en) 2012-02-02 2013-08-19 Renesas Electronics Corp Semiconductor device and semiconductor device manufacturing method
US8686386B2 (en) 2012-02-17 2014-04-01 Sandisk 3D Llc Nonvolatile memory device using a varistor as a current limiter element
US8748938B2 (en) 2012-02-20 2014-06-10 Unisantis Electronics Singapore Pte. Ltd. Solid-state imaging device
US8754421B2 (en) 2012-02-24 2014-06-17 Raytheon Company Method for processing semiconductors using a combination of electron beam and optical lithography
US9312257B2 (en) 2012-02-29 2016-04-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
JP6100559B2 (en) 2012-03-05 2017-03-22 株式会社半導体エネルギー研究所 Semiconductor memory device
US9036391B2 (en) 2012-03-06 2015-05-19 Micron Technology, Inc. Arrays of vertically-oriented transistors, memory arrays including vertically-oriented transistors, and memory cells
US9000557B2 (en) 2012-03-17 2015-04-07 Zvi Or-Bach Semiconductor device and structure
US11164811B2 (en) 2012-04-09 2021-11-02 Monolithic 3D Inc. 3D semiconductor device with isolation layers and oxide-to-oxide bonding
US11735501B1 (en) 2012-04-09 2023-08-22 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11594473B2 (en) 2012-04-09 2023-02-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11694944B1 (en) 2012-04-09 2023-07-04 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11881443B2 (en) 2012-04-09 2024-01-23 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US8557632B1 (en) 2012-04-09 2013-10-15 Monolithic 3D Inc. Method for fabrication of a semiconductor device and structure
US10600888B2 (en) 2012-04-09 2020-03-24 Monolithic 3D Inc. 3D semiconductor device
US11616004B1 (en) 2012-04-09 2023-03-28 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and a connective path
US11088050B2 (en) 2012-04-09 2021-08-10 Monolithic 3D Inc. 3D semiconductor device with isolation layers
US11476181B1 (en) 2012-04-09 2022-10-18 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11410912B2 (en) 2012-04-09 2022-08-09 Monolithic 3D Inc. 3D semiconductor device with vias and isolation layers
CN102751436A (en) * 2012-06-12 2012-10-24 清华大学 Vertical selection pipe, storage unit, three-dimensional memory array and operation method thereof
US9006060B2 (en) 2012-08-21 2015-04-14 Micron Technology, Inc. N-type field effect transistors, arrays comprising N-type vertically-oriented transistors, methods of forming an N-type field effect transistor, and methods of forming an array comprising vertically-oriented N-type transistors
US9129896B2 (en) 2012-08-21 2015-09-08 Micron Technology, Inc. Arrays comprising vertically-oriented transistors, integrated circuitry comprising a conductive line buried in silicon-comprising semiconductor material, methods of forming a plurality of conductive lines buried in silicon-comprising semiconductor material, and methods of forming an array comprising vertically-oriented transistors
US9478550B2 (en) 2012-08-27 2016-10-25 Micron Technology, Inc. Arrays of vertically-oriented transistors, and memory arrays including vertically-oriented transistors
JP6128787B2 (en) 2012-09-28 2017-05-17 キヤノン株式会社 Semiconductor device
US8686428B1 (en) * 2012-11-16 2014-04-01 Monolithic 3D Inc. Semiconductor device and structure
US8574929B1 (en) 2012-11-16 2013-11-05 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11063024B1 (en) 2012-12-22 2021-07-13 Monlithic 3D Inc. Method to form a 3D semiconductor device and structure
US11784169B2 (en) 2012-12-22 2023-10-10 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11018116B2 (en) 2012-12-22 2021-05-25 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11309292B2 (en) 2012-12-22 2022-04-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US8674470B1 (en) 2012-12-22 2014-03-18 Monolithic 3D Inc. Semiconductor device and structure
US11916045B2 (en) 2012-12-22 2024-02-27 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US11217565B2 (en) 2012-12-22 2022-01-04 Monolithic 3D Inc. Method to form a 3D semiconductor device and structure
US11430668B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US11177140B2 (en) 2012-12-29 2021-11-16 Monolithic 3D Inc. 3D semiconductor device and structure
US11004694B1 (en) 2012-12-29 2021-05-11 Monolithic 3D Inc. 3D semiconductor device and structure
US9385058B1 (en) 2012-12-29 2016-07-05 Monolithic 3D Inc. Semiconductor device and structure
US9871034B1 (en) 2012-12-29 2018-01-16 Monolithic 3D Inc. Semiconductor device and structure
US10651054B2 (en) 2012-12-29 2020-05-12 Monolithic 3D Inc. 3D semiconductor device and structure
US10115663B2 (en) 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US10600657B2 (en) 2012-12-29 2020-03-24 Monolithic 3D Inc 3D semiconductor device and structure
US11430667B2 (en) 2012-12-29 2022-08-30 Monolithic 3D Inc. 3D semiconductor device and structure with bonding
US10903089B1 (en) 2012-12-29 2021-01-26 Monolithic 3D Inc. 3D semiconductor device and structure
US10892169B2 (en) 2012-12-29 2021-01-12 Monolithic 3D Inc. 3D semiconductor device and structure
US11087995B1 (en) 2012-12-29 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US8951893B2 (en) 2013-01-03 2015-02-10 International Business Machines Corporation Fabricating polysilicon MOS devices and passive ESD devices
US8928142B2 (en) * 2013-02-22 2015-01-06 Fairchild Semiconductor Corporation Apparatus related to capacitance reduction of a signal port
US9112047B2 (en) 2013-02-28 2015-08-18 Freescale Semiconductor, Inc. Split gate non-volatile memory (NVM) cell and method therefor
US20140241031A1 (en) 2013-02-28 2014-08-28 Sandisk 3D Llc Dielectric-based memory cells having multi-level one-time programmable and bi-level rewriteable operating modes and methods of forming the same
US8865530B2 (en) 2013-03-08 2014-10-21 International Business Machines Corporation Extremely thin semiconductor on insulator (ETSOI) logic and memory hybrid chip
US11935949B1 (en) 2013-03-11 2024-03-19 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US8902663B1 (en) 2013-03-11 2014-12-02 Monolithic 3D Inc. Method of maintaining a memory state
US11869965B2 (en) 2013-03-11 2024-01-09 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers and memory cells
US10325651B2 (en) 2013-03-11 2019-06-18 Monolithic 3D Inc. 3D semiconductor device with stacked memory
US11088130B2 (en) 2014-01-28 2021-08-10 Monolithic 3D Inc. 3D semiconductor device and structure
US10840239B2 (en) 2014-08-26 2020-11-17 Monolithic 3D Inc. 3D semiconductor device and structure
US8994404B1 (en) 2013-03-12 2015-03-31 Monolithic 3D Inc. Semiconductor device and structure
US11398569B2 (en) 2013-03-12 2022-07-26 Monolithic 3D Inc. 3D semiconductor device and structure
US11923374B2 (en) 2013-03-12 2024-03-05 Monolithic 3D Inc. 3D semiconductor device and structure with metal layers
US9111853B2 (en) 2013-03-15 2015-08-18 Micron Technology, Inc. Methods of forming doped elements of semiconductor device structures
US9117749B1 (en) 2013-03-15 2015-08-25 Monolithic 3D Inc. Semiconductor device and structure
CN103137646A (en) * 2013-03-15 2013-06-05 中国科学院微电子研究所 Strobing device unit used for cross array integration way of double-pole type resistance change storage
US10224279B2 (en) 2013-03-15 2019-03-05 Monolithic 3D Inc. Semiconductor device and structure
US9006816B2 (en) 2013-03-28 2015-04-14 Stmicroelectronics, Inc. Memory device having multiple dielectric gate stacks and related methods
US8860123B1 (en) 2013-03-28 2014-10-14 Stmicroelectronics, Inc. Memory device having multiple dielectric gate stacks with first and second dielectric layers and related methods
US11720736B2 (en) 2013-04-15 2023-08-08 Monolithic 3D Inc. Automation methods for 3D integrated circuits and devices
US11487928B2 (en) 2013-04-15 2022-11-01 Monolithic 3D Inc. Automation for monolithic 3D devices
US11270055B1 (en) 2013-04-15 2022-03-08 Monolithic 3D Inc. Automation for monolithic 3D devices
US11574109B1 (en) 2013-04-15 2023-02-07 Monolithic 3D Inc Automation methods for 3D integrated circuits and devices
US9021414B1 (en) 2013-04-15 2015-04-28 Monolithic 3D Inc. Automation for monolithic 3D devices
US11341309B1 (en) 2013-04-15 2022-05-24 Monolithic 3D Inc. Automation for monolithic 3D devices
US11030371B2 (en) 2013-04-15 2021-06-08 Monolithic 3D Inc. Automation for monolithic 3D devices
EP2884542A3 (en) * 2013-12-10 2015-09-02 IMEC vzw Integrated circuit device with power gating switch in back end of line
US9129956B2 (en) * 2013-12-11 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Device having multiple-layer pins in memory MUX1 layout
US10297586B2 (en) 2015-03-09 2019-05-21 Monolithic 3D Inc. Methods for processing a 3D semiconductor device
US11107808B1 (en) 2014-01-28 2021-08-31 Monolithic 3D Inc. 3D semiconductor device and structure
US11031394B1 (en) 2014-01-28 2021-06-08 Monolithic 3D Inc. 3D semiconductor device and structure
US9379246B2 (en) * 2014-03-05 2016-06-28 Sandisk Technologies Inc. Vertical thin film transistor selection devices and methods of fabrication
US10006899B2 (en) 2014-03-25 2018-06-26 Genia Technologies, Inc. Nanopore-based sequencing chips using stacked wafer technology
JP6635670B2 (en) * 2014-04-11 2020-01-29 株式会社半導体エネルギー研究所 Semiconductor device
US9412736B2 (en) * 2014-06-05 2016-08-09 Globalfoundries Inc. Embedding semiconductor devices in silicon-on-insulator wafers connected using through silicon vias
WO2015195084A1 (en) * 2014-06-16 2015-12-23 Intel Corporation Embedded memory in interconnect stack on silicon die
US9985026B2 (en) * 2014-08-15 2018-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor, integrated circuit and method of fabricating the same
JP5989238B2 (en) 2014-08-28 2016-09-07 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. Semiconductor device and method for manufacturing semiconductor device
JP6065190B2 (en) * 2014-09-05 2017-01-25 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. Semiconductor device
EP3007224A1 (en) * 2014-10-08 2016-04-13 Nxp B.V. Metallisation for semiconductor device
US20160118404A1 (en) * 2014-10-09 2016-04-28 Haibing Peng Three-dimensional non-volatile ferroelectric random access memory
US9589979B2 (en) * 2014-11-19 2017-03-07 Macronix International Co., Ltd. Vertical and 3D memory devices and methods of manufacturing the same
US10950722B2 (en) * 2014-12-31 2021-03-16 Stmicroelectronics, Inc. Vertical gate all-around transistor
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US9425041B2 (en) 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
WO2016163045A1 (en) 2015-04-06 2016-10-13 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド Columnar semiconductor device having sgt, and method for manufacturing same
WO2016162927A1 (en) 2015-04-06 2016-10-13 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド Columnar semiconductor memory device and method for manufacturing same
US10381328B2 (en) 2015-04-19 2019-08-13 Monolithic 3D Inc. Semiconductor device and structure
US10825779B2 (en) 2015-04-19 2020-11-03 Monolithic 3D Inc. 3D semiconductor device and structure
US11011507B1 (en) 2015-04-19 2021-05-18 Monolithic 3D Inc. 3D semiconductor device and structure
US11056468B1 (en) 2015-04-19 2021-07-06 Monolithic 3D Inc. 3D semiconductor device and structure
JP6175196B2 (en) 2015-07-08 2017-08-02 ユニサンティス エレクトロニクス シンガポール プライベート リミテッドUnisantis Electronics Singapore Pte Ltd. Columnar semiconductor memory device and manufacturing method thereof
US9460770B1 (en) 2015-09-01 2016-10-04 Micron Technology, Inc. Methods of operating ferroelectric memory cells, and related ferroelectric memory cells
JP6089081B1 (en) * 2015-09-16 2017-03-01 株式会社東芝 Magnetic memory
CN115942752A (en) 2015-09-21 2023-04-07 莫诺利特斯3D有限公司 3D semiconductor device and structure
US10522225B1 (en) 2015-10-02 2019-12-31 Monolithic 3D Inc. Semiconductor device with non-volatile memory
US10229916B2 (en) 2015-10-09 2019-03-12 Unisantis Electronics Singapore Pte. Ltd. Method for producing pillar-shaped semiconductor device
US10410932B2 (en) 2015-10-09 2019-09-10 Unisantis Electronics Singapore Pte. Ltd. Method for producing pillar-shaped semiconductor device
US10847540B2 (en) 2015-10-24 2020-11-24 Monolithic 3D Inc. 3D semiconductor memory device and structure
US11114464B2 (en) 2015-10-24 2021-09-07 Monolithic 3D Inc. 3D semiconductor device and structure
US10418369B2 (en) 2015-10-24 2019-09-17 Monolithic 3D Inc. Multi-level semiconductor memory device and structure
US11296115B1 (en) 2015-10-24 2022-04-05 Monolithic 3D Inc. 3D semiconductor device and structure
US11114427B2 (en) 2015-11-07 2021-09-07 Monolithic 3D Inc. 3D semiconductor processor and memory device and structure
US11937422B2 (en) 2015-11-07 2024-03-19 Monolithic 3D Inc. Semiconductor memory device and structure
US9754660B2 (en) 2015-11-19 2017-09-05 Samsung Electronics Co., Ltd. Semiconductor device
WO2017104066A1 (en) * 2015-12-18 2017-06-22 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド Sgt-including semiconductor device and method for producing same
US10128253B2 (en) 2016-01-29 2018-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Two-port SRAM structure
US10043796B2 (en) 2016-02-01 2018-08-07 Qualcomm Incorporated Vertically stacked nanowire field effect transistors
FR3049761B1 (en) * 2016-03-31 2018-10-05 Soitec METHOD FOR MANUFACTURING A STRUCTURE FOR FORMING A THREE DIMENSIONAL MONOLITHIC INTEGRATED CIRCUIT
JP6097434B2 (en) * 2016-04-28 2017-03-15 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method of semiconductor device
KR102208380B1 (en) * 2016-08-31 2021-01-28 마이크론 테크놀로지, 인크 Memory cells and memory arrays
US10438838B2 (en) * 2016-09-01 2019-10-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and related method
US11251149B2 (en) 2016-10-10 2022-02-15 Monolithic 3D Inc. 3D memory device and structure
US11812620B2 (en) 2016-10-10 2023-11-07 Monolithic 3D Inc. 3D DRAM memory devices and structures with control circuits
US11869591B2 (en) 2016-10-10 2024-01-09 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11329059B1 (en) 2016-10-10 2022-05-10 Monolithic 3D Inc. 3D memory devices and structures with thinned single crystal substrates
US11711928B2 (en) 2016-10-10 2023-07-25 Monolithic 3D Inc. 3D memory devices and structures with control circuits
US11930648B1 (en) 2016-10-10 2024-03-12 Monolithic 3D Inc. 3D memory devices and structures with metal layers
KR101928629B1 (en) 2016-12-01 2018-12-12 한양대학교 산학협력단 Two-terminal vertical 1-t dram and manufacturing method thereof
US10361128B2 (en) 2017-01-11 2019-07-23 International Business Machines Corporation 3D vertical FET with top and bottom gate contacts
US9875784B1 (en) * 2017-04-13 2018-01-23 Qualcomm Incorporated Three-dimensional (3D) ferroelectric dipole metal-oxide semiconductor ferroelectric field-effect transistor (MOSFeFET) system, and related methods and systems
US20180358352A1 (en) * 2017-06-08 2018-12-13 Silicet, LLC Structure, method, and circuit for electrostatic discharge protection utilizing a rectifying contact
CN110603640B (en) * 2017-07-17 2023-06-27 美光科技公司 Memory circuit system
JP2019057554A (en) * 2017-09-20 2019-04-11 東芝メモリ株式会社 Storage device
US10896979B2 (en) * 2017-09-28 2021-01-19 International Business Machines Corporation Compact vertical injection punch through floating gate analog memory and a manufacture thereof
US10833078B2 (en) * 2017-12-04 2020-11-10 Tokyo Electron Limited Semiconductor apparatus having stacked gates and method of manufacture thereof
US10658425B2 (en) 2017-12-28 2020-05-19 Spin Memory, Inc. Methods of forming perpendicular magnetic tunnel junction memory cells having vertical channels
US11222970B2 (en) 2017-12-28 2022-01-11 Integrated Silicon Solution, (Cayman) Inc. Perpendicular magnetic tunnel junction memory cells having vertical channels
US10468293B2 (en) * 2017-12-28 2019-11-05 Spin Memory, Inc. Methods of forming perpendicular magnetic tunnel junction memory cells having vertical channels
US10460778B2 (en) 2017-12-29 2019-10-29 Spin Memory, Inc. Perpendicular magnetic tunnel junction memory cells having shared source contacts
US10283411B1 (en) * 2018-01-02 2019-05-07 International Business Machines Corporation Stacked vertical transistor device for three-dimensional monolithic integration
US10790271B2 (en) * 2018-04-17 2020-09-29 International Business Machines Corporation Perpendicular stacked field-effect transistor device
US10840254B2 (en) 2018-05-22 2020-11-17 Macronix International Co., Ltd. Pitch scalable 3D NAND
WO2019226341A1 (en) 2018-05-25 2019-11-28 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling
EP3821457A4 (en) 2018-07-09 2022-04-13 Lam Research Corporation Electron excitation atomic layer etch
JP2020047642A (en) 2018-09-14 2020-03-26 キオクシア株式会社 Semiconductor storage device
US10607938B1 (en) * 2018-10-26 2020-03-31 International Business Machines Corporation Power distribution networks for monolithic three-dimensional semiconductor integrated circuit devices
CN111293137A (en) * 2018-12-07 2020-06-16 中国科学院上海微系统与信息技术研究所 Three-dimensional MRAM storage structure based on two-dimensional CMOS and manufacturing method thereof
CN111435658B (en) * 2019-01-14 2023-05-23 联华电子股份有限公司 Method for forming memory stacking structure
US11107827B2 (en) 2019-02-28 2021-08-31 International Business Machines Corporation Integration of split gate metal-oxide-nitride-oxide-semiconductor memory with vertical FET
CN111755445A (en) * 2019-03-29 2020-10-09 长鑫存储技术有限公司 Method for manufacturing semiconductor structure
US11018156B2 (en) 2019-04-08 2021-05-25 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11158652B1 (en) 2019-04-08 2021-10-26 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11763864B2 (en) 2019-04-08 2023-09-19 Monolithic 3D Inc. 3D memory semiconductor devices and structures with bit-line pillars
US11296106B2 (en) 2019-04-08 2022-04-05 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US10892016B1 (en) 2019-04-08 2021-01-12 Monolithic 3D Inc. 3D memory semiconductor devices and structures
US11228174B1 (en) 2019-05-30 2022-01-18 Silicet, LLC Source and drain enabled conduction triggers and immunity tolerance for integrated circuits
US10971447B2 (en) * 2019-06-24 2021-04-06 International Business Machines Corporation BEOL electrical fuse
KR20210012710A (en) 2019-07-26 2021-02-03 에스케이하이닉스 주식회사 Vertical memory device and method for fabricating vertical memory device
US11411025B2 (en) 2019-10-23 2022-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3D ferroelectric memory
DE102020119199A1 (en) 2019-10-23 2021-04-29 Taiwan Semiconductor Manufacturing Co. Ltd. 3D FERROELECTRIC MEMORY
KR20210052928A (en) * 2019-11-01 2021-05-11 에스케이하이닉스 주식회사 Semiconductor memory device and manufacturing method thereof
US10892362B1 (en) 2019-11-06 2021-01-12 Silicet, LLC Devices for LDMOS and other MOS transistors with hybrid contact
FR3105748B1 (en) * 2019-12-26 2022-09-02 Aledia Device for laser treatment and laser treatment method
US11476248B2 (en) * 2019-12-26 2022-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Three dimensional integrated circuit and fabrication thereof
US11302865B2 (en) * 2019-12-26 2022-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Phase-change memory with two-portioned phase-change layer
KR102226206B1 (en) * 2020-02-06 2021-03-11 포항공과대학교 산학협력단 Memory device including double PN junctions and driving method thereof
US11508817B2 (en) * 2020-05-28 2022-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Passivation layer for epitaxial semiconductor process
DE102020125995A1 (en) * 2020-05-28 2021-12-02 Taiwan Semiconductor Manufacturing Co. Ltd. PASSIVATION LAYER FOR EPITACTIC SEMICONDUCTOR PROCESS
US11430797B2 (en) 2020-06-30 2022-08-30 Qualcomm Incorporated Package embedded programmable resistor for voltage droop mitigation
US11527623B2 (en) * 2020-07-28 2022-12-13 Micron Technology, Inc. Integrated assemblies and methods of forming integrated assemblies
EP4200911A1 (en) 2020-12-04 2023-06-28 Amplexia, LLC Ldmos with self-aligned body and hybrid source
CN113053900B (en) * 2021-03-22 2023-01-20 长鑫存储技术有限公司 Semiconductor structure and manufacturing method thereof
CN113078625B (en) * 2021-03-24 2023-02-17 重庆邮电大学 Surge protection array based on chalcogenide compound and preparation method
CN113206099A (en) * 2021-05-06 2021-08-03 长江先进存储产业创新中心有限责任公司 Semiconductor device and method for manufacturing the same

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6194290B1 (en) * 1998-03-09 2001-02-27 Intersil Corporation Methods for making semiconductor devices by low temperature direct bonding
US6821826B1 (en) * 2003-09-30 2004-11-23 International Business Machines Corporation Three dimensional CMOS integrated circuits having device layers built on different crystal oriented wafers

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4296428A (en) * 1979-06-28 1981-10-20 Rockwell International Corporation Merged field effect transistor circuit and fabrication process
US4400715A (en) * 1980-11-19 1983-08-23 International Business Machines Corporation Thin film semiconductor device and method for manufacture
US4740826A (en) * 1985-09-25 1988-04-26 Texas Instruments Incorporated Vertical inverter
JPH04192368A (en) * 1990-11-23 1992-07-10 Sony Corp Longitudinal channel fet
US5216263A (en) * 1990-11-29 1993-06-01 Xerox Corporation High density, independently addressable, surface emitting semiconductor laser-light emitting diode arrays
JP2991489B2 (en) * 1990-11-30 1999-12-20 株式会社東芝 Semiconductor device
FR2747506B1 (en) * 1996-04-11 1998-05-15 Commissariat Energie Atomique PROCESS FOR OBTAINING A THIN FILM OF SEMICONDUCTOR MATERIAL INCLUDING IN PARTICULAR ELECTRONIC COMPONENTS
FR2748851B1 (en) * 1996-05-15 1998-08-07 Commissariat Energie Atomique PROCESS FOR PRODUCING A THIN FILM OF SEMICONDUCTOR MATERIAL
US7470598B2 (en) * 2004-06-21 2008-12-30 Sang-Yun Lee Semiconductor layer structure and method of making the same
US6191007B1 (en) * 1997-04-28 2001-02-20 Denso Corporation Method for manufacturing a semiconductor substrate
US5985742A (en) * 1997-05-12 1999-11-16 Silicon Genesis Corporation Controlled cleavage process and device for patterned films
FR2765398B1 (en) * 1997-06-25 1999-07-30 Commissariat Energie Atomique STRUCTURE WITH MICROELECTRONIC COMPONENT IN SEMICONDUCTOR MATERIAL DIFFICULT OF ENGRAVING AND WITH METAL HOLES
JP4032454B2 (en) * 1997-06-27 2008-01-16 ソニー株式会社 Manufacturing method of three-dimensional circuit element
US5882987A (en) * 1997-08-26 1999-03-16 International Business Machines Corporation Smart-cut process for the production of thin semiconductor material films
US6667511B1 (en) * 1997-12-18 2003-12-23 Advanced Micro Devices, Inc. NAND type core cell structure for a high density flash memory device having a unique select gate transistor configuration
US5943574A (en) * 1998-02-23 1999-08-24 Motorola, Inc. Method of fabricating 3D multilayer semiconductor circuits
US6057212A (en) * 1998-05-04 2000-05-02 International Business Machines Corporation Method for making bonded metal back-plane substrates
US6423614B1 (en) * 1998-06-30 2002-07-23 Intel Corporation Method of delaminating a thin film using non-thermal techniques
US6242324B1 (en) * 1999-08-10 2001-06-05 The United States Of America As Represented By The Secretary Of The Navy Method for fabricating singe crystal materials over CMOS devices
JP2001102523A (en) * 1999-09-28 2001-04-13 Sony Corp Thin-film device and manufacturing method therefor
US6391658B1 (en) * 1999-10-26 2002-05-21 International Business Machines Corporation Formation of arrays of microelectronic elements
EP1234332B1 (en) * 1999-11-15 2007-01-17 Infineon Technologies AG Dram cell structure with tunnel barrier
JP2001250913A (en) * 1999-12-28 2001-09-14 Mitsumasa Koyanagi Three-dimensional semiconductor integrated circuit device and its manufacturing method
US6525415B2 (en) 1999-12-28 2003-02-25 Fuji Xerox Co., Ltd. Three-dimensional semiconductor integrated circuit apparatus and manufacturing method therefor
US6871396B2 (en) * 2000-02-09 2005-03-29 Matsushita Electric Industrial Co., Ltd. Transfer material for wiring substrate
JP3735855B2 (en) * 2000-02-17 2006-01-18 日本電気株式会社 Semiconductor integrated circuit device and driving method thereof
US6635552B1 (en) * 2000-06-12 2003-10-21 Micron Technology, Inc. Methods of forming semiconductor constructions
US6429484B1 (en) * 2000-08-07 2002-08-06 Advanced Micro Devices, Inc. Multiple active layer structure and a method of making such a structure
US6600173B2 (en) 2000-08-30 2003-07-29 Cornell Research Foundation, Inc. Low temperature semiconductor layering and three-dimensional electronic circuits using the layering
US6355501B1 (en) 2000-09-21 2002-03-12 International Business Machines Corporation Three-dimensional chip stacking assembly
JP3940596B2 (en) * 2001-05-24 2007-07-04 松下電器産業株式会社 Illumination light source
DE10200399B4 (en) * 2002-01-08 2008-03-27 Advanced Micro Devices, Inc., Sunnyvale A method for producing a three-dimensionally integrated semiconductor device and a three-dimensionally integrated semiconductor device
US6661085B2 (en) * 2002-02-06 2003-12-09 Intel Corporation Barrier structure against corrosion and contamination in three-dimensional (3-D) wafer-to-wafer vertical stack
US7138685B2 (en) * 2002-12-11 2006-11-21 International Business Machines Corporation Vertical MOSFET SRAM cell

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6194290B1 (en) * 1998-03-09 2001-02-27 Intersil Corporation Methods for making semiconductor devices by low temperature direct bonding
US6821826B1 (en) * 2003-09-30 2004-11-23 International Business Machines Corporation Three dimensional CMOS integrated circuits having device layers built on different crystal oriented wafers

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9257432B2 (en) 2011-02-17 2016-02-09 Semiconductor Energy Laboratory Co., Ltd. Semiconductor memory device and method of manufacturing semiconductor memory device

Also Published As

Publication number Publication date
EP1636831A4 (en) 2008-12-31
US7052941B2 (en) 2006-05-30
EP1636831A2 (en) 2006-03-22
JP5202842B2 (en) 2013-06-05
WO2005010934A2 (en) 2005-02-03
EP1636831B1 (en) 2015-04-01
US20060275962A1 (en) 2006-12-07
JP2007525004A (en) 2007-08-30
US20040262635A1 (en) 2004-12-30

Similar Documents

Publication Publication Date Title
WO2005010934A3 (en) Three-dimensional integrated circuit structure and method of making same
WO2005057631A3 (en) Planar substrate with selected semiconductor crystal orientations formed by localized amorphzation and recrystallization of stacked template layers
WO2003019651A3 (en) Through-via vertical interconnects, through-via heat sinks and associated fabrication methods
WO2004109771A3 (en) Stackable semiconductor device and method of manufacturing the same
WO2005091795A3 (en) Method of making a semiconductor device, and semiconductor device made thereby
WO2005081316A3 (en) Semiconductor component comprising an interposer substrate and method for the production thereof
TW200614886A (en) Wiring substrate and semiconductor device using the same
EP1758438A3 (en) Method of manufacturing a wiring board
WO2004068556A3 (en) Semiconductor structures with structural homogeneity
TW200607056A (en) Semiconductor chip-embedded substrate and method of manufacturing same
EP1463111A3 (en) Multilayer circuit board with semiconductor chip
EP1432032A3 (en) Semiconductor chip stack and method for manufacturing the same
WO2010145907A3 (en) Methods and systems for fabrication of mems cmos devices
WO2007030294A3 (en) Method for forming integrated circuit utilizing dual semiconductors
EP0997948A3 (en) Semiconductor memory device
WO2009105367A3 (en) Integrated circuit package and method of manufacturing same
WO2008070266A3 (en) Methods for manufacturing three-dimensional thin-film solar cells
WO2004027834A3 (en) Mim capacitor structures and fabrication methods in dual-damascene structures
WO2007053686A3 (en) Monolithically integrated semiconductor materials and devices
EP1737039A3 (en) Semiconductor Package
EP2199437A3 (en) Organic semiconductor solution
EP1739747A3 (en) Semiconductor chip and method of manufacturing the same
TW200733275A (en) Semiconductor device and method of manufacturing the same
TW200709345A (en) Method of fabricating a trench capacitor having increased capacitance
TW200625532A (en) Semiconductor device having mim element

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2006517574

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 2004776960

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 20048173344

Country of ref document: CN

WWP Wipo information: published in national office

Ref document number: 2004776960

Country of ref document: EP