WO2005040917A3 - System and method for lithography simulation - Google Patents

System and method for lithography simulation Download PDF

Info

Publication number
WO2005040917A3
WO2005040917A3 PCT/US2004/028864 US2004028864W WO2005040917A3 WO 2005040917 A3 WO2005040917 A3 WO 2005040917A3 US 2004028864 W US2004028864 W US 2004028864W WO 2005040917 A3 WO2005040917 A3 WO 2005040917A3
Authority
WO
WIPO (PCT)
Prior art keywords
characterization
present
design
inspection
simulation
Prior art date
Application number
PCT/US2004/028864
Other languages
French (fr)
Other versions
WO2005040917A2 (en
Inventor
Jun Ye
Yen-Wen Lu
Yu Cao
Luoqi Chen
Xun Chen
Original Assignee
Brion Tech Inc
Jun Ye
Yen-Wen Lu
Yu Cao
Luoqi Chen
Xun Chen
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Brion Tech Inc, Jun Ye, Yen-Wen Lu, Yu Cao, Luoqi Chen, Xun Chen filed Critical Brion Tech Inc
Priority to JP2006533885A priority Critical patent/JP2007507891A/en
Publication of WO2005040917A2 publication Critical patent/WO2005040917A2/en
Publication of WO2005040917A3 publication Critical patent/WO2005040917A3/en

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70653Metrology techniques
    • G03F7/70666Aerial image, i.e. measuring the image of the patterned exposure light at the image plane of the projection system
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/333Design for testability [DFT], e.g. scan chain or built-in self-test [BIST]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer
    • GPHYSICS
    • G16INFORMATION AND COMMUNICATION TECHNOLOGY [ICT] SPECIALLY ADAPTED FOR SPECIFIC APPLICATION FIELDS
    • G16ZINFORMATION AND COMMUNICATION TECHNOLOGY [ICT] SPECIALLY ADAPTED FOR SPECIFIC APPLICATION FIELDS, NOT OTHERWISE PROVIDED FOR
    • G16Z99/00Subject matter not provided for in other main groups of this subclass
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K5/00Irradiation devices

Abstract

There are many inventions described and illustrated herein. In one aspect, the present invention is directed to a technique of, and system for simulating, verifying, inspecting, characterizing, determining and/or evaluating the lithographic designs, techniques and/or systems, and/or individual functions performed thereby or components used therein. In one embodiment, the present invention is a system and method that accelerates lithography simulation, inspection, characterization and/or evaluation of the optical characteristics and/or properties, as well as the effects and/or interactions of lithographic systems and processing techniques. In this regard, in one embodiment, the present invention employs a lithography simulation system architecture (110), including application-specific hardware accelerators (116a… 116n), and a processing technique to accelerate and facilitate verification, characterization and/or inspection of a mask design, for example, RET design, including detailed simulation and characterization of the entire lithography process to verify that the design achieves and/or provides the desired results on final wafer pattern. The system (110) includes: general purpose-type computing device(s) (114a, 142a, 142b) to perform the case-based logic having branches and interdependency in the data handling and accelerator subsystems (146a1… 146ax, …, 146n1… 146nx) to perform a majority of the computation intensive tasks.
PCT/US2004/028864 2003-10-07 2004-09-07 System and method for lithography simulation WO2005040917A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2006533885A JP2007507891A (en) 2003-10-07 2004-09-07 Apparatus and method for lithography simulation

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US50960003P 2003-10-07 2003-10-07
US60/509,600 2003-10-07
US10/815,573 US7003758B2 (en) 2003-10-07 2004-04-01 System and method for lithography simulation
US10/815,573 2004-04-01

Publications (2)

Publication Number Publication Date
WO2005040917A2 WO2005040917A2 (en) 2005-05-06
WO2005040917A3 true WO2005040917A3 (en) 2006-02-23

Family

ID=34396590

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2004/028864 WO2005040917A2 (en) 2003-10-07 2004-09-07 System and method for lithography simulation

Country Status (3)

Country Link
US (10) US7003758B2 (en)
JP (3) JP2007507891A (en)
WO (1) WO2005040917A2 (en)

Families Citing this family (264)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7698665B2 (en) * 2003-04-06 2010-04-13 Luminescent Technologies, Inc. Systems, masks, and methods for manufacturable masks using a functional representation of polygon pattern
US7124394B1 (en) * 2003-04-06 2006-10-17 Luminescent Technologies, Inc. Method for time-evolving rectilinear contours representing photo masks
US7480889B2 (en) * 2003-04-06 2009-01-20 Luminescent Technologies, Inc. Optimized photomasks for photolithography
US20050234684A1 (en) * 2004-04-19 2005-10-20 Mentor Graphics Corp. Design for manufacturability
US7558419B1 (en) * 2003-08-14 2009-07-07 Brion Technologies, Inc. System and method for detecting integrated circuit pattern defects
US7003758B2 (en) * 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
KR20050043713A (en) * 2003-11-05 2005-05-11 에이에스엠엘 마스크툴즈 비.브이. Eigen decomposition based opc model
US7646906B2 (en) 2004-01-29 2010-01-12 Kla-Tencor Technologies Corp. Computer-implemented methods for detecting defects in reticle design data
US7242459B2 (en) * 2004-01-30 2007-07-10 Asml Masktools B.V. Method of predicting and minimizing model OPC deviation due to mix/match of exposure tools using a calibrated Eigen decomposition model
US9188974B1 (en) 2004-02-13 2015-11-17 Kla-Tencor Technologies Corp. Methods for improved monitor and control of lithography processes
JP2005258080A (en) * 2004-03-11 2005-09-22 Matsushita Electric Ind Co Ltd Layout data verification method, mask pattern verification method and circuit operation verification method
US7370311B1 (en) 2004-04-01 2008-05-06 Altera Corporation Generating components on a programmable device using a high-level language
US7409670B1 (en) * 2004-04-01 2008-08-05 Altera Corporation Scheduling logic on a programmable device implemented using a high-level language
US7653890B2 (en) * 2004-04-02 2010-01-26 Cadence Design Systems, Inc. Modeling resolution enhancement processes in integrated circuit fabrication
US20050240895A1 (en) * 2004-04-20 2005-10-27 Smith Adlai H Method of emulation of lithographic projection tools
US7448012B1 (en) * 2004-04-21 2008-11-04 Qi-De Qian Methods and system for improving integrated circuit layout
JP2005309140A (en) * 2004-04-22 2005-11-04 Toshiba Corp Method for manufacturing photomask, method for determining position of photomask defect correction, and apparatus for determining position of photomask defect correction
JP2007536673A (en) * 2004-05-09 2007-12-13 メンター・グラフィクス・コーポレーション Probable defect position identification method, Probable defect position identification tool
US7137085B1 (en) * 2004-06-01 2006-11-14 Advanced Micro Devices, Inc. Wafer level global bitmap characterization in integrated circuit technology development
US7653892B1 (en) 2004-08-18 2010-01-26 Cadence Design Systems, Inc. System and method for implementing image-based design rules
JP4904034B2 (en) * 2004-09-14 2012-03-28 ケーエルエー−テンカー コーポレイション Method, system and carrier medium for evaluating reticle layout data
JP4455469B2 (en) * 2004-09-14 2010-04-21 エーエスエムエル マスクツールズ ビー.ブイ. Method for full chip manufacturing reliability check and correction
US7739651B2 (en) * 2004-09-29 2010-06-15 Synopsys, Inc. Method and apparatus to determine if a pattern is robustly manufacturable
US7350183B2 (en) * 2004-11-05 2008-03-25 International Business Machines Corporation Method for improving optical proximity correction
US7805701B1 (en) * 2004-12-07 2010-09-28 National Semiconductor Corporation Universal two-input logic gate that is configurable and connectable in an integrated circuit by a single mask layer adjustment
US20060190915A1 (en) * 2005-01-19 2006-08-24 Smith Adlai H Machine specific and machine group correction of masks based on machine subsystem performance parameters
JP4483612B2 (en) * 2005-02-09 2010-06-16 ソニー株式会社 Photomask manufacturing method and semiconductor device manufacturing method
US20060242618A1 (en) * 2005-02-14 2006-10-26 Yao-Ting Wang Lithographic simulations using graphical processing units
US7475382B2 (en) * 2005-02-24 2009-01-06 Synopsys, Inc. Method and apparatus for determining an improved assist feature configuration in a mask layout
KR100674964B1 (en) * 2005-03-14 2007-01-26 삼성전자주식회사 Method and systematic apparatus for correcting photomask
US7519940B2 (en) * 2005-05-02 2009-04-14 Cadence Design Systems, Inc. Apparatus and method for compensating a lithography projection tool
US7853920B2 (en) * 2005-06-03 2010-12-14 Asml Netherlands B.V. Method for detecting, sampling, analyzing, and correcting marginal patterns in integrated circuit manufacturing
US8219940B2 (en) * 2005-07-06 2012-07-10 Semiconductor Insights Inc. Method and apparatus for removing dummy features from a data structure
US7769225B2 (en) * 2005-08-02 2010-08-03 Kla-Tencor Technologies Corp. Methods and systems for detecting defects in a reticle design pattern
US7488933B2 (en) * 2005-08-05 2009-02-10 Brion Technologies, Inc. Method for lithography model calibration
KR100958714B1 (en) 2005-08-08 2010-05-18 브라이언 테크놀로지스, 인코포레이티드 System and method for creating a focus-exposure model of a lithography process
US7695876B2 (en) * 2005-08-31 2010-04-13 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
CN101305320B (en) * 2005-09-09 2012-07-04 Asml荷兰有限公司 System and method for mask verification using an individual mask error model
US7707541B2 (en) * 2005-09-13 2010-04-27 Luminescent Technologies, Inc. Systems, masks, and methods for photolithography
JP4195029B2 (en) * 2005-09-22 2008-12-10 アドバンスド・マスク・インスペクション・テクノロジー株式会社 Image correction apparatus, pattern inspection apparatus, image correction method, and pattern defect inspection method
US7346863B1 (en) 2005-09-28 2008-03-18 Altera Corporation Hardware acceleration of high-level language code sequences on programmable devices
WO2007041600A2 (en) * 2005-10-03 2007-04-12 Luminescent Technologies, Inc. Mask-pattern determination using topology types
WO2007041602A2 (en) * 2005-10-03 2007-04-12 Luminescent Technologies, Inc. Lithography verification using guard bands
US7793253B2 (en) * 2005-10-04 2010-09-07 Luminescent Technologies, Inc. Mask-patterns including intentional breaks
US7325225B2 (en) * 2005-10-05 2008-01-29 Yasushi Tanaka Method and apparatus for reducing OPC model errors
WO2007044557A2 (en) 2005-10-06 2007-04-19 Luminescent Technologies, Inc. System, masks, and methods for photomasks optimized with approximate and accurate merit functions
KR100725170B1 (en) * 2005-11-15 2007-06-04 삼성전자주식회사 System And Method For Fabricating Photomask
US7570796B2 (en) * 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7676077B2 (en) 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US8041103B2 (en) * 2005-11-18 2011-10-18 Kla-Tencor Technologies Corp. Methods and systems for determining a position of inspection data in design data space
US7546574B2 (en) * 2005-12-02 2009-06-09 Gauda, Inc. Optical proximity correction on hardware or software platforms with graphical processing units
US7631286B2 (en) * 2005-12-30 2009-12-08 Wafertech Llc Automated metrology recipe generation
US7921383B1 (en) * 2006-01-11 2011-04-05 Olambda, Inc Photolithographic process simulation including efficient result computation for multiple process variation values
US7694267B1 (en) 2006-02-03 2010-04-06 Brion Technologies, Inc. Method for process window optimized optical proximity correction
US7584450B2 (en) * 2006-02-17 2009-09-01 Synopsys, Inc. Method and apparatus for using a database to quickly identify and correct a manufacturing problem area in a layout
US7954072B2 (en) * 2006-05-15 2011-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Model import for electronic design automation
JP2007324342A (en) * 2006-05-31 2007-12-13 Nikon Corp Exposure method, exposure system management method, exposure system, and device manufacturing method
US7849423B1 (en) 2006-07-21 2010-12-07 Cadence Design Systems, Inc. Method of verifying photomask data based on models of etch and lithography processes
KR100807229B1 (en) * 2006-07-31 2008-02-28 삼성전자주식회사 Method of correcting a design pattern of a mask
US7966579B2 (en) * 2006-08-04 2011-06-21 Infineon Technologies Ag Methods of optical proximity correction
WO2008039674A2 (en) 2006-09-20 2008-04-03 Luminescent Technologies, Inc. Photo-mask and wafer image reconstruction
US8331645B2 (en) * 2006-09-20 2012-12-11 Luminescent Technologies, Inc. Photo-mask and wafer image reconstruction
JP4707701B2 (en) * 2006-11-08 2011-06-22 エーエスエムエル マスクツールズ ビー.ブイ. Method and computer program for generating a model for simulating the imaging performance of an optical imaging system having a pupil
WO2008077100A2 (en) * 2006-12-19 2008-06-26 Kla-Tencor Corporation Systems and methods for creating inspection recipes
EP2097788A1 (en) * 2006-12-21 2009-09-09 Nxp B.V. A method and system for identifying weak points in an integrated circuit design
WO2008086282A2 (en) * 2007-01-05 2008-07-17 Kla-Tencor Corporation Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions
US8611637B2 (en) * 2007-01-11 2013-12-17 Kla-Tencor Corporation Wafer plane detection of lithographically significant contamination photomask defects
US7995832B2 (en) * 2007-01-11 2011-08-09 Kla-Tencor Corporation Photomask inspection and verification by lithography image reconstruction using imaging pupil filters
KR101769258B1 (en) 2007-01-18 2017-08-17 가부시키가이샤 니콘 Scanner based optical proximity correction system and method of use
US8089666B2 (en) * 2007-01-25 2012-01-03 Ricoh Company, Ltd. Image forming apparatus
US8154770B2 (en) * 2007-01-31 2012-04-10 Ricoh Company, Ltd. Image forming apparatus
US7765515B2 (en) * 2007-02-03 2010-07-27 Anchor Semiconductor, Inc. Pattern match based optical proximity correction and verification of integrated circuit layout
US8682466B2 (en) * 2007-05-04 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Automatic virtual metrology for semiconductor wafer result prediction
US7738093B2 (en) 2007-05-07 2010-06-15 Kla-Tencor Corp. Methods for detecting and classifying defects on a reticle
US7962863B2 (en) * 2007-05-07 2011-06-14 Kla-Tencor Corp. Computer-implemented methods, systems, and computer-readable media for determining a model for predicting printability of reticle features on a wafer
US8213704B2 (en) * 2007-05-09 2012-07-03 Kla-Tencor Corp. Methods and systems for detecting defects in a reticle design pattern
US7707538B2 (en) * 2007-06-15 2010-04-27 Brion Technologies, Inc. Multivariable solver for optical proximity correction
US8191018B1 (en) * 2007-07-17 2012-05-29 Kovio, Inc. Methods and software for printing materials onto a substrate
US7796804B2 (en) * 2007-07-20 2010-09-14 Kla-Tencor Corp. Methods for generating a standard reference die for use in a die to standard reference die inspection and methods for inspecting a wafer
US7711514B2 (en) * 2007-08-10 2010-05-04 Kla-Tencor Technologies Corp. Computer-implemented methods, carrier media, and systems for generating a metrology sampling plan
US7703069B1 (en) 2007-08-14 2010-04-20 Brion Technologies, Inc. Three-dimensional mask model for photolithography simulation
JP5425779B2 (en) * 2007-08-20 2014-02-26 ケーエルエー−テンカー・コーポレーション A computer-implemented method for determining whether an actual defect is a potential systematic defect or a potentially random defect
US9779186B2 (en) 2007-08-28 2017-10-03 Asml Netherlands B.V. Methods for performing model-based lithography guided layout design
US8194255B2 (en) * 2007-09-14 2012-06-05 Ricoh Company, Ltd. Image forming apparatus
US20090089234A1 (en) * 2007-09-28 2009-04-02 Rockwell Automation Technologies, Inc. Automated code generation for simulators
US7707539B2 (en) * 2007-09-28 2010-04-27 Synopsys, Inc. Facilitating process model accuracy by modeling mask corner rounding effects
US20090089029A1 (en) * 2007-09-28 2009-04-02 Rockwell Automation Technologies, Inc. Enhanced execution speed to improve simulation performance
US7801710B2 (en) * 2007-09-28 2010-09-21 Rockwell Automation Technologies, Inc. Simulation controls for model variability and randomness
US20090089031A1 (en) * 2007-09-28 2009-04-02 Rockwell Automation Technologies, Inc. Integrated simulation of controllers and devices
US8548777B2 (en) * 2007-09-28 2013-10-01 Rockwell Automation Technologies, Inc. Automated recommendations from simulation
US8069021B2 (en) * 2007-09-28 2011-11-29 Rockwell Automation Technologies, Inc. Distributed simulation and synchronization
NL1036189A1 (en) * 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
US8073288B2 (en) * 2008-01-16 2011-12-06 International Business Machines Corporation Rendering a mask using coarse mask representation
US7975244B2 (en) * 2008-01-24 2011-07-05 International Business Machines Corporation Methodology and system for determining numerical errors in pixel-based imaging simulation in designing lithographic masks
US7861196B2 (en) * 2008-01-31 2010-12-28 Cadence Design Systems, Inc. System and method for multi-exposure pattern decomposition
US8139844B2 (en) * 2008-04-14 2012-03-20 Kla-Tencor Corp. Methods and systems for determining a defect criticality index for defects on wafers
US8082524B2 (en) 2008-04-15 2011-12-20 Luminescent Technologies, Inc. Mask patterns for use in multiple-exposure lithography
JP5225463B2 (en) 2008-06-03 2013-07-03 エーエスエムエル ネザーランズ ビー.ブイ. Lens heating compensation method
KR101928938B1 (en) 2008-06-03 2018-12-13 에이에스엠엘 네델란즈 비.브이. Model-based process simulation systems and methods
US8381152B2 (en) 2008-06-05 2013-02-19 Cadence Design Systems, Inc. Method and system for model-based design and layout of an integrated circuit
US10025198B2 (en) * 2008-07-07 2018-07-17 Asml Netherlands B.V. Smart selection and/or weighting of parameters for lithographic process simulation
US8542340B2 (en) * 2008-07-07 2013-09-24 Asml Netherlands B.V. Illumination optimization
US20100098323A1 (en) * 2008-07-18 2010-04-22 Agrawal Amit K Method and Apparatus for Determining 3D Shapes of Objects
US9659670B2 (en) 2008-07-28 2017-05-23 Kla-Tencor Corp. Computer-implemented methods, computer-readable media, and systems for classifying defects detected in a memory device area on a wafer
US8069423B2 (en) * 2008-08-11 2011-11-29 Cadence Design Systems, Inc. System and method for model based multi-patterning optimization
US9341936B2 (en) 2008-09-01 2016-05-17 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US20120219886A1 (en) 2011-02-28 2012-08-30 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
NL2003654A (en) 2008-11-06 2010-05-10 Brion Tech Inc Methods and system for lithography calibration.
NL2003696A (en) * 2008-11-10 2010-05-11 Brion Tech Inc Scanner model representation with transmission cross coefficients.
NL2003702A (en) 2008-11-10 2010-05-11 Brion Tech Inc Pattern selection for lithographic model calibration.
CN102224459B (en) 2008-11-21 2013-06-19 Asml荷兰有限公司 Fast freeform source and mask co-optimization method
NL2003699A (en) 2008-12-18 2010-06-21 Brion Tech Inc Method and system for lithography process-window-maximixing optical proximity correction.
IT1392495B1 (en) * 2008-12-29 2012-03-09 St Microelectronics Srl METHOD OF DESIGNING AN ACCELERATOR AT HIGH PERFORMANCE ASIC TYPE (INTEGRATED CIRCUIT WITH SPECIFIC APPLICATION - APPLICATION-SPECIFIC INTEGRATED CIRCUIT)
US8239786B2 (en) 2008-12-30 2012-08-07 Asml Netherlands B.V. Local multivariable solver for optical proximity correction in lithographic processing method, and device manufactured thereby
US8250495B2 (en) * 2009-01-16 2012-08-21 Mentor Graphics Corporation Mask decomposition for double dipole lithography
US8775101B2 (en) 2009-02-13 2014-07-08 Kla-Tencor Corp. Detecting defects on a wafer
US8204297B1 (en) 2009-02-27 2012-06-19 Kla-Tencor Corp. Methods and systems for classifying defects detected on a reticle
US8112241B2 (en) * 2009-03-13 2012-02-07 Kla-Tencor Corp. Methods and systems for generating an inspection process for a wafer
US8479125B2 (en) 2009-03-31 2013-07-02 Christophe Pierrat Lithography modeling and applications
JP4942800B2 (en) 2009-08-18 2012-05-30 株式会社ニューフレアテクノロジー Inspection device
JP5403744B2 (en) * 2009-08-19 2014-01-29 株式会社ニューフレアテクノロジー Charged particle beam drawing apparatus, charged particle beam drawing method, and charged particle beam drawing data processing apparatus
US9448473B2 (en) 2009-08-26 2016-09-20 D2S, Inc. Method for fracturing and forming a pattern using shaped beam charged particle beam lithography
NL2005522A (en) 2009-10-28 2011-05-02 Asml Netherlands Bv Pattern selection for full-chip source and mask optimization.
US8732629B2 (en) * 2009-10-30 2014-05-20 Synopsys, Inc. Method and system for lithography hotspot correction of a post-route layout
US8141027B2 (en) * 2010-01-05 2012-03-20 International Business Machines Corporation Automated sensitivity definition and calibration for design for manufacturing tools
JP4918598B2 (en) 2010-01-18 2012-04-18 株式会社ニューフレアテクノロジー Inspection apparatus and inspection method
US8812145B2 (en) 2010-01-22 2014-08-19 Synopsys, Inc. Modeling mask errors using aerial image sensitivity
US8355807B2 (en) * 2010-01-22 2013-01-15 Synopsys, Inc. Method and apparatus for using aerial image sensitivity to model mask errors
US8312413B2 (en) * 2010-01-22 2012-11-13 International Business Machines Corporation Navigating analytical tools using layout software
JP5695924B2 (en) 2010-02-01 2015-04-08 株式会社ニューフレアテクノロジー Defect estimation apparatus, defect estimation method, inspection apparatus, and inspection method
US8551283B2 (en) 2010-02-02 2013-10-08 Apple Inc. Offset control for assembling an electronic device housing
US8463016B2 (en) * 2010-02-05 2013-06-11 Luminescent Technologies, Inc. Extending the field of view of a mask-inspection image
US8402399B2 (en) 2010-04-30 2013-03-19 International Business Machines Corporation Method and system for computing fourier series coefficients for mask layouts using FFT
US20140079312A9 (en) * 2010-06-17 2014-03-20 Nova Measuring Instruments Ltd. Method and system for optimizing optical inspection of patterned structures
US9658527B2 (en) * 2010-07-12 2017-05-23 Carl Zeiss Sms Ltd. Correction of errors of a photolithographic mask using a joint optimization process
US8781781B2 (en) 2010-07-30 2014-07-15 Kla-Tencor Corp. Dynamic care areas
US8555214B2 (en) 2010-09-14 2013-10-08 Luminescent Technologies, Inc. Technique for analyzing a reflective photo-mask
US8612903B2 (en) 2010-09-14 2013-12-17 Luminescent Technologies, Inc. Technique for repairing a reflective photo-mask
DE102011083774B4 (en) 2010-10-04 2019-06-13 Carl Zeiss Sms Ltd. Method for determining laser correcting tool parameters
NL2007577A (en) * 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization of source, mask and projection optics.
NL2007579A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Pattern-dependent proximity matching/tuning including light manipulation by projection optics.
NL2007642A (en) 2010-11-10 2012-05-14 Asml Netherlands Bv Optimization flows of source, mask and projection optics.
NL2007578A (en) 2010-11-17 2012-05-22 Asml Netherlands Bv Pattern-independent and hybrid matching/tuning including light manipulation by projection optics.
US8619236B2 (en) 2010-11-24 2013-12-31 International Business Machines Corporation Determining lithographic set point using optical proximity correction verification simulation
US8386968B2 (en) 2010-11-29 2013-02-26 Luminescent Technologies, Inc. Virtual photo-mask critical-dimension measurement
US8458622B2 (en) 2010-11-29 2013-06-04 Luminescent Technologies, Inc. Photo-mask acceptance technique
JP5591675B2 (en) 2010-12-06 2014-09-17 株式会社ニューフレアテクノロジー Inspection apparatus and inspection method
US9588439B1 (en) * 2010-12-21 2017-03-07 Asml Netherlands B.V. Information matrix creation and calibration test pattern selection based on computational lithography model parameters
US8365108B2 (en) * 2011-01-06 2013-01-29 International Business Machines Corporation Generating cut mask for double-patterning process
US8577489B2 (en) 2011-01-26 2013-11-05 International Business Machines Corporation Diagnosing in-line critical dimension control adjustments using optical proximity correction verification
US8499260B2 (en) 2011-01-26 2013-07-30 International Business Machines Corporation Optical proximity correction verification accounting for mask deviations
US9005852B2 (en) 2012-09-10 2015-04-14 Dino Technology Acquisition Llc Technique for repairing a reflective photo-mask
US8656323B2 (en) 2011-02-22 2014-02-18 Kla-Tencor Corporation Based device risk assessment
US9612530B2 (en) 2011-02-28 2017-04-04 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
NL2008285A (en) * 2011-03-11 2012-09-12 Asml Netherlands Bv Method of controlling a lithographic apparatus, device manufacturing method, lithographic apparatus, computer program product and method of improving a mathematical model of a lithographic process.
US8618518B2 (en) * 2011-03-15 2013-12-31 Avago Technologies General Ip (Singapore) Pte. Ltd. Apparatus and method for forming a solid immersion lens using a binary bitmap milling pattern
US9170211B2 (en) 2011-03-25 2015-10-27 Kla-Tencor Corp. Design-based inspection using repeating structures
US10102619B1 (en) 2011-03-28 2018-10-16 Hermes Microvision, Inc. Inspection method and system
NL2008311A (en) * 2011-04-04 2012-10-08 Asml Netherlands Bv Integration of lithography apparatus and mask optimization process with multiple patterning process.
NL2008310A (en) * 2011-04-05 2012-10-08 Asml Netherlands Bv Lithographic method and assembly.
NL2008924A (en) * 2011-06-22 2013-01-02 Asml Netherlands Bv System and method to ensure source and image stability.
US8572518B2 (en) * 2011-06-23 2013-10-29 Nikon Precision Inc. Predicting pattern critical dimensions in a lithographic exposure process
US9034542B2 (en) 2011-06-25 2015-05-19 D2S, Inc. Method and system for forming patterns with charged particle beam lithography
US8653454B2 (en) 2011-07-13 2014-02-18 Luminescent Technologies, Inc. Electron-beam image reconstruction
CN102540773B (en) * 2011-08-29 2014-06-04 上海华力微电子有限公司 Novel method for inspecting photolithographic process by utilizing optical proximity correction (OPC) models of post exposure bake
US9087367B2 (en) 2011-09-13 2015-07-21 Kla-Tencor Corp. Determining design coordinates for wafer defects
US8719739B2 (en) * 2011-09-19 2014-05-06 D2S, Inc. Method and system for forming patterns using charged particle beam lithography
US8832621B1 (en) 2011-11-28 2014-09-09 Cadence Design Systems, Inc. Topology design using squish patterns
SG10201608504SA (en) * 2011-12-19 2016-12-29 Canon Nanotechnologies Inc Fabrication of seamless large area master templates for imprint lithography
NL2009982A (en) 2012-01-10 2013-07-15 Asml Netherlands Bv Source mask optimization to reduce stochastic effects.
US8831334B2 (en) 2012-01-20 2014-09-09 Kla-Tencor Corp. Segmentation for wafer inspection
JP5789275B2 (en) 2012-02-03 2015-10-07 エーエスエムエル ネザーランズ ビー.ブイ. Lithography model for simulation of 3D resist profiles
JP5666630B2 (en) * 2012-02-07 2015-02-12 エーエスエムエル ネザーランズ ビー.ブイ. Substrate topography recognition lithography modeling
NL2010196A (en) 2012-02-09 2013-08-13 Asml Netherlands Bv Lens heating aware source mask optimization for advanced lithography.
US9224183B2 (en) 2012-03-28 2015-12-29 Intel Corporation Projection of a plurality of structured light patterns
US8631360B2 (en) * 2012-04-17 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Methodology of optical proximity correction optimization
US9343267B2 (en) 2012-04-18 2016-05-17 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
US8464193B1 (en) 2012-05-18 2013-06-11 International Business Machines Corporation Optical proximity correction (OPC) methodology employing multiple OPC programs
US8826200B2 (en) 2012-05-25 2014-09-02 Kla-Tencor Corp. Alteration for wafer inspection
WO2013178459A1 (en) 2012-05-31 2013-12-05 Asml Netherlands B.V. Gradient-based pattern and evaluation point selection
US8948495B2 (en) * 2012-08-01 2015-02-03 Kla-Tencor Corp. Inspecting a wafer and/or predicting one or more characteristics of a device being formed on a wafer
JP5917337B2 (en) 2012-08-24 2016-05-11 株式会社東芝 Pattern data creation method
US9330223B2 (en) 2012-09-28 2016-05-03 International Business Machines Corporation Optical rule checking for detecting at risk structures for overlay issues
US9189844B2 (en) 2012-10-15 2015-11-17 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific information
US9053527B2 (en) 2013-01-02 2015-06-09 Kla-Tencor Corp. Detecting defects on a wafer
US9134254B2 (en) 2013-01-07 2015-09-15 Kla-Tencor Corp. Determining a position of inspection system output in design data space
US9311698B2 (en) 2013-01-09 2016-04-12 Kla-Tencor Corp. Detecting defects on a wafer using template image matching
WO2014149197A1 (en) 2013-02-01 2014-09-25 Kla-Tencor Corporation Detecting defects on a wafer using defect-specific and multi-channel information
JP6140844B2 (en) 2013-02-22 2017-05-31 エーエスエムエル ネザーランズ ビー.ブイ. Lithography model for 3D patterning devices
JP6096936B2 (en) 2013-02-25 2017-03-15 エーエスエムエル ネザーランズ ビー.ブイ. Discrete light source mask optimization
US9091935B2 (en) 2013-03-11 2015-07-28 Kla-Tencor Corporation Multistage extreme ultra-violet mask qualification
US9494854B2 (en) 2013-03-14 2016-11-15 Kla-Tencor Corporation Technique for repairing an EUV photo-mask
US9865512B2 (en) 2013-04-08 2018-01-09 Kla-Tencor Corp. Dynamic design attributes for wafer inspection
US9310320B2 (en) 2013-04-15 2016-04-12 Kla-Tencor Corp. Based sampling and binning for yield critical defects
US10339260B2 (en) 2013-09-06 2019-07-02 Asml Netherlands B.V. Methodology to generate guiding templates for directed self-assembly
WO2015049099A1 (en) 2013-10-01 2015-04-09 Asml Netherlands B.V. Profile aware source-mask optimization
WO2015090774A1 (en) 2013-12-17 2015-06-25 Asml Netherlands B.V. Yield estimation and control
WO2015112979A1 (en) * 2014-01-26 2015-07-30 Coventor, Inc. Modeling pattern dependent effects for a 3-d virtual semiconductor fabrication environment
SG11201606179QA (en) 2014-02-11 2016-08-30 Asml Netherlands Bv Model for calculating a stochastic variation in an arbitrary pattern
KR102427139B1 (en) * 2014-02-12 2022-07-29 에이에스엠엘 네델란즈 비.브이. Method of optimizing a process window
KR20160131110A (en) 2014-03-18 2016-11-15 에이에스엠엘 네델란즈 비.브이. Pattern placement error aware optimization
WO2015158444A1 (en) 2014-04-14 2015-10-22 Asml Netherlands B.V. Flows of optimization for lithographic processes
JP6482190B2 (en) * 2014-06-10 2019-03-13 キヤノン株式会社 Arithmetic method, apparatus and program for simulating lithography
US10191366B2 (en) 2014-06-25 2019-01-29 Asml Netherlands B.V. Etch variation tolerant optimization
KR102202517B1 (en) * 2014-07-13 2021-01-13 케이엘에이 코포레이션 Metrology using overlay and yield critical patterns
WO2016008711A1 (en) 2014-07-14 2016-01-21 Asml Netherlands B.V. Optimization of assist features and source
WO2016012316A1 (en) * 2014-07-21 2016-01-28 Asml Netherlands B.V. Method for determining a process window for a lithographic process, associated apparatuses and a computer program
US9964850B2 (en) * 2014-07-31 2018-05-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method to mitigate defect printability for ID pattern
US10018922B2 (en) 2014-09-02 2018-07-10 Nikon Corporation Tuning of optical projection system to optimize image-edge placement
US10345715B2 (en) 2014-09-02 2019-07-09 Nikon Corporation Pattern-edge placement predictor and monitor for lithographic exposure tool
JP6352133B2 (en) * 2014-09-26 2018-07-04 株式会社Screenホールディングス Position detection apparatus, substrate processing apparatus, position detection method, and substrate processing method
KR102084048B1 (en) 2014-10-02 2020-03-03 에이에스엠엘 네델란즈 비.브이. Rule-based deployment of assist features
RU2604985C2 (en) * 2014-11-11 2016-12-20 Федеральное государственное бюджетное образовательное учреждение высшего образования "Воронежский государственный технический университет" (ФГБОУ ВО "ВГТУ", ВГТУ) Method of calculations on graphics processing units for simulating noise-immunity of low-density codecs
US10409165B2 (en) 2014-12-15 2019-09-10 Asml Netherlands B.V. Optimization based on machine learning
WO2016096668A1 (en) 2014-12-17 2016-06-23 Asml Netherlands B.V. Hotspot aware dose correction
CN107111240A (en) 2014-12-17 2017-08-29 Asml荷兰有限公司 The method and apparatus of the phase introduced using patterning device pattern
CN107111244A (en) 2014-12-17 2017-08-29 Asml荷兰有限公司 The method and apparatus of the phase introduced using patterning device pattern
US20170315441A1 (en) 2014-12-17 2017-11-02 Asml Netherlands B.V. Method and apparatus for using patterning device topography induced phase
WO2016096333A1 (en) 2014-12-18 2016-06-23 Asml Netherlands B.V. A lithography model for 3d features
TWI620980B (en) 2015-02-13 2018-04-11 Asml荷蘭公司 Image log slope (ils) optimization
US9471743B1 (en) 2015-03-31 2016-10-18 Globalfoundries Inc. Predicting process fail limits
US20160329312A1 (en) * 2015-05-05 2016-11-10 Sean M. O'Mullan Semiconductor chip with offloaded logic
WO2016184664A1 (en) 2015-05-20 2016-11-24 Asml Netherlands B.V. Coloring aware optimization
US10866523B2 (en) * 2015-06-16 2020-12-15 Asml Netherlands B.V. Process window tracker
JPWO2016208178A1 (en) * 2015-06-25 2018-04-19 日本電気株式会社 Accelerator control device, accelerator control method, and program
US9910348B2 (en) * 2015-06-30 2018-03-06 Globalfoundries Inc. Method of simultaneous lithography and etch correction flow
US10197922B2 (en) * 2015-08-06 2019-02-05 Kla-Tencor Corporation Focus metrology and targets which utilize transformations based on aerial images of the targets
US10008422B2 (en) * 2015-08-17 2018-06-26 Qoniac Gmbh Method for assessing the usability of an exposed and developed semiconductor wafer
US10699971B2 (en) * 2015-08-17 2020-06-30 Qoniac Gmbh Method for processing of a further layer on a semiconductor wafer
JP6527808B2 (en) 2015-10-27 2019-06-05 株式会社ニューフレアテクノロジー Inspection method and inspection device
WO2017102264A1 (en) 2015-12-17 2017-06-22 Asml Netherlands B.V. Source separation from metrology data
US10656531B2 (en) * 2015-12-22 2020-05-19 Asml Netherlands B.V. Apparatus and method for process-window characterization
US10437158B2 (en) 2015-12-31 2019-10-08 Asml Netherlands B.V. Metrology by reconstruction
US20170199511A1 (en) * 2016-01-12 2017-07-13 Globalfoundries Inc. Signal detection metholodogy for fabrication control
WO2017178276A1 (en) 2016-04-14 2017-10-19 Asml Netherlands B.V. Mapping of patterns between design layout and patterning device
CN110249268B (en) * 2017-02-02 2021-08-24 Asml荷兰有限公司 Metrology method and apparatus and associated computer product
US10915031B1 (en) * 2017-02-07 2021-02-09 Synopsys, Inc. Optical source compensation
WO2018206275A1 (en) * 2017-05-12 2018-11-15 Asml Netherlands B.V. Methods for evaluating resist development
EP3462240A1 (en) 2017-09-27 2019-04-03 ASML Netherlands B.V. Method of determining control parameters of a device manufacturing process
KR102473979B1 (en) 2017-09-27 2022-12-06 에이에스엠엘 네델란즈 비.브이. How to Determine Control Parameters of a Device Manufacturing Process
CN111213090B (en) 2017-10-11 2024-04-09 Asml荷兰有限公司 Optimization flow of patterning process
CN108009352A (en) * 2017-11-30 2018-05-08 上海华力微电子有限公司 A kind of filling flow of lithography layout and the design method of photo etched mask
WO2019110403A1 (en) * 2017-12-04 2019-06-13 Asml Netherlands B.V. Systems and methods for predicting layer deformation
KR102585064B1 (en) 2017-12-22 2023-10-05 에이에스엠엘 네델란즈 비.브이. Process window based on defect probability
US20200348598A1 (en) * 2017-12-22 2020-11-05 Asml Netherlands B.V. Systems and methods for reducing resist model prediction errors
CN116482939A (en) 2017-12-22 2023-07-25 Asml荷兰有限公司 Patterning process improvements involving optical aberrations
US10529534B2 (en) 2018-01-05 2020-01-07 Kla-Tencor Corporation Compensating for scanning electron microscope beam distortion-induced metrology error using design
US10796065B2 (en) * 2018-06-21 2020-10-06 Kla-Tencor Corporation Hybrid design layout to identify optical proximity correction-related systematic defects
CN112689802B (en) * 2018-08-28 2024-03-29 Asml荷兰有限公司 System and method for optimal metrology guidance
CN112889005A (en) 2018-10-17 2021-06-01 Asml荷兰有限公司 Method for generating characteristic patterns and training machine learning models
US11354484B2 (en) 2018-11-08 2022-06-07 Asml Netherlands B.V. Failure model for predicting failure due to resist layer
EP3650940A1 (en) 2018-11-09 2020-05-13 ASML Netherlands B.V. A method in the manufacturing process of a device, a non-transitory computer-readable medium and a system configured to perform the method
EP3906442A1 (en) 2018-12-31 2021-11-10 ASML Netherlands B.V. Determining subset of components of an optical characteristic of patterning apparatus
JP7128756B2 (en) * 2019-02-08 2022-08-31 株式会社Screenホールディングス Model data generation method, pattern measurement method, correction pattern data generation method, and model data generation device
WO2020169355A1 (en) 2019-02-20 2020-08-27 Asml Netherlands B.V. A method for characterizing a manufacturing process of semiconductor devices
US11567413B2 (en) 2019-02-25 2023-01-31 Asml Netherlands B.V. Method for determining stochastic variation of printed patterns
WO2020212107A1 (en) 2019-04-15 2020-10-22 Asml Netherlands B.V. Method for determining corrections to features of a mask
CN114402342A (en) 2019-09-16 2022-04-26 Asml荷兰有限公司 Method for generating characteristic patterns and training machine learning models
EP3822703A1 (en) 2019-11-18 2021-05-19 ASML Netherlands B.V. Method for determining a field-of-view setting
WO2021069153A1 (en) 2019-10-08 2021-04-15 Asml Netherlands B.V. Method for determining a field-of-view setting
US11126159B2 (en) * 2020-01-09 2021-09-21 Siemens Industry Software Inc. Multi-objective calibrations of lithography models
KR20220127925A (en) 2020-02-21 2022-09-20 에이에스엠엘 네델란즈 비.브이. A method for calibrating a simulation process based on a defect-based process window
KR20220026101A (en) * 2020-08-25 2022-03-04 삼성전자주식회사 Semiconductor device manufacturing system
WO2023041488A1 (en) 2021-09-15 2023-03-23 Asml Netherlands B.V. Source separation from metrology data

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5594850A (en) * 1993-01-29 1997-01-14 Hitachi, Ltd. Image simulation method
US5680588A (en) * 1995-06-06 1997-10-21 International Business Machines Corporation Method and system for optimizing illumination in an optical photolithography projection imaging system
US6285488B1 (en) * 1998-03-02 2001-09-04 Micronic Laser Systems Ab Pattern generator for avoiding stitching errors
US6372391B1 (en) * 2000-09-25 2002-04-16 The University Of Houston Template mask lithography utilizing structured beam
US20030107770A1 (en) * 2001-07-11 2003-06-12 Applied Materials, Inc. Algorithm for adjusting edges of grayscale pixel-map images
US20040022354A1 (en) * 2001-06-11 2004-02-05 Kazuaki Shimizu Multi-layer film spectroscopic element for boron fluorescene x-ray analysis

Family Cites Families (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4926489A (en) * 1983-03-11 1990-05-15 Kla Instruments Corporation Reticle inspection system
US6749864B2 (en) * 1986-02-13 2004-06-15 Takeda Chemical Industries, Ltd. Stabilized pharmaceutical composition
JP2638613B2 (en) * 1987-06-25 1997-08-06 エイ・ティ・アンド・ティ・コーポレーション Programmable accelerator and method thereof
JPS6426969U (en) 1987-08-11 1989-02-15
US5182718A (en) * 1989-04-04 1993-01-26 Matsushita Electric Industrial Co., Ltd. Method and apparatus for writing a pattern on a semiconductor sample based on a resist pattern corrected for proximity effects resulting from direct exposure of the sample by a charged-particle beam or light
DE69032932T2 (en) * 1989-11-17 1999-09-16 Digital Equipment Corp System and method for genuine polygon drawing
US5491495A (en) * 1990-11-13 1996-02-13 Wang Laboratories, Inc. User interface having simulated devices
US5563702A (en) * 1991-08-22 1996-10-08 Kla Instruments Corporation Automated photomask inspection apparatus and method
AU676673B2 (en) * 1992-02-08 1997-03-20 Otto Tuchenhagen Gmbh & Co. Kg Double seat valve
US5308991A (en) * 1992-06-02 1994-05-03 National Semiconductor Corporation Method and apparatus for making a predistorted reticle to compensate for lens distortions
US6272238B1 (en) * 1992-12-28 2001-08-07 Canon Kabushiki Kaisha Character recognizing method and apparatus
EP0654469B1 (en) * 1993-11-23 2001-08-22 Ciba SC Holding AG Stabilised polymers containing o-hydroxyphenyl-s-triazines
US6090555A (en) * 1997-12-11 2000-07-18 Affymetrix, Inc. Scanned image alignment systems and methods
US5774222A (en) * 1994-10-07 1998-06-30 Hitachi, Ltd. Manufacturing method of semiconductor substrative and method and apparatus for inspecting defects of patterns on an object to be inspected
JP3409493B2 (en) * 1995-03-13 2003-05-26 ソニー株式会社 Mask pattern correction method and correction device
US5663893A (en) * 1995-05-03 1997-09-02 Microunity Systems Engineering, Inc. Method for generating proximity correction features for a lithographic mask pattern
US5864342A (en) * 1995-08-04 1999-01-26 Microsoft Corporation Method and system for rendering graphical objects to image chunks
JP3934719B2 (en) * 1995-12-22 2007-06-20 株式会社東芝 Optical proximity correction method
US5723233A (en) * 1996-02-27 1998-03-03 Lsi Logic Corporation Optical proximity correction method and apparatus
JP3368287B2 (en) * 1996-05-23 2003-01-20 学校法人金沢工業大学 Magnetic measuring device
US5888675A (en) * 1996-12-04 1999-03-30 Advanced Micro Devices, Inc. Reticle that compensates for radiation-induced lens error in a photolithographic system
IL123473A (en) * 1997-02-28 2001-08-08 Fiekowsky Peter J High accuracy particle dimension measurement system
US6078738A (en) * 1997-05-08 2000-06-20 Lsi Logic Corporation Comparing aerial image to SEM of photoresist or substrate pattern for masking process characterization
JPH10340128A (en) 1997-06-10 1998-12-22 Hitachi Ltd Data processor and mobile communication terminal
US6757645B2 (en) * 1997-09-17 2004-06-29 Numerical Technologies, Inc. Visual inspection and verification system
US7617474B2 (en) * 1997-09-17 2009-11-10 Synopsys, Inc. System and method for providing defect printability analysis of photolithographic masks with job-based automation
US6470489B1 (en) * 1997-09-17 2002-10-22 Numerical Technologies, Inc. Design rule checking system and method
US6453452B1 (en) * 1997-12-12 2002-09-17 Numerical Technologies, Inc. Method and apparatus for data hierarchy maintenance in a system for mask description
US7107571B2 (en) * 1997-09-17 2006-09-12 Synopsys, Inc. Visual analysis and verification system using advanced tools
US6370679B1 (en) * 1997-09-17 2002-04-09 Numerical Technologies, Inc. Data hierarchy layout correction and verification method and apparatus
US7093229B2 (en) * 1997-09-17 2006-08-15 Synopsys, Inc. System and method for providing defect printability analysis of photolithographic masks with job-based automation
US6243855B1 (en) * 1997-09-30 2001-06-05 Kabushiki Kaisha Toshiba Mask data design method
US6723233B1 (en) * 1999-09-10 2004-04-20 Ronald L. Barnes Ozone generator retrofit apparatus for jetted tubs and spas
US6178360B1 (en) * 1998-02-05 2001-01-23 Micron Technology, Inc. Methods and apparatus for determining optimum exposure threshold for a given photolithographic model
US6091845A (en) * 1998-02-24 2000-07-18 Micron Technology, Inc. Inspection technique of photomask
US6816302B2 (en) * 1998-03-02 2004-11-09 Micronic Laser Systems Ab Pattern generator
US6175953B1 (en) * 1998-03-03 2001-01-16 Lsi Logic Corporation Method and apparatus for general systematic application of proximity correction
JPH11329932A (en) * 1998-05-14 1999-11-30 Toppan Printing Co Ltd Light intensity simulation device and method, and storage medium keeping light intensity simulation program
US6226781B1 (en) * 1998-08-12 2001-05-01 Advanced Micro Devices, Inc. Modifying a design layer of an integrated circuit using overlying and underlying design layers
JP2000066365A (en) * 1998-08-18 2000-03-03 Toppan Printing Co Ltd Photomask pattern design support apparatus, photomask pattern design support method and recording medium recording photomask pattern design support program
US9195784B2 (en) * 1998-08-31 2015-11-24 Cadence Design Systems, Inc. Common shared memory in a verification system
US6223139B1 (en) * 1998-09-15 2001-04-24 International Business Machines Corporation Kernel-based fast aerial image computation for a large scale design of integrated circuit patterns
JP2000105452A (en) * 1998-09-29 2000-04-11 Toppan Printing Co Ltd Data converter and conversion method of data
US6120952A (en) * 1998-10-01 2000-09-19 Micron Technology, Inc. Methods of reducing proximity effects in lithographic processes
US6263299B1 (en) * 1999-01-19 2001-07-17 Lsi Logic Corporation Geometric aerial image simulation
US6171731B1 (en) * 1999-01-20 2001-01-09 Lsi Logic Corporation Hybrid aerial image simulation
JP4158266B2 (en) * 1999-03-11 2008-10-01 凸版印刷株式会社 Photomask appearance inspection device
WO2000068782A1 (en) 1999-05-06 2000-11-16 Hitachi, Ltd. Method for developing semiconductor integrated circuit
AU5261200A (en) 1999-05-20 2000-12-12 Micronic Laser Systems Ab A method for error reduction in lithography
US6335151B1 (en) * 1999-06-18 2002-01-01 International Business Machines Corporation Micro-surface fabrication process
US6407736B1 (en) * 1999-06-18 2002-06-18 Interval Research Corporation Deferred scanline conversion architecture
JP2001084369A (en) * 1999-09-17 2001-03-30 Hitachi Ltd Picture processor
US6449749B1 (en) * 1999-11-18 2002-09-10 Pdf Solutions, Inc. System and method for product yield prediction
US6826542B1 (en) * 1999-11-23 2004-11-30 Ipayables, Inc. System and method for collecting, enhancing and distributing invoices electronically via the internet
US6562638B1 (en) * 1999-12-30 2003-05-13 Cypress Semiconductor Corp. Integrated scheme for predicting yield of semiconductor (MOS) devices from designed layout
US6760473B1 (en) * 2000-07-12 2004-07-06 Kla-Tencor Technologies Corporation Optical proximity correction serif measurement technique
US6539331B1 (en) * 2000-09-08 2003-03-25 Peter J. Fiekowsky Microscopic feature dimension measurement system
US6785651B1 (en) * 2000-09-14 2004-08-31 Microsoft Corporation Method and apparatus for performing plan-based dialog
US6453457B1 (en) * 2000-09-29 2002-09-17 Numerical Technologies, Inc. Selection of evaluation point locations based on proximity effects model amplitudes for correcting proximity effects in a fabrication layout
JP3910359B2 (en) * 2000-11-24 2007-04-25 株式会社小糸製作所 Vehicle lamp
GB0031646D0 (en) * 2000-12-22 2001-02-07 European Community Method and apparatus for crack and fracture detection utilizing bragg gratings
US20030037321A1 (en) * 2001-01-29 2003-02-20 Matt Bowen System, method and article of manufacture for extensions in a programming lanauage capable of programming hardware architectures
US7072502B2 (en) * 2001-06-07 2006-07-04 Applied Materials, Inc. Alternating phase-shift mask inspection method and apparatus
US7302111B2 (en) * 2001-09-12 2007-11-27 Micronic Laser Systems A.B. Graphics engine for high precision lithography
JP4092631B2 (en) * 2001-09-20 2008-05-28 トヨタ自動車株式会社 Nonaqueous electrolyte secondary battery
US20030233630A1 (en) * 2001-12-14 2003-12-18 Torbjorn Sandstrom Methods and systems for process control of corner feature embellishment
US7106490B2 (en) * 2001-12-14 2006-09-12 Micronic Laser Systems Ab Methods and systems for improved boundary contrast
US6691052B1 (en) * 2002-01-30 2004-02-10 Kla-Tencor Corporation Apparatus and methods for generating an inspection reference pattern
US7293249B2 (en) * 2002-01-31 2007-11-06 Juan Andres Torres Robles Contrast based resolution enhancement for photolithographic processing
JP2003280964A (en) * 2002-03-22 2003-10-03 Hitachi Ltd Method for acquiring snapshot, storage system and disk device
WO2003096378A2 (en) * 2002-05-10 2003-11-20 Nec Electronics Corporation Display driver ic, display module and electrical device incorporating a graphics engine
US6828542B2 (en) * 2002-06-07 2004-12-07 Brion Technologies, Inc. System and method for lithography process monitoring and control
US7302672B2 (en) * 2002-07-12 2007-11-27 Cadence Design Systems, Inc. Method and system for context-specific mask writing
US6893800B2 (en) * 2002-09-24 2005-05-17 Agere Systems, Inc. Substrate topography compensation at mask design: 3D OPC topography anchored
US20040083475A1 (en) * 2002-10-25 2004-04-29 Mentor Graphics Corp. Distribution of operations to remote computers
US6854104B2 (en) * 2002-11-27 2005-02-08 Lsi Logic Corporation First approximation for OPC significant speed-up
US6736370B1 (en) 2002-12-20 2004-05-18 Applied Materials, Inc. Diaphragm valve with dynamic metal seat and coned disk springs
US7171047B2 (en) * 2002-12-20 2007-01-30 Lsi Logic Corporation Adaptive Sem edge recognition algorithm
US6828068B2 (en) * 2003-01-23 2004-12-07 Photronics, Inc. Binary half tone photomasks and microscopic three-dimensional devices and method of fabricating the same
US6996790B2 (en) * 2003-01-30 2006-02-07 Synopsys, Inc. System and method for generating a two-dimensional yield map for a full layout
US6765651B1 (en) * 2003-03-11 2004-07-20 Peter J. Fiekowsky Fast image simulation for photolithography
US7053355B2 (en) * 2003-03-18 2006-05-30 Brion Technologies, Inc. System and method for lithography process monitoring and control
US7187811B2 (en) * 2003-03-18 2007-03-06 Advanced & Wise Technology Corp. Method for image resolution enhancement
US20040225488A1 (en) * 2003-05-05 2004-11-11 Wen-Chuan Wang System and method for examining mask pattern fidelity
US7003758B2 (en) * 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
WO2005081070A1 (en) * 2004-02-25 2005-09-01 Micronic Laser Systems Ab Methods for exposing patterns and emulating masks in optical maskless lithography
US20070233805A1 (en) * 2006-04-02 2007-10-04 Mentor Graphics Corp. Distribution of parallel operations
CN101523381A (en) 2006-08-13 2009-09-02 明导公司 Multiprocessor architecture with hierarchical processor organization

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5594850A (en) * 1993-01-29 1997-01-14 Hitachi, Ltd. Image simulation method
US5680588A (en) * 1995-06-06 1997-10-21 International Business Machines Corporation Method and system for optimizing illumination in an optical photolithography projection imaging system
US6285488B1 (en) * 1998-03-02 2001-09-04 Micronic Laser Systems Ab Pattern generator for avoiding stitching errors
US6372391B1 (en) * 2000-09-25 2002-04-16 The University Of Houston Template mask lithography utilizing structured beam
US20040022354A1 (en) * 2001-06-11 2004-02-05 Kazuaki Shimizu Multi-layer film spectroscopic element for boron fluorescene x-ray analysis
US20030107770A1 (en) * 2001-07-11 2003-06-12 Applied Materials, Inc. Algorithm for adjusting edges of grayscale pixel-map images

Also Published As

Publication number Publication date
US20130332894A1 (en) 2013-12-12
US20050120327A1 (en) 2005-06-02
US8893067B2 (en) 2014-11-18
US20070022402A1 (en) 2007-01-25
US8209640B2 (en) 2012-06-26
US7114145B2 (en) 2006-09-26
JP5008681B2 (en) 2012-08-22
US7117477B2 (en) 2006-10-03
US20050076322A1 (en) 2005-04-07
US20050122500A1 (en) 2005-06-09
US20050097500A1 (en) 2005-05-05
US20120269421A1 (en) 2012-10-25
US20050166174A1 (en) 2005-07-28
WO2005040917A2 (en) 2005-05-06
JP2007507891A (en) 2007-03-29
US20110083113A1 (en) 2011-04-07
US7111277B2 (en) 2006-09-19
US8516405B2 (en) 2013-08-20
US7120895B2 (en) 2006-10-10
US7117478B2 (en) 2006-10-03
JP2009105430A (en) 2009-05-14
JP2010266900A (en) 2010-11-25
US7873937B2 (en) 2011-01-18
US7003758B2 (en) 2006-02-21
US20050091633A1 (en) 2005-04-28

Similar Documents

Publication Publication Date Title
WO2005040917A3 (en) System and method for lithography simulation
Arrieta et al. Pareto efficient multi-objective black-box test case selection for simulation-based testing
US8589892B2 (en) Verification of speculative execution
WO2005057438A3 (en) Flagging reticle layout data
US8477299B2 (en) Method and apparatus for monitoring mask process impact on lithography performance
WO2007139803A3 (en) System and method for distributing system tests in parallel computing environments
Koochakzadeh et al. A tester-assisted methodology for test redundancy detection
Kooli et al. Computing reliability: On the differences between software testing and software fault injection techniques
CN111160667A (en) Method and device for improving robustness of food safety prediction model
Guerrero-Balaguera et al. Reliability assessment of neural networks in gpus: A framework for permanent faults injections
CN107590389A (en) Method for testing security and device, electronic equipment, computer-readable storage medium
Mueller-Gritschneder et al. ETISS-ML: a multi-level instruction set simulator with RTL-level fault injection support for the evaluation of cross-layer resiliency techniques
Matsinos et al. Systematic effects in the low-energy behavior of the current SAID solution for the pion-nucleon system
Herbstritt et al. On combining 01X-logic and QBF
Chou et al. Finding reset nondeterminism in RTL designs-scalable X-analysis methodology and case study
US8560987B2 (en) Test functionality integrity verification for integrated circuit design
Guerrero-Balaguera et al. Evaluating the impact of Permanent Faults in a GPU running a Deep Neural Network
Condia et al. Untestable faults identification in GPGPUs for safety-critical applications
Burnard et al. Verifying and validating automatically generated code
KR20200118351A (en) System and method for data augmentation for trace dataset
US20060052997A1 (en) Automating identification of critical memory regions for pre-silicon operating systems
TW200632704A (en) Tester simulation system and tester simulation method using same
Salewski et al. The effect of diverse hardware platforms on n-version programming in embedded systems-an empirical evaluation
Khimchenko et al. Timing Characteristics of Sensor Simulation in an HIL Environment
Morita Lithography process simulations using OCTA-application to development and DSA

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200480026144.9

Country of ref document: CN

AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): BW GH GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2006533885

Country of ref document: JP

122 Ep: pct application non-entry in european phase