WO2007030258A2 - Post deposition plasma treatment to increase tensile stress of a hdp-cvd si 02 layer - Google Patents

Post deposition plasma treatment to increase tensile stress of a hdp-cvd si 02 layer Download PDF

Info

Publication number
WO2007030258A2
WO2007030258A2 PCT/US2006/031191 US2006031191W WO2007030258A2 WO 2007030258 A2 WO2007030258 A2 WO 2007030258A2 US 2006031191 W US2006031191 W US 2006031191W WO 2007030258 A2 WO2007030258 A2 WO 2007030258A2
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
stress
plasma
layer
tensile
Prior art date
Application number
PCT/US2006/031191
Other languages
French (fr)
Other versions
WO2007030258A3 (en
Inventor
Xiaolin Chen
Srinivas D. Nemani
Dongqing Li
Jeffrey C. Munro
Marlon E. Menezes
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Publication of WO2007030258A2 publication Critical patent/WO2007030258A2/en
Publication of WO2007030258A3 publication Critical patent/WO2007030258A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body

Definitions

  • CVD chemical-vapor deposition
  • RF radio-frequency
  • High-density-plasma is understood in this context to mean having an ion density that is equal to or exceeds IO 11 ions/cm 3 .
  • the substrate remains on the surface of the pedestal or support structure for the duration of the deposition and is then lifted off the pedestal by a lifting mechanism for output from the chamber.
  • the lifting mechanism may comprise, for example, a servo-driven motor attached to a plurality of lift fingers which minimally contact the bottom surface of the substrate.
  • particles on the backside of the substrate may be removed after the deposition process by lifting the substrate to an elevated position and exposing the substrate to a plasma to clean the substrate and remove backside contamination.
  • the atomic lattice of a deposited material is stressed to improve the electrical properties of the material itself, or of underlying or overlying material that is strained by the force applied by a stressed deposited material.
  • Lattice strain can increase the carrier mobility of semiconductors, such as silicon, thereby increasing the saturation current of the doped silicon transistors to thereby improve their performance.
  • localized lattice strain can be induced in the channel region of the transistor by the deposition of component materials of the transistor which have internal compressive or tensile stresses.
  • silicon nitride materials used as etch stop materials and spacers for the suicide materials of a gate electrode can be deposited as stressed materials which induce a strain in the channel region of a transistor.
  • the type of stress desirable in the deposited material depends upon the nature of the material being stressed. For example, in CMOS device fabrication, negative-channel (NMOS) doped regions are covered with a tensile stressed material having positive tensile stress; whereas positive channel MOS (PMOS) doped regions are covered with a compressive stressed material having negative stress values.
  • tensile and compressive stresses are examples of internal loading, they may be regarded as positive and negative values, respectively, of the same type of normal loading.
  • an unstressed material is neither compressive or tensile.
  • a material may progress from having a compressive stress to becoming more tensile and gradually exhibiting a tensile stress depending on external factors, and vice versa.
  • the present invention relates to a method of forming a dielectric layer where the tensile stress of the deposited layer is increased by a plasma treatment at an elevated position.
  • the substrate is first positioned within the chamber and the dielectric layer is deposited upon the substrate, according to conventional methods.
  • the substrate is then raised above the substrate support and exposed to a plasma treatment which increases the tensile stress of the deposited layer.
  • the plasma created during deposition can be continually maintained throughout the movement step and raising of the substrate to an elevated position, or can be extinguished and reformed during the plasma treatment step.
  • the substrate is raised above the substrate support by a plurality of lift pins that extend the lift pins through the substrate support.
  • the initially deposited layer can have a first stress which is compressive, and after plasma treatment, have a second stress which is tensile.
  • the dielectric layer deposited may have a stress of less than 100 MPa compressive stress.
  • the initially deposited layer can have a first stress which is tensile, and a second stress after deposition which is more tensile than the first stress.
  • the increase in tensile stress exhibited by the deposited layer after plasma treatment can be 100 MPa or more.
  • the invention further describes a method of increasing the tensile stress of a layer on a substrate disposed on a substrate support in a substrate processing chamber.
  • the steps of this process include placing the substrate in the chamber, positioning the substrate on the surface of the substrate support, depositing the layer of the substrate wherein the deposited layer has a first stress as a result of deposition, raising the substrate above the surface of the substrate support to an elevated position, and while in the elevated position, exposing the top and bottom surface of the substrate to a plasma whereby the stress of the layer is increased to a second stress more tensile than the first stress.
  • the first stress of the deposited layer is less than or equal to 100 MPa as a result of deposition
  • the second stress of the deposited layer after plasma treatment is 100 MPa more tensile than the first stress.
  • a sputtering process is incorporated after deposition of the dielectric layer, thus exposing the wafer to plasma bombardment that raises the temperature of the wafer.
  • a bias is provided to the substrate which attracts the plasma ions towards the substrate at a greater velocity.
  • a two-step plasma treatment process can be used following deposition whereby the substrate is exposed to an initial plasma treatment at a processing position when the back surface of the substrate is still in contact with the substrate support, and then raised to an elevated position for further treatment.
  • the plasma treatment process described within this invention may be particularly suited for use within an shallow trench isolation (STI) process.
  • STI shallow trench isolation
  • an oxide layer and nitride layer are deposited on a substrate and patterned to form a trench opening.
  • a trench is then etched into the substrate, and the substrate is then transferred into a chamber suitable for dielectric deposition.
  • a dielectric layer is then deposited over the substrate, filling the trench and covering the mesa regions adjacent to the trench.
  • the substrate is then raised to an elevated position above the substrate support and exposed to a plasma which increases the tensile stress of the substrate.
  • a sputtering process can also be implemented following deposition as well, in conjunction with the plasma treatment.
  • the substrate is then removed from the dielectric deposition chamber, and portions of the dielectric layer are removed so that the dielectric layer is at a vertical layer even with the topmost portion nitride layer.
  • the nitride and pad oxide layers are then removed to form the STI structure.
  • Fig. 1 is a flowchart showing an exemplary process flow used to increase the tensile stress of a dielectric layer
  • FIG. 2A is a simplified diagram showing the position of a substrate and lift pins in a loading position
  • Fig. 2B is a simplified diagram showing the position of a substrate and lift pins in a lift position
  • Fig. 2C is a simplified diagram showing the position of a substrate and lift pins in a processing position
  • FIG. 3 A is a simplified diagram of one embodiment of a high-density plasma chemical vapor deposition system according to the present invention.
  • Fig. 3B is a simplified cross section of a gas ring that may be used in conjunction with the exemplary CVD processing chamber of Fig. IA;
  • Fig. 4 is a flowchart showing an alternate process flow used to increase the tensile stress of a dielectric layer using a sputtering process after deposition;
  • Fig. 5 is a flowchart showing an alternate process flow used to increase the tensile stress of a dielectric layer by using a two-step plasma treatment process.
  • Figs. 6A-6E are a series of diagrams showing a typical process flow for a shallow trench isolation (STI) process.
  • STI shallow trench isolation
  • the present invention provides for a method of increasing the tensile stress of a deposited layer on a substrate by treating the substrate with a plasma at an elevated position above the substrate support after the deposition process has concluded.
  • a second embodiment of the invention incorporates a sputtering process prior to plasma treatment by which the temperature of the substrate is increased by exposing the substrate to plasma ion bombardment prior to the plasma treatment process.
  • a bias voltage is provided to the substrate support which attracts the plasma ions toward the substrate, thus causing the ions to impact the top surface of the substrate and raise the temperature of the substrate.
  • Another embodiment of the invention utilizes a two-step plasma treatment process wherein the substrate is first exposed to a plasma at process position immediately following deposition. The plasma is maintained within the chamber during the movement step when the substrate is then raised to an elevated position, and both the top and bottom portions of the substrate are exposed to the plasma.
  • Fig. 1 is a flowchart depicting the steps associated with an exemplary embodiment of the invention
  • Figs. 2A-2C which are simplified cross-sectional views of a substrate support and lifting mechanism contained within a substrate processing chamber.
  • the lifting mechanism utilized within this embodiment of the invention is a plurality of lift pins configured to position the substrate on the substrate support.
  • the process discussed below with respect to Figs. 1 and 2A-2C is for plasma treatment of an undoped silica glass (USG) layer that may be used, for example, in a shallow trench isolation (STI) application.
  • USG undoped silica glass
  • STI shallow trench isolation
  • techniques of the present invention are applicable to the deposition of a variety of materials using HDP-CVD techniques. These materials, the use of which is application specific, include doped silica glass films, such as phosphorous silica glass (PSG), boron-doped silicate glass (BSG), borophosphosilicate glass (BPSG) and carbon- doped-silica glass (SiOC), silicon nitride, silicon oxynitride, silicon carbon materials (SiC) and others.
  • PSG phosphorous silica glass
  • BSG boron-doped silicate glass
  • BPSG borophosphosilicate glass
  • SiOC carbon- doped-silica glass
  • SiC silicon carbon materials
  • FIG. 2A-2C An example illustrating the operation of such lift pins is shown in Fig. 2A-2C, where the various components are not shown to scale.
  • the lift pins 92 are adapted to position the substrate 90 in any one of three positions relative to the substrate receiving portion 19.
  • the lift pins 92 may reside within the chambers 93 and be driven with a motor (not shown) such that the three positions are achieved by moving the lift pins 92 out from or into the substrate receiving portion a specific distance.
  • a first position shown in Fig. 2A, the lift pins 92 are positioned such that the substrate 90 can be received from the robot blade at the upper loading position 57.
  • the upper loading position 57 is determined so as to be convenient for receiving the substrate 90 from the robot blade.
  • the lift pins 92 are further recessed into the substrate receiving portion 19 and positioned so that the substrate 90 is not in contact with the substrate receiving portion 19.
  • the distance between the substrate 90 and the substrate receiving position 19 at lift position 58 can be varied depending on the process used, so long as the substrate 19 and substrate receiving portion 19 are not in contact.
  • the lift pins are positioned below the top surface of the substrate support so that the substrate 90 is in contact with the substrate receiving portion 19, where it may be electrostatically chucked into a secure position for processing.
  • the substrate 90 to be processed is transferred into the substrate processing chamber and received at the upper loading position 57, resting on the lift pins 92.
  • the substrate 90 is then moved to a processing position 56 by retracting the lift pins 92 so that the back surface of the substrate 90 rests on the substrate receiving portion 19 at a processing position 56, as shown in Fig. 2C.
  • the substrate 90 is now ready for deposition.
  • the process conditions for the deposition step 220 are selected so as to deposit a dielectric layer having an as deposited stress level of between 100 MPa compressive stress (a relatively low compressive stress level) to 50 MPa tensile stress.
  • the inventors have found that exposing dielectric layers having as-deposited stress levels within this range results in a substantial change in the absolute stress level of the dielectric layer (a change of at least lOOmPa in some embodiments and a change of at least 150 MPa in certain other embodiments).
  • the plasma treatment described herein is most effective if used on a deposited layer with an initial stress of 0-50 MPa compressive stress or 0-50 MPa tensile stress (i.e., an as-deposited stress of between 50 MPa compressive and 50 MPa tensile).
  • the deposition parameters used in step 220 can be altered from values used in conventional HDP-CVD processes to deposit a silicon oxide layer having an as- deposited stress level within the desired 100 MPa compressive stress (a relatively low compressive stress level) to 50 MPa tensile stress.
  • the deposition parameters used in step 220 may differ from the parameters used in a conventional HDP-CVD STI process by using one or more of a lower substrate temperature during deposition, a lower chamber pressure, and/or a lesser amount of source RF power in order to produce a more tensile layer.
  • substantially inert gas refers to a gas or combination of gases that does not result in appreciable deposition during the deposition process.
  • the substantially inert gas may be O 2 , Ar, He, H 2 , N 2 , or a mixture of the previous gases.
  • the specific substantially inert gas that is used is not critical to the process being described, meaning that a similar amount of Ar flow could be substituted for a comparable flow of He, or any other substantially inert gas may be used within this process.
  • Table 1 below lists the process parameters for deposition step 220 used to create a low tensile stress film as compared to a conventional HDP-CVD deposition parameters implemented in the Ultima HDP-CVD chamber manufactured for Applied Materials and outfitted for 200mm substrates according to one particular embodiment of the invention.
  • the gas flow rates and other parameters set forth in Table 1 below are optimized for a deposition process run in the Ultima chamber. A person of ordinary skill in the art will recognize that these rates and parameters are in part chamber specific and will vary if chambers of other design and/or volume are employed.
  • the substrate 90 is then moved to an elevated position in step 240 above the substrate receiving portion 19 by extending the lift pins 92.
  • the plasma created during the deposition process 220 is still present above the substrate 90, and is maintained through the substrate movement step 240 by continuing to flow inert gases.
  • Process parameters for step 240 are shown in Table 2.
  • a typical duration of the substrate movement step 240 will last between 1-10 seconds.
  • the substrate 90 is lifted to the position 58, where the height that the lift pins 92 are extended may vary from 1 to 50 mm, so long as the back side of the substrate 90 is no longer in contact with the substrate receiving portion 19.
  • the inventors have found that even if the distance between the substrate 90 and substrate receiving portion 19 is only 1 mm, the plasma present during step 250 will occupy the gap between the substrate 90 and substrate receiving portion 19 and treat both the top and bottom sides of the substrate 90. While not being limited to any particular theory, this exposure of both the top and bottom surface of the substrate 90 causes a shift in the chemical component and phase of the deposited layer, as well as a change in the temperature profile of both the deposited layer and substrate. This contrasts with the use of plasma treatment at a non- elevated position, in which only the top surface of the deposited layer was exposed to the plasma treatment. Additionally, a greater amount of moisture content present within the deposited layer is driven out by the plasma treatment at an elevated position.
  • Shrinkage of the deposited layer occurs, thus causing the layer to become thinner and more dense as the excess moisture is removed.
  • the exposure of the deposited layer to plasma in an elevated position results in the stress of the layer increasing more than 100 MPa in the tensile direction, meaning that a low compressive stress layer may be altered to exhibit a low tensile stress, or a low tensile stress layer may be altered to exhibit a high tensile stress.
  • changes of nearly 150 MPa in the stress of a deposited layer have been exhibited after plasma treatment according to this invention.
  • a higher tensile stress layer can be formed by the exemplary plasma treatment described herein is that a layer having higher stress values can be obtained by reducing the net hydrogen content, or the amount of silicon-hydrogen bonds (Si-H bonds) in the deposited layer. It is believed that lower hydrogen content in the deposited layer, which results in a detectably smaller amount of Si-H bonds in the as-deposited layer, gives rise to higher tensile stress values in the deposited layer.
  • the use of a plasma treatment of a deposited layer on a substrate can be used to achieve lower hydrogen content in the deposited layer, and thus increase the tensile stress of the substrate.
  • the plasma is extinguished by stopping the flow of inert gas and the substrate 90 is readied for output in step 270 by being raised to upper loading position 57.
  • the vertical position of the substrate 90 is changed by raising the lift pins 92 to allow a robot blade (not shown) to remove the substrate 90 from the chamber.
  • Embodiments of the present invention can be implemented using a variety of high density plasma CVD substrate processing chambers including chambers in which a plasma is formed by the application of RF energy to a coil that at least partially surrounds a portion of the chamber and chambers that use ECR plasma formation techniques.
  • An example of an inductively-coupled HDP-CVD chamber in which embodiments of the method of the present invention can be practiced is set forth below.
  • Fig. IA illustrates one embodiment of a high density plasma chemical vapor deposition (HDP-CVD) system 10 in which a substrate can be processed according to the present invention.
  • System 10 includes a chamber 13, a vacuum system 70, a source plasma system 80A, a bias plasma system 80B, a gas delivery system 33, and a remote plasma cleaning system 50.
  • the upper portion of chamber 13 includes a dome 14, which is made of a ceramic dielectric material, such as aluminum oxide or aluminum nitride. Dome 14 defines an upper boundary of a plasma processing region 16. Plasma processing region 16 is bounded on the bottom by the upper surface of a substrate 17 and a substrate support member 18.
  • a heater plate 23 and a cold plate 24 surmount, and are thermally coupled to, dome 14.
  • Heater plate 23 and cold plate 24 allow control of the dome temperature to within about +- 10 degrees C over a range of about 100 degrees C. to 200 degrees C. This allows optimizing the dome temperature for the various processes. For example, it may be desirable to maintain the dome at a higher temperature for cleaning or etching processes than for deposition processes. Accurate control of the dome temperature also reduces the flake or particle counts in the chamber and improves adhesion between the deposited layer and the substrate.
  • the lower portion of chamber 13 includes a body member 22, which joins the chamber to the vacuum system.
  • a base portion 21 of substrate support member 18 is mounted on, and forms a continuous inner surface with, body member 22.
  • Substrates are transferred into and out of chamber 13 by a robot blade (not shown) through an insertion/removal opening (not shown) in the side of chamber 13.
  • Lift pins (shown in Fig. 2) are raised and then lowered under the control of a motor (not shown) to move the substrate to different positions within the chamber 13.
  • the lift pins may be configured to move the substrate from the robot blade at an upper loading position 57 to a position 58 where, as explained further below, the substrate is prepared for processing. Subsequently, the lift pins may move the substrate to a lower processing position 56 in which the substrate is placed on a substrate receiving portion 19 of substrate support member 18.
  • Substrate receiving portion 19 includes an electrostatic chuck 20 that secures the substrate to substrate support member 18 during substrate processing.
  • substrate support member 18 is made from an aluminum oxide or aluminum ceramic material.
  • Vacuum system 70 includes throttle body 25, which houses twin-blade throttle valve 26 and is attached to gate valve 27 and turbo-molecular pump 28. It should be noted that throttle body 25 offers minimum obstruction to gas flow, and allows symmetric pumping. Gate valve 27 can isolate pump 28 from throttle body 25, and can also control chamber pressure by restricting the exhaust flow capacity when throttle valve 26 is fully open. The arrangement of the throttle valve, gate valve, and turbo- molecular pump allow accurate and stable control of chamber pressures from between about 1 millitorr to about 2 torr.
  • the source plasma system 80A includes a top coil 29 and side coil 30, mounted on dome 14.
  • a symmetrical ground shield (not shown) reduces electrical coupling between the coils.
  • Top coil 29 is powered by top source RF (SRF) generator 3 IA
  • side coil 30 is powered by side SRF generator 3 IB, allowing independent power levels and frequencies of operation for each coil.
  • SRF source RF
  • This dual coil system allows control of the radial ion density in chamber 13, thereby improving plasma uniformity.
  • Side coil 30 and top coil 29 are typically inductively driven, which does not require a complimentary electrode.
  • the top source RF generator 31A provides up to 5,000 watts of RF power at nominally 2 MHz and the side source RF generator 3 IB provides up to 5,000 watts of RF power at nominally 2 MHz.
  • the operating frequencies of the top and side RF generators may be offset from the nominal operating frequency (e.g. to 1.7-1.9 MHz and 1.9-2.1 MHz, respectively) to improve plasma-generation efficiency.
  • a bias plasma system 80B includes a bias RF (“BRF") generator 31C and a bias matching network 32C.
  • the bias plasma system 80B capacitively couples substrate portion 17 to body member 22, which act as complimentary electrodes.
  • the bias plasma system 80B serves to enhance the transport of plasma species (e.g., ions) created by the source plasma system 80A to the surface of the substrate.
  • bias RF generator provides up to 5,000 watts of RF power at 13.56 MHz.
  • RF generators 31 A and 3 IB include digitally controlled synthesizers and operate over a frequency range between about 1.8 to about 2.1 MHz. Each generator includes an RF control circuit (not shown) that measures reflected power from the chamber and coil back to the generator and adjusts the frequency of operation to obtain the lowest reflected power, as understood by a person of ordinary skill in the art.
  • RF generators are typically designed to operate into a load with a characteristic impedance of 50 ohms. RF power may be reflected from loads that have a different characteristic impedance than the generator. This can reduce power transferred to the load. Additionally, power reflected from the load back to the generator may overload and damage the generator.
  • the impedance of a plasma may range from less than 5 ohms to over 900 ohms, depending on the plasma ion density, among other factors, and because reflected power may be a function of frequency, adjusting the generator frequency according to the reflected power increases the power transferred from the RF generator to the plasma and protects the generator. Another way to reduce reflected power and improve efficiency is with a matching network.
  • Matching networks 32A and 32B match the output impedance of generators 31 A and 3 IB with their respective coils 29 and 30.
  • the RF control circuit may tune both matching networks by changing the value of capacitors within the matching networks to match the generator to the load as the load changes.
  • the RF control circuit may tune a matching network when the power reflected from the load back to the generator exceeds a certain limit.
  • One way to provide a constant match, and effectively disable the RF control circuit from tuning the matching network is to set the reflected power limit above any expected value of reflected power. This may help stabilize a plasma under some conditions by holding the matching network constant at its most recent condition.
  • the RF control circuit can be used to determine the power delivered to the load (plasma) and may increase or decrease the generator output power to keep the delivered power substantially constant during deposition of a layer.
  • a gas delivery system 33 provides gases from several sources 34A-34F to the chamber for processing the substrate via gas delivery lines 38 (only some of which are shown).
  • gas delivery lines 38 only some of which are shown.
  • the actual sources used for sources 34A-34F and the actual connection of delivery lines 38 to chamber 13 varies depending on the deposition and cleaning processes executed within chamber 13. Gases are introduced into chamber 13 through a gas ring 37 and/or a top nozzle 45.
  • Fig. IB is a simplified, partial cross-sectional view of chamber 13 showing additional details of gas ring 37.
  • first and second gas sources, 34A and 34B, and first and second gas flow controllers, 35A' and 35B' provide gas to ring plenum 36 in gas ring 37 via gas delivery lines 38 (only some of which are shown).
  • Gas ring 37 has a plurality of source gas nozzles 39 (only one of which is shown for purposes of illustration) that provide a uniform flow of gas over the substrate. Nozzle length and nozzle angle may be changed to allow tailoring of the uniformity profile and gas utilization efficiency for a particular process within an individual chamber.
  • gas ring 37 has 12 source gas nozzles made from an aluminum oxide ceramic.
  • Gas ring 37 also has a plurality of oxidizer gas nozzles 40 (only one of which is shown), which in a preferred embodiment are co-planar with and shorter than source gas nozzles 39, and in one embodiment receive gas from body plenum 41. In some embodiments it is desirable not to mix source gases and oxidizer gases before injecting the gases into chamber 13. In other embodiments, oxidizer gas and source gas may be mixed prior to injecting the gases into chamber 13 by providing apertures (not shown) between body plenum 41 and gas ring plenum 36. In one embodiment, third and fourth gas sources, 34C and 34D, and third and fourth gas flow controllers, 35C and 35D', provide gas to body plenum via gas delivery lines 38. Additional valves, such as 43B (other valves not shown), may shut off gas from the flow controllers to the chamber.
  • valve 43B This may be accomplished using a 3-way valve, such as valve 43B, to isolate chamber 13 from delivery line 38 A and to vent delivery line 38 A to vacuum foreline 44, for example.
  • valve 43B As shown in Fig. IA, other similar valves, such as 43A and 43C, may be incorporated on other gas delivery lines.
  • Such 3-way valves may be placed as close to chamber 13 as practical, to minimize the volume of the unvented gas delivery line (between the 3-way valve and the chamber).
  • two-way (on-off) valves (not shown) may be placed between a mass flow controller (“MFC”) and the chamber or between a gas source and an MFC.
  • MFC mass flow controller
  • chamber 13 also has top nozzle 45 and top vent 46.
  • Top nozzle 45 and top vent 46 allow independent control of top and side flows of the gases, which improves layer uniformity and allows fine adjustment of the layer's deposition and doping parameters.
  • Top vent 46 is an annular opening around top nozzle 45.
  • first gas source 34A supplies source gas nozzles 39 and top nozzle 45.
  • Source nozzle MFC 35 A' controls the amount of gas delivered to source gas nozzles 39 and top nozzle MFC 35A controls the amount of gas delivered to top gas nozzle 45.
  • two MFCs 35B and 35B 1 may be used to control the flow of oxygen to both top vent 46 and oxidizer gas nozzles 40 from a single source of oxygen, such as source 34B.
  • the gases supplied to top nozzle 45 and top vent 46 may be kept separate prior to flowing the gases into chamber 13, or the gases may be mixed in top plenum 48 before they flow into chamber 13. Separate sources of the same gas may be used to supply various portions of the chamber.
  • a remote microwave-generated plasma cleaning system 50 is provided to periodically clean deposition residues from chamber components.
  • the cleaning system includes a remote microwave generator 51 that creates a plasma from a cleaning gas source 34E (e.g., molecular fluorine, nitrogen trifluoride, other fluorocarbons or equivalents) in reactor cavity 53.
  • a cleaning gas source 34E e.g., molecular fluorine, nitrogen trifluoride, other fluorocarbons or equivalents
  • the reactive species resulting from this plasma are conveyed to chamber 13 through cleaning gas feed port 54 via applicator tube 55.
  • the materials used to contain the cleaning plasma e.g., cavity 53 and applicator tube 55
  • the distance between reactor cavity 53 and feed port 54 should be kept as short as practical, since the concentration of desirable plasma species may decline with distance from reactor cavity 53.
  • this cleaning system is used to dissociate atoms of the etchant gas remotely, which are then supplied to the process chamber 13.
  • the etchant gas is provided directly to the process chamber 13.
  • multiple process chambers are used, with deposition and etching steps being performed in separate chambers.
  • System controller 60 controls the operation of system 10.
  • controller 60 includes a memory 62, such as a hard disk drive, a floppy disk drive (not shown), and a card rack (not shown) coupled to a processor 61.
  • the card rack may contain a single-board computer (SBC) (not shown), analog and digital input/output boards (not shown), interface boards (not shown), and stepper motor controller boards (not shown).
  • SBC single-board computer
  • the system controller conforms to the Versa Modular European (“VME”) standard, which defines board, card cage, and connector dimensions and types.
  • the VME standard also defines the bus structure as having a 16- bit data bus and 24-bit address bus.
  • System controller 31 operates under the control of a computer program stored on the hard disk drive or through other computer programs, such as programs stored on a removable disk.
  • the computer program dictates, for example, the timing, mixture of gases, RF power levels and other parameters of a particular process.
  • the interface between a user and the system controller is via a monitor, such as a cathode ray tube ("CRT") 65, and a light pen 66, as depicted in Fig. 1C.
  • CTR cathode ray tube
  • a sputter step 230 may be performed after the completion of the deposition step 220 while the substrate 90 is still at the processing position 56.
  • Steps 200-220 are performed in accordance with the description of those steps for Fig. 1.
  • the plasma formed within the previous deposition step 220 is maintained by continuing to flow a substantially inert gas while also providing RF power through the top coil 29 and side coils 30. Gaseous ions within the plasma are attracted towards the biased substrate receiving portion 19 and bombard the deposited layer on the substrate, thus raising the temperature of the substrate 90 to a temperature of between 400-700 degrees C. This temperature increase occurs primarily due to heat transfer from the charged plasma ions impacting the deposited layer on top of the substrate 90.
  • a small amount of the deposited material may be etched away during the sputter process, but the amount is minimal and may be compensated for by adjusting the deposition recipe.
  • the pressure during the sputter step is maintained as a stable pressure, with an exemplary chamber pressure existing between 5 to 9 mT.
  • the duration of the sputter step 230 in an exemplary embodiment is between 15 and 45 seconds. Exemplary process parameters for steps 220-260 of the invention are shown in Table 3.
  • the plasma present during sputtering is maintained throughout the movement of the substrate 90 to an elevated position in step 240. Due to the added sputter step 230, the substrate temperature has already been raised to a higher temperature and the process parameters for plasma treatment step after sputtering 260 can be different than if performed without a sputter step 230. For example, while the process conditions are similar to that of plasma treatment step 250 performed without a sputter step, a shorter duration of between 15-45 seconds may be utilized in plasma treatment after sputtering 260, along with a chamber pressure of 5-9 I ⁇ T. Additionally, in one embodiment the amount of RF power delivered to the top 29 and side coils 30 delivered is a reduced amount of 1-3 kW. The substrate is then readied for output in step 270.
  • a two-step plasma treatment process is used to treat the substrate 90, as shown in Fig. 5.
  • the substrate is placed within the chamber, moved to process position ,56, and a dielectric layer is deposited as in steps 200-220.
  • the plasma created in step 220 is maintained while the substrate 90 is kept at processing position 56 to treat the substrate 90 with a plasma for a duration of at least 10 seconds in step 330.
  • the process conditions of step 330 are similar to those used in step 250, except that the duration of the plasma treatment at the process position may be shorter due to the two-step nature of the plasma treatment.
  • the process parameters for the two-step plasma treatment process are shown in Table 4.
  • the substrate is lifted to an elevated position above the substrate receiving position 19 in step 240 and both the deposited layer and bottom of the substrate 90 are then exposed to a plasma in step 350 for a duration between 10-40 seconds at a pressure of between 8-12 mT.
  • a reduced amount of RF power is applied to the top 29 and side 30 RF coils of between 1-4 kW is used to maintain the plasma.
  • the temperature of the substrate is maintained at a temperature between 300-600 degrees C, and gas flows of 300-600 seem He to both the side source 39 and top 45 nozzles are provided, in addition to gas flows of 100-300 seem O 2 and 25-100 seem Ar. This approach can also yield a tensile stress of 100 MPa greater than the original stress of the substrate prior to treatment.
  • the substrate is then readied for output in step 270.
  • a discontinuous plasma treatment is used to treat the substrate 90 following the deposition process.
  • the plasma created during deposition is maintained for a period of time while the substrate 90 is still positioned on the substrate receiving portion 19 at processing position 56.
  • the period of time that the substrate is exposed to plasma is a period between 30-60 seconds, but may also be longer or shorter depending on the type of dielectric being deposited.
  • the plasma is turned off by stopping the flow of gases into the chamber.
  • the substrate is then moved to a lift position 58 during a substrate movement step 240 by raising lift pins 92 to a height above the substrate receiving portion 19.
  • a plasma is then reformed by flowing inert gases into the chamber and applying RF energy through the side and top coils.
  • the plasma treats both the top and bottom sides of the elevated substrate, thus changing the stress of the wafer to become more tensile.
  • STI shallow trench isolation
  • a thin pad oxide 402 is first grown or deposited on a silicon substrate 400, and a nitride layer 404 is deposited over the layer of pad oxide 402, as shown in Fig. 6A.
  • the nitride layer 404 is used as an etch-stop layer and acts as a hard mask for the trench etch steps.
  • a lithography process is implemented to form a photoresist mask and pattern a trench opening 406 in the pad oxide and nitride layers, shown in Fig. 6B.
  • a nitride etch and silicon etch are used to create a deep trench 408 within the silicon. This is shown in Fig. 6C.
  • the substrate 400 is then moved to a substrate processing chamber 13 and moved into a processing position 56.
  • a dielectric layer 410 is then deposited on the substrate 400, filling both the trench region and mesa regions adjacent to the trench 408. The result of the dielectric deposition process is shown in Fig. 6D.
  • the substrate 400 is then raised by a lifting mechanism such as lift pins to an elevated position.
  • the plasma created during deposition is maintained throughout this process.
  • the substrate 400 is then exposed to the plasma for a given duration, affecting both the top and bottom of the substrate. After extinguishing the plasma, the substrate is readied for output from the chamber.
  • Process conditions for the plasma treatment step can be found in Tables 1 and 2.
  • a CMP process is used to remove excess portions of the dielectric layer 410 formed during the deposition step as shown in Fig. 6E.
  • a nitride and pad oxide strip is used to remove the nitride 404 and pad oxide layers 402 from the trench, as shown in Fig. 6E.
  • a sputter step can also be incorporated after deposition whereby plasma ions are attracted to the biased substrate.
  • silicon sources other than monosilane may be used.
  • suitable silicon sources include other silane family members such as, Si 2 H 6 , Si 3 H 8 , etc.; TEOS and SiF 4 among others.

Abstract

A plasma treatment process for increasing the tensile stress of a silicon wafer is described. Following deposition of a dielectric layer on a substrate, the substrate is lifted to an elevated position above the substrate receiving surface and exposed to a plasma treatment process which treats both the top and bottom surface of the wafer and increases the tensile stress of the deposited layer. Another embodiment of the invention involves biasing of the substrate prior to plasma treatment to bombard the wafer with plasma ions and raise the temperature of the substrate. In another embodiment of the invention, a two-step plasma treatment process can be used where the substrate is first exposed to a plasma at a processing position directly after deposition, and then raised to an elevated position where both the top and bottom of the wafer are exposed to the plasma.

Description

POST DEPOSITION PLASMA TREATMENT TO INCREASE TENSILE STRESS OF HDP-CVD SIO2
BACKGROUND OF THE INVENTION [0001] One of the primary steps in the fabrication of modern semiconductor devices is the formation of a thin layer on a semiconductor substrate by chemical reaction of gases. Such a deposition process is referred to generally as chemical-vapor deposition ("CVD"). Conventional thermal CVD processes supply reactive gases to the substrate surface where heat-induced chemical reactions take place to produce a desired layer. Plasma-enhanced CVD ("PECVD") techniques, on the other hand, promote excitation and/or dissociation of the reactant gases by the application of radio-frequency ("RF") energy to a reaction zone near the substrate surface, thereby creating a plasma. The high reactivity of the species in the plasma reduces the energy required for a chemical reaction to take place, and thus lowers the temperature required for such CVD processes as compared to conventional thermal CVD processes. These advantages are further exploited by high-density-plasma ("HDP") CVD techniques, in which a dense plasma is formed at low vacuum pressures so that the plasma species are even more reactive. "High-density" is understood in this context to mean having an ion density that is equal to or exceeds IO11 ions/cm3.
[0002] During a conventional chemical vapor deposition process, the substrate remains on the surface of the pedestal or support structure for the duration of the deposition and is then lifted off the pedestal by a lifting mechanism for output from the chamber. The lifting mechanism may comprise, for example, a servo-driven motor attached to a plurality of lift fingers which minimally contact the bottom surface of the substrate. In some deposition processes, particles on the backside of the substrate may be removed after the deposition process by lifting the substrate to an elevated position and exposing the substrate to a plasma to clean the substrate and remove backside contamination.
[0003] In a relatively newly developed method of enhancing transistor performance, the atomic lattice of a deposited material is stressed to improve the electrical properties of the material itself, or of underlying or overlying material that is strained by the force applied by a stressed deposited material. Lattice strain can increase the carrier mobility of semiconductors, such as silicon, thereby increasing the saturation current of the doped silicon transistors to thereby improve their performance. For example, localized lattice strain can be induced in the channel region of the transistor by the deposition of component materials of the transistor which have internal compressive or tensile stresses. For example, silicon nitride materials used as etch stop materials and spacers for the suicide materials of a gate electrode can be deposited as stressed materials which induce a strain in the channel region of a transistor. The type of stress desirable in the deposited material depends upon the nature of the material being stressed. For example, in CMOS device fabrication, negative-channel (NMOS) doped regions are covered with a tensile stressed material having positive tensile stress; whereas positive channel MOS (PMOS) doped regions are covered with a compressive stressed material having negative stress values.
[0004] As tensile and compressive stresses are examples of internal loading, they may be regarded as positive and negative values, respectively, of the same type of normal loading. Thus, an unstressed material is neither compressive or tensile. A material may progress from having a compressive stress to becoming more tensile and gradually exhibiting a tensile stress depending on external factors, and vice versa.
[0005] Given the stresses created by depositing material on a substrate, it is desirable to control the level of stress generated in the deposited material, as well as change the level of stress after processing steps are complete. A variety of different deposition parameters can control the stress level of a material during deposition, including temperature, and RF power levels among others. Additionally, various techniques have been developed to change the stress of a material already deposited over a substrate including exposure of the substrate to a plasma, exposure of the substrate to ultraviolet light or electron beams, and annealing the deposited layer. Despite the availability of the above techniques, new methods of changing the level of stress of a deposited layer are desirable.
BRIEF SUMMARY OF THE INVENTION
[0006] The present invention relates to a method of forming a dielectric layer where the tensile stress of the deposited layer is increased by a plasma treatment at an elevated position. The substrate is first positioned within the chamber and the dielectric layer is deposited upon the substrate, according to conventional methods. The substrate is then raised above the substrate support and exposed to a plasma treatment which increases the tensile stress of the deposited layer. The plasma created during deposition can be continually maintained throughout the movement step and raising of the substrate to an elevated position, or can be extinguished and reformed during the plasma treatment step. In a specific embodiment of the invention, the substrate is raised above the substrate support by a plurality of lift pins that extend the lift pins through the substrate support.
[0007] The initially deposited layer can have a first stress which is compressive, and after plasma treatment, have a second stress which is tensile. In this case, the dielectric layer deposited may have a stress of less than 100 MPa compressive stress.
Alternatively, the initially deposited layer can have a first stress which is tensile, and a second stress after deposition which is more tensile than the first stress. The increase in tensile stress exhibited by the deposited layer after plasma treatment can be 100 MPa or more.
[0008] The invention further describes a method of increasing the tensile stress of a layer on a substrate disposed on a substrate support in a substrate processing chamber. The steps of this process include placing the substrate in the chamber, positioning the substrate on the surface of the substrate support, depositing the layer of the substrate wherein the deposited layer has a first stress as a result of deposition, raising the substrate above the surface of the substrate support to an elevated position, and while in the elevated position, exposing the top and bottom surface of the substrate to a plasma whereby the stress of the layer is increased to a second stress more tensile than the first stress. Ideally the first stress of the deposited layer is less than or equal to 100 MPa as a result of deposition, and the second stress of the deposited layer after plasma treatment is 100 MPa more tensile than the first stress.
[0009] In an alternative embodiment of the invention, a sputtering process is incorporated after deposition of the dielectric layer, thus exposing the wafer to plasma bombardment that raises the temperature of the wafer. A bias is provided to the substrate which attracts the plasma ions towards the substrate at a greater velocity.
[0010] In another embodiment of the invention, a two-step plasma treatment process can be used following deposition whereby the substrate is exposed to an initial plasma treatment at a processing position when the back surface of the substrate is still in contact with the substrate support, and then raised to an elevated position for further treatment.
[0011] The plasma treatment process described within this invention may be particularly suited for use within an shallow trench isolation (STI) process. In this process, an oxide layer and nitride layer are deposited on a substrate and patterned to form a trench opening. A trench is then etched into the substrate, and the substrate is then transferred into a chamber suitable for dielectric deposition. A dielectric layer is then deposited over the substrate, filling the trench and covering the mesa regions adjacent to the trench. The substrate is then raised to an elevated position above the substrate support and exposed to a plasma which increases the tensile stress of the substrate. A sputtering process can also be implemented following deposition as well, in conjunction with the plasma treatment. The substrate is then removed from the dielectric deposition chamber, and portions of the dielectric layer are removed so that the dielectric layer is at a vertical layer even with the topmost portion nitride layer. The nitride and pad oxide layers are then removed to form the STI structure.
BRIEF DESCRIPTION OF THE DRAWINGS
[0012] Fig. 1 is a flowchart showing an exemplary process flow used to increase the tensile stress of a dielectric layer;
[0013] Fig. 2A is a simplified diagram showing the position of a substrate and lift pins in a loading position;
[0014] Fig. 2B is a simplified diagram showing the position of a substrate and lift pins in a lift position;
[0015] Fig. 2C is a simplified diagram showing the position of a substrate and lift pins in a processing position;
[0016] Fig. 3 A is a simplified diagram of one embodiment of a high-density plasma chemical vapor deposition system according to the present invention;
[0017] Fig. 3B is a simplified cross section of a gas ring that may be used in conjunction with the exemplary CVD processing chamber of Fig. IA; [0018] Fig. 4 is a flowchart showing an alternate process flow used to increase the tensile stress of a dielectric layer using a sputtering process after deposition;
[0019] Fig. 5 is a flowchart showing an alternate process flow used to increase the tensile stress of a dielectric layer by using a two-step plasma treatment process; and
[0020] Figs. 6A-6E are a series of diagrams showing a typical process flow for a shallow trench isolation (STI) process.
DETAILED DESCRIPTION OF THE INVENTION [0021] The present invention provides for a method of increasing the tensile stress of a deposited layer on a substrate by treating the substrate with a plasma at an elevated position above the substrate support after the deposition process has concluded. A second embodiment of the invention incorporates a sputtering process prior to plasma treatment by which the temperature of the substrate is increased by exposing the substrate to plasma ion bombardment prior to the plasma treatment process. A bias voltage is provided to the substrate support which attracts the plasma ions toward the substrate, thus causing the ions to impact the top surface of the substrate and raise the temperature of the substrate. Another embodiment of the invention utilizes a two-step plasma treatment process wherein the substrate is first exposed to a plasma at process position immediately following deposition. The plasma is maintained within the chamber during the movement step when the substrate is then raised to an elevated position, and both the top and bottom portions of the substrate are exposed to the plasma.
[0022] Semiconductor manufacturers have used various plasma treatment steps to treat dielectric layers deposited on a substrate for a variety of different reasons. Typically, such previously used plasma treatments steps expose the substrate to a plasma while the substrate is positioned directly on top of a substrate support at a processing (e.g., a deposition) position. Embodiments of the invention, however, expose the substrate and deposited dielectric layer to a plasma treatment process while the substrate is positioned above the substrate support. Thus, embodiments of the invention allow the plasma to contact at least a portion of the bottom surface of the substrate in addition to the top surface. The inventors have found that such a technique can be beneficially used to increase the tensile stress of a deposited layer. While not being limited to any particular theory, the inventors believe that exposing both the bottom and top surfaces of the substrate to the plasma enables thermal changes and/or phase shifts in the deposited layer more easy to achieve due to the increased area of exposure to the plasma.
[0023] In order to better appreciate and understand the present invention, reference is made to Fig. 1 , which is a flowchart depicting the steps associated with an exemplary embodiment of the invention, and Figs. 2A-2C, which are simplified cross-sectional views of a substrate support and lifting mechanism contained within a substrate processing chamber. The lifting mechanism utilized within this embodiment of the invention is a plurality of lift pins configured to position the substrate on the substrate support. The process discussed below with respect to Figs. 1 and 2A-2C is for plasma treatment of an undoped silica glass (USG) layer that may be used, for example, in a shallow trench isolation (STI) application. It us to be understood however, that the techniques of the present invention are applicable to other applications such as intermetal dielectric (IMD) layers and premetal dielectric (PMD) among others. Also, techniques of the present invention are applicable to the deposition of a variety of materials using HDP-CVD techniques. These materials, the use of which is application specific, include doped silica glass films, such as phosphorous silica glass (PSG), boron-doped silicate glass (BSG), borophosphosilicate glass (BPSG) and carbon- doped-silica glass (SiOC), silicon nitride, silicon oxynitride, silicon carbon materials (SiC) and others.
[0024] An example illustrating the operation of such lift pins is shown in Fig. 2A-2C, where the various components are not shown to scale. The lift pins 92 are adapted to position the substrate 90 in any one of three positions relative to the substrate receiving portion 19. The lift pins 92 may reside within the chambers 93 and be driven with a motor (not shown) such that the three positions are achieved by moving the lift pins 92 out from or into the substrate receiving portion a specific distance. In a first position, shown in Fig. 2A, the lift pins 92 are positioned such that the substrate 90 can be received from the robot blade at the upper loading position 57. The upper loading position 57 is determined so as to be convenient for receiving the substrate 90 from the robot blade. In a second position, the lift position 58 shown in Fig. 2B, the lift pins 92 are further recessed into the substrate receiving portion 19 and positioned so that the substrate 90 is not in contact with the substrate receiving portion 19. The distance between the substrate 90 and the substrate receiving position 19 at lift position 58 can be varied depending on the process used, so long as the substrate 19 and substrate receiving portion 19 are not in contact. In a third position, shown in Fig. 2C as the processing position 56, the lift pins are positioned below the top surface of the substrate support so that the substrate 90 is in contact with the substrate receiving portion 19, where it may be electrostatically chucked into a secure position for processing.
[0025] Referring now to Fig. 1 , at step 200, the substrate 90 to be processed is transferred into the substrate processing chamber and received at the upper loading position 57, resting on the lift pins 92. At step 210, the substrate 90 is then moved to a processing position 56 by retracting the lift pins 92 so that the back surface of the substrate 90 rests on the substrate receiving portion 19 at a processing position 56, as shown in Fig. 2C. The substrate 90 is now ready for deposition.
[0026] In one embodiment of the invention, the process conditions for the deposition step 220 are selected so as to deposit a dielectric layer having an as deposited stress level of between 100 MPa compressive stress (a relatively low compressive stress level) to 50 MPa tensile stress. The inventors have found that exposing dielectric layers having as-deposited stress levels within this range results in a substantial change in the absolute stress level of the dielectric layer (a change of at least lOOmPa in some embodiments and a change of at least 150 MPa in certain other embodiments). According to some embodiments of the invention the plasma treatment described herein is most effective if used on a deposited layer with an initial stress of 0-50 MPa compressive stress or 0-50 MPa tensile stress (i.e., an as-deposited stress of between 50 MPa compressive and 50 MPa tensile).
[0027] In contrast, many conventional HDP-CVD deposition methods used to deposit a silicon oxide layers for use as dielectric material in integrated circuits commonly produce relatively highly compressive stress layers of greater than 150 MPa compressive stress. The inventors have found that plasma treatment of dielectric layers having such relatively high compressive stress results in a considerably reduced change in the absolute stress
Figure imgf000009_0001
than if the dielectric layer has an as-deposited stress level within the ranges described above and thus cannot alter the stress level of the layer by a sufficient enough amount to reach the tensile stress levels desirable in some applications.
[0028] As would be understood by a person of ordinary skill in the art, several parameters of the deposition process used in step 220 can be altered from values used in conventional HDP-CVD processes to deposit a silicon oxide layer having an as- deposited stress level within the desired 100 MPa compressive stress (a relatively low compressive stress level) to 50 MPa tensile stress. For example, the deposition parameters used in step 220 may differ from the parameters used in a conventional HDP-CVD STI process by using one or more of a lower substrate temperature during deposition, a lower chamber pressure, and/or a lesser amount of source RF power in order to produce a more tensile layer.
[0029] Any appropriate deposition process can be employed to deposit the dielectric layer having a desired stress level during step 220. In one particular embodiment, a flow of substantially inert gas is provided to form a plasma above substrate 90. As used herein, "substantially inert gas" refers to a gas or combination of gases that does not result in appreciable deposition during the deposition process. The substantially inert gas may be O2, Ar, He, H2, N2, or a mixture of the previous gases. The specific substantially inert gas that is used is not critical to the process being described, meaning that a similar amount of Ar flow could be substituted for a comparable flow of He, or any other substantially inert gas may be used within this process.
[0030] Table 1 below lists the process parameters for deposition step 220 used to create a low tensile stress film as compared to a conventional HDP-CVD deposition parameters implemented in the Ultima HDP-CVD chamber manufactured for Applied Materials and outfitted for 200mm substrates according to one particular embodiment of the invention. The gas flow rates and other parameters set forth in Table 1 below are optimized for a deposition process run in the Ultima chamber. A person of ordinary skill in the art will recognize that these rates and parameters are in part chamber specific and will vary if chambers of other design and/or volume are employed.
Figure imgf000010_0001
Figure imgf000011_0001
TABLE 1
[0031] After the completion of the deposition process of step 220, the substrate 90 is then moved to an elevated position in step 240 above the substrate receiving portion 19 by extending the lift pins 92. The plasma created during the deposition process 220 is still present above the substrate 90, and is maintained through the substrate movement step 240 by continuing to flow inert gases. Process parameters for step 240 are shown in Table 2. A typical duration of the substrate movement step 240 will last between 1-10 seconds. In an exemplary embodiment, the substrate 90 is lifted to the position 58, where the height that the lift pins 92 are extended may vary from 1 to 50 mm, so long as the back side of the substrate 90 is no longer in contact with the substrate receiving portion 19.
Figure imgf000011_0002
TABLE 2 [0032] The substrate 90 is now situated above the substrate receiving portion 19 on the lift pins 92 for plasma treatment in step 250. Plasma treatment of the substrate 90 in an elevated position induces a change in the stress of the deposited layer not seen when plasma treatment of the substrate occurs at a processing position. By raising the substrate 90 to an elevated position above the substrate receiving portion 19, both the deposited layer on the top surface of the substrate 90 as well as the bottom surface of the substrate 90 are exposed to the plasma. The duration of exposure to the plasma is a period greater than 10 seconds, but optimally 30-60 seconds in step 250. Process parameters for step 250 are shown within Table 2. The inventors have found that even if the distance between the substrate 90 and substrate receiving portion 19 is only 1 mm, the plasma present during step 250 will occupy the gap between the substrate 90 and substrate receiving portion 19 and treat both the top and bottom sides of the substrate 90. While not being limited to any particular theory, this exposure of both the top and bottom surface of the substrate 90 causes a shift in the chemical component and phase of the deposited layer, as well as a change in the temperature profile of both the deposited layer and substrate. This contrasts with the use of plasma treatment at a non- elevated position, in which only the top surface of the deposited layer was exposed to the plasma treatment. Additionally, a greater amount of moisture content present within the deposited layer is driven out by the plasma treatment at an elevated position. Shrinkage of the deposited layer occurs, thus causing the layer to become thinner and more dense as the excess moisture is removed. The exposure of the deposited layer to plasma in an elevated position results in the stress of the layer increasing more than 100 MPa in the tensile direction, meaning that a low compressive stress layer may be altered to exhibit a low tensile stress, or a low tensile stress layer may be altered to exhibit a high tensile stress. In particular, changes of nearly 150 MPa in the stress of a deposited layer have been exhibited after plasma treatment according to this invention.
[0033] Without being limited by an explanation, one possible reason that a higher tensile stress layer can be formed by the exemplary plasma treatment described herein is that a layer having higher stress values can be obtained by reducing the net hydrogen content, or the amount of silicon-hydrogen bonds (Si-H bonds) in the deposited layer. It is believed that lower hydrogen content in the deposited layer, which results in a detectably smaller amount of Si-H bonds in the as-deposited layer, gives rise to higher tensile stress values in the deposited layer. The use of a plasma treatment of a deposited layer on a substrate can be used to achieve lower hydrogen content in the deposited layer, and thus increase the tensile stress of the substrate.
[0034] At the conclusion of the plasma treatment process, the plasma is extinguished by stopping the flow of inert gas and the substrate 90 is readied for output in step 270 by being raised to upper loading position 57. The vertical position of the substrate 90 is changed by raising the lift pins 92 to allow a robot blade (not shown) to remove the substrate 90 from the chamber.
[0035] Embodiments of the present invention can be implemented using a variety of high density plasma CVD substrate processing chambers including chambers in which a plasma is formed by the application of RF energy to a coil that at least partially surrounds a portion of the chamber and chambers that use ECR plasma formation techniques. An example of an inductively-coupled HDP-CVD chamber in which embodiments of the method of the present invention can be practiced is set forth below.
[0036] Fig. IA illustrates one embodiment of a high density plasma chemical vapor deposition (HDP-CVD) system 10 in which a substrate can be processed according to the present invention. System 10 includes a chamber 13, a vacuum system 70, a source plasma system 80A, a bias plasma system 80B, a gas delivery system 33, and a remote plasma cleaning system 50.
[0037] The upper portion of chamber 13 includes a dome 14, which is made of a ceramic dielectric material, such as aluminum oxide or aluminum nitride. Dome 14 defines an upper boundary of a plasma processing region 16. Plasma processing region 16 is bounded on the bottom by the upper surface of a substrate 17 and a substrate support member 18.
[0038] A heater plate 23 and a cold plate 24 surmount, and are thermally coupled to, dome 14. Heater plate 23 and cold plate 24 allow control of the dome temperature to within about +- 10 degrees C over a range of about 100 degrees C. to 200 degrees C. This allows optimizing the dome temperature for the various processes. For example, it may be desirable to maintain the dome at a higher temperature for cleaning or etching processes than for deposition processes. Accurate control of the dome temperature also reduces the flake or particle counts in the chamber and improves adhesion between the deposited layer and the substrate. [0039] The lower portion of chamber 13 includes a body member 22, which joins the chamber to the vacuum system. A base portion 21 of substrate support member 18 is mounted on, and forms a continuous inner surface with, body member 22. Substrates are transferred into and out of chamber 13 by a robot blade (not shown) through an insertion/removal opening (not shown) in the side of chamber 13. Lift pins (shown in Fig. 2) are raised and then lowered under the control of a motor (not shown) to move the substrate to different positions within the chamber 13. The lift pins may be configured to move the substrate from the robot blade at an upper loading position 57 to a position 58 where, as explained further below, the substrate is prepared for processing. Subsequently, the lift pins may move the substrate to a lower processing position 56 in which the substrate is placed on a substrate receiving portion 19 of substrate support member 18. Substrate receiving portion 19 includes an electrostatic chuck 20 that secures the substrate to substrate support member 18 during substrate processing. In a preferred embodiment, substrate support member 18 is made from an aluminum oxide or aluminum ceramic material.
[0040] Vacuum system 70 includes throttle body 25, which houses twin-blade throttle valve 26 and is attached to gate valve 27 and turbo-molecular pump 28. It should be noted that throttle body 25 offers minimum obstruction to gas flow, and allows symmetric pumping. Gate valve 27 can isolate pump 28 from throttle body 25, and can also control chamber pressure by restricting the exhaust flow capacity when throttle valve 26 is fully open. The arrangement of the throttle valve, gate valve, and turbo- molecular pump allow accurate and stable control of chamber pressures from between about 1 millitorr to about 2 torr.
[0041] The source plasma system 80A includes a top coil 29 and side coil 30, mounted on dome 14. A symmetrical ground shield (not shown) reduces electrical coupling between the coils. Top coil 29 is powered by top source RF (SRF) generator 3 IA, whereas side coil 30 is powered by side SRF generator 3 IB, allowing independent power levels and frequencies of operation for each coil. This dual coil system allows control of the radial ion density in chamber 13, thereby improving plasma uniformity. Side coil 30 and top coil 29 are typically inductively driven, which does not require a complimentary electrode. In a specific embodiment, the top source RF generator 31A provides up to 5,000 watts of RF power at nominally 2 MHz and the side source RF generator 3 IB provides up to 5,000 watts of RF power at nominally 2 MHz. The operating frequencies of the top and side RF generators may be offset from the nominal operating frequency (e.g. to 1.7-1.9 MHz and 1.9-2.1 MHz, respectively) to improve plasma-generation efficiency.
[0042] A bias plasma system 80B includes a bias RF ("BRF") generator 31C and a bias matching network 32C. The bias plasma system 80B capacitively couples substrate portion 17 to body member 22, which act as complimentary electrodes. The bias plasma system 80B serves to enhance the transport of plasma species (e.g., ions) created by the source plasma system 80A to the surface of the substrate. In a specific embodiment, bias RF generator provides up to 5,000 watts of RF power at 13.56 MHz.
[0043] RF generators 31 A and 3 IB include digitally controlled synthesizers and operate over a frequency range between about 1.8 to about 2.1 MHz. Each generator includes an RF control circuit (not shown) that measures reflected power from the chamber and coil back to the generator and adjusts the frequency of operation to obtain the lowest reflected power, as understood by a person of ordinary skill in the art. RF generators are typically designed to operate into a load with a characteristic impedance of 50 ohms. RF power may be reflected from loads that have a different characteristic impedance than the generator. This can reduce power transferred to the load. Additionally, power reflected from the load back to the generator may overload and damage the generator. Because the impedance of a plasma may range from less than 5 ohms to over 900 ohms, depending on the plasma ion density, among other factors, and because reflected power may be a function of frequency, adjusting the generator frequency according to the reflected power increases the power transferred from the RF generator to the plasma and protects the generator. Another way to reduce reflected power and improve efficiency is with a matching network.
[0044] Matching networks 32A and 32B match the output impedance of generators 31 A and 3 IB with their respective coils 29 and 30. The RF control circuit may tune both matching networks by changing the value of capacitors within the matching networks to match the generator to the load as the load changes. The RF control circuit may tune a matching network when the power reflected from the load back to the generator exceeds a certain limit. One way to provide a constant match, and effectively disable the RF control circuit from tuning the matching network, is to set the reflected power limit above any expected value of reflected power. This may help stabilize a plasma under some conditions by holding the matching network constant at its most recent condition.
[0045] Other measures may also help stabilize a plasma. For example, the RF control circuit can be used to determine the power delivered to the load (plasma) and may increase or decrease the generator output power to keep the delivered power substantially constant during deposition of a layer.
[0046] A gas delivery system 33 provides gases from several sources 34A-34F to the chamber for processing the substrate via gas delivery lines 38 (only some of which are shown). As would be understood by a person of skill in the art, the actual sources used for sources 34A-34F and the actual connection of delivery lines 38 to chamber 13 varies depending on the deposition and cleaning processes executed within chamber 13. Gases are introduced into chamber 13 through a gas ring 37 and/or a top nozzle 45. Fig. IB is a simplified, partial cross-sectional view of chamber 13 showing additional details of gas ring 37.
[0047] In one embodiment, first and second gas sources, 34A and 34B, and first and second gas flow controllers, 35A' and 35B', provide gas to ring plenum 36 in gas ring 37 via gas delivery lines 38 (only some of which are shown). Gas ring 37 has a plurality of source gas nozzles 39 (only one of which is shown for purposes of illustration) that provide a uniform flow of gas over the substrate. Nozzle length and nozzle angle may be changed to allow tailoring of the uniformity profile and gas utilization efficiency for a particular process within an individual chamber. In a preferred embodiment, gas ring 37 has 12 source gas nozzles made from an aluminum oxide ceramic.
[0048] Gas ring 37 also has a plurality of oxidizer gas nozzles 40 (only one of which is shown), which in a preferred embodiment are co-planar with and shorter than source gas nozzles 39, and in one embodiment receive gas from body plenum 41. In some embodiments it is desirable not to mix source gases and oxidizer gases before injecting the gases into chamber 13. In other embodiments, oxidizer gas and source gas may be mixed prior to injecting the gases into chamber 13 by providing apertures (not shown) between body plenum 41 and gas ring plenum 36. In one embodiment, third and fourth gas sources, 34C and 34D, and third and fourth gas flow controllers, 35C and 35D', provide gas to body plenum via gas delivery lines 38. Additional valves, such as 43B (other valves not shown), may shut off gas from the flow controllers to the chamber.
[0049] In embodiments where flammable, toxic, or corrosive gases are used, it may be desirable to eliminate gas remaining in the gas delivery lines after a deposition.
[0050] This may be accomplished using a 3-way valve, such as valve 43B, to isolate chamber 13 from delivery line 38 A and to vent delivery line 38 A to vacuum foreline 44, for example. As shown in Fig. IA, other similar valves, such as 43A and 43C, may be incorporated on other gas delivery lines. Such 3-way valves may be placed as close to chamber 13 as practical, to minimize the volume of the unvented gas delivery line (between the 3-way valve and the chamber). Additionally, two-way (on-off) valves (not shown) may be placed between a mass flow controller ("MFC") and the chamber or between a gas source and an MFC.
[0051] Referring again to Fig. IA, chamber 13 also has top nozzle 45 and top vent 46. Top nozzle 45 and top vent 46 allow independent control of top and side flows of the gases, which improves layer uniformity and allows fine adjustment of the layer's deposition and doping parameters. Top vent 46 is an annular opening around top nozzle 45. In one embodiment, first gas source 34A supplies source gas nozzles 39 and top nozzle 45. Source nozzle MFC 35 A' controls the amount of gas delivered to source gas nozzles 39 and top nozzle MFC 35A controls the amount of gas delivered to top gas nozzle 45. Similarly, two MFCs 35B and 35B1 may be used to control the flow of oxygen to both top vent 46 and oxidizer gas nozzles 40 from a single source of oxygen, such as source 34B. The gases supplied to top nozzle 45 and top vent 46 may be kept separate prior to flowing the gases into chamber 13, or the gases may be mixed in top plenum 48 before they flow into chamber 13. Separate sources of the same gas may be used to supply various portions of the chamber.
[0052] A remote microwave-generated plasma cleaning system 50 is provided to periodically clean deposition residues from chamber components. The cleaning system includes a remote microwave generator 51 that creates a plasma from a cleaning gas source 34E (e.g., molecular fluorine, nitrogen trifluoride, other fluorocarbons or equivalents) in reactor cavity 53. The reactive species resulting from this plasma are conveyed to chamber 13 through cleaning gas feed port 54 via applicator tube 55. The materials used to contain the cleaning plasma (e.g., cavity 53 and applicator tube 55) must be resistant to attack by the plasma. The distance between reactor cavity 53 and feed port 54 should be kept as short as practical, since the concentration of desirable plasma species may decline with distance from reactor cavity 53. Generating the cleaning plasma in a remote cavity allows the use of an efficient microwave generator and does not subject chamber components to the temperature, radiation, or bombardment of the glow discharge that may be present in a plasma formed in situ. Consequently, relatively sensitive components, such as electrostatic chuck 20, do not need to be covered with a dummy wafer or otherwise protected, as may be required with an in situ plasma cleaning process. In one embodiment, this cleaning system is used to dissociate atoms of the etchant gas remotely, which are then supplied to the process chamber 13. In another embodiment, the etchant gas is provided directly to the process chamber 13. In still a further embodiment, multiple process chambers are used, with deposition and etching steps being performed in separate chambers.
[0053] System controller 60 controls the operation of system 10. In a preferred embodiment, controller 60 includes a memory 62, such as a hard disk drive, a floppy disk drive (not shown), and a card rack (not shown) coupled to a processor 61. The card rack may contain a single-board computer (SBC) (not shown), analog and digital input/output boards (not shown), interface boards (not shown), and stepper motor controller boards (not shown). The system controller conforms to the Versa Modular European ("VME") standard, which defines board, card cage, and connector dimensions and types. The VME standard also defines the bus structure as having a 16- bit data bus and 24-bit address bus. System controller 31 operates under the control of a computer program stored on the hard disk drive or through other computer programs, such as programs stored on a removable disk. The computer program dictates, for example, the timing, mixture of gases, RF power levels and other parameters of a particular process. The interface between a user and the system controller is via a monitor, such as a cathode ray tube ("CRT") 65, and a light pen 66, as depicted in Fig. 1C.
[0054] In an alternate embodiment of the invention shown in Fig. 4, a sputter step 230 may be performed after the completion of the deposition step 220 while the substrate 90 is still at the processing position 56. Steps 200-220 are performed in accordance with the description of those steps for Fig. 1. The plasma formed within the previous deposition step 220 is maintained by continuing to flow a substantially inert gas while also providing RF power through the top coil 29 and side coils 30. Gaseous ions within the plasma are attracted towards the biased substrate receiving portion 19 and bombard the deposited layer on the substrate, thus raising the temperature of the substrate 90 to a temperature of between 400-700 degrees C. This temperature increase occurs primarily due to heat transfer from the charged plasma ions impacting the deposited layer on top of the substrate 90. A small amount of the deposited material may be etched away during the sputter process, but the amount is minimal and may be compensated for by adjusting the deposition recipe. The pressure during the sputter step is maintained as a stable pressure, with an exemplary chamber pressure existing between 5 to 9 mT. The duration of the sputter step 230 in an exemplary embodiment is between 15 and 45 seconds. Exemplary process parameters for steps 220-260 of the invention are shown in Table 3.
Figure imgf000019_0001
TABLE 3
[0055] After the sputter step 230 is complete, the plasma present during sputtering is maintained throughout the movement of the substrate 90 to an elevated position in step 240. Due to the added sputter step 230, the substrate temperature has already been raised to a higher temperature and the process parameters for plasma treatment step after sputtering 260 can be different than if performed without a sputter step 230. For example, while the process conditions are similar to that of plasma treatment step 250 performed without a sputter step, a shorter duration of between 15-45 seconds may be utilized in plasma treatment after sputtering 260, along with a chamber pressure of 5-9 IΪΪT. Additionally, in one embodiment the amount of RF power delivered to the top 29 and side coils 30 delivered is a reduced amount of 1-3 kW. The substrate is then readied for output in step 270.
[0056] In an alternate embodiment of the invention, a two-step plasma treatment process is used to treat the substrate 90, as shown in Fig. 5. The substrate is placed within the chamber, moved to process position ,56, and a dielectric layer is deposited as in steps 200-220. Following the deposition of a dielectric layer on a substrate 90 in step 220, the plasma created in step 220 is maintained while the substrate 90 is kept at processing position 56 to treat the substrate 90 with a plasma for a duration of at least 10 seconds in step 330. The process conditions of step 330 are similar to those used in step 250, except that the duration of the plasma treatment at the process position may be shorter due to the two-step nature of the plasma treatment. The process parameters for the two-step plasma treatment process are shown in Table 4. Subsequently, the substrate is lifted to an elevated position above the substrate receiving position 19 in step 240 and both the deposited layer and bottom of the substrate 90 are then exposed to a plasma in step 350 for a duration between 10-40 seconds at a pressure of between 8-12 mT. A reduced amount of RF power is applied to the top 29 and side 30 RF coils of between 1-4 kW is used to maintain the plasma. The temperature of the substrate is maintained at a temperature between 300-600 degrees C, and gas flows of 300-600 seem He to both the side source 39 and top 45 nozzles are provided, in addition to gas flows of 100-300 seem O2 and 25-100 seem Ar. This approach can also yield a tensile stress of 100 MPa greater than the original stress of the substrate prior to treatment. The substrate is then readied for output in step 270.
Figure imgf000020_0001
Figure imgf000021_0001
TABLE 4
[0057] In another embodiment of the invention, a discontinuous plasma treatment is used to treat the substrate 90 following the deposition process. After a dielectric layer has been deposited on the substrate, the plasma created during deposition is maintained for a period of time while the substrate 90 is still positioned on the substrate receiving portion 19 at processing position 56. In this particular embodiment, the period of time that the substrate is exposed to plasma is a period between 30-60 seconds, but may also be longer or shorter depending on the type of dielectric being deposited. Following the initial plasma treatment, the plasma is turned off by stopping the flow of gases into the chamber. The substrate is then moved to a lift position 58 during a substrate movement step 240 by raising lift pins 92 to a height above the substrate receiving portion 19. A plasma is then reformed by flowing inert gases into the chamber and applying RF energy through the side and top coils. The plasma treats both the top and bottom sides of the elevated substrate, thus changing the stress of the wafer to become more tensile.
[0058] The techniques described in various embodiments of the current invention can be advantageously used within many different process applications, such as a shallow trench isolation (STI) application. The use of a plasma treatment within an STI application is shown in Figs. 6A-6E and described in more detail below. Several of the steps may occur within a series of different chambers, but the deposition of the dielectric layer and plasma treatment process occur within one chamber. [0059] A thin pad oxide 402 is first grown or deposited on a silicon substrate 400, and a nitride layer 404 is deposited over the layer of pad oxide 402, as shown in Fig. 6A. The nitride layer 404 is used as an etch-stop layer and acts as a hard mask for the trench etch steps. Following deposition, a lithography process is implemented to form a photoresist mask and pattern a trench opening 406 in the pad oxide and nitride layers, shown in Fig. 6B. A nitride etch and silicon etch are used to create a deep trench 408 within the silicon. This is shown in Fig. 6C. After removal of the photoresist mask, the substrate 400 is then moved to a substrate processing chamber 13 and moved into a processing position 56. A dielectric layer 410 is then deposited on the substrate 400, filling both the trench region and mesa regions adjacent to the trench 408. The result of the dielectric deposition process is shown in Fig. 6D. The substrate 400 is then raised by a lifting mechanism such as lift pins to an elevated position. The plasma created during deposition is maintained throughout this process. The substrate 400 is then exposed to the plasma for a given duration, affecting both the top and bottom of the substrate. After extinguishing the plasma, the substrate is readied for output from the chamber. Process conditions for the plasma treatment step can be found in Tables 1 and 2. Following the plasma treatment process, a CMP process is used to remove excess portions of the dielectric layer 410 formed during the deposition step as shown in Fig. 6E. Following the removal of portions of the dielectric layer, a nitride and pad oxide strip is used to remove the nitride 404 and pad oxide layers 402 from the trench, as shown in Fig. 6E. This leaves the trench 408 filled with a dielectric material 410 with a silicon area present between adjacent trenches that can be used for transistor formation. In an alternative process flow, a sputter step can also be incorporated after deposition whereby plasma ions are attracted to the biased substrate.
[0060] Having described several embodiments, it will be recognized by those of skill in the art that various modifications, alternative constructions, and equivalents may be used without departing from the spirit of the invention. In particular, while embodiments have been described in detail for high-density plasma system, those of skill in the art will recognize the general applicability of the invention to any substrate processing system that uses a plasma to treat the substrate. For example, while the invention described with respect to an undoped silicate glass layer, the invention can also be used to improve the tensile stress of phosphosilicate glass (PSG), boron-doped silicate glass (BSG), boron phosphosilicate glass (BPSG) layers and fluorine-doped silicon glass (FSG) as well as other types of materials. Also, in other embodiments, silicon sources other than monosilane may be used. Examples of suitable silicon sources include other silane family members such as, Si2H6, Si3H8, etc.; TEOS and SiF4 among others. As such, the above description is illustrative and not restrictive. A person of ordinary skill in the art will recognize that the exemplary ranges and process parameters described herein are experimentally determined and further modifications to these values may be possible with further experimentation. Accordingly, the above description should not be taken as limiting the scope of the invention, which is defined in the following claims.

Claims

WHAT IS CLAIMED IS:
L A method of forming a dielectric layer comprising: placing a substrate on a substrate support in a chamber; depositing a dielectric layer on the substrate; raising the substrate above the substrate support; and while the substrate is raised above the substrate support, exposing the substrate to plasma treatment that increases the tensile stress of the deposited layer.
2. The method of claim 1 wherein the dielectric layer when deposited has a stress of less than 100 MPa compressive stress.
3. The method of claim 2 wherein the increase in tensile stress of the deposited layer is at least 100 MPa.
4. The method of claim 1 further comprising after depositing the dielectric layer and prior to raising the substrate, exposing the substrate to a sputtering process that increases the substrate temperature.
5. The method of claim 4 wherein a plasma is continuously maintained between the step of exposing the substrate to a sputtering process and exposing the substrate to plasma treatment that increases the tensile stress of the deposited layer.
6. The method of claim 1 further comprising after depositing the dielectric layer and prior to raising the substrate, exposing the substrate to an initial plasma treatment while the back surface of the substrate is still in contact with the substrate support.
7. The method of claim 1 wherein the plasma treatment ionizes a gas which is O2, Ar, He, H2, N2, or a mixture of the gases listed.
8. The method of claim 1 wherein the deposited layer has a first stress which is compressive, and after exposure to plasma treatment, has a second stress which is tensile.
9 The method of claim 1 wherein the deposited layer has a first stress which is tensile, and after exposure to plasma treatment, has a second stress which is more tensile than the first stress.
10. The method of claim 1 wherein the substrate is raised above the substrate support by a plurality of lift pins that extend the lift pins through the substrate support.
11. The method of claim 1 wherein the substrate and layer are heated to a temperature greater than 300 degrees Celsius a result of exposure to the plasma treatment.
12. The method of claim 1 wherein the substrate is raised to a height of between 1 to 50 mm above the substrate support during the raising step.
13. The method of claim 1 wherein the substrate is exposed to the plasma for a period of 10-60 seconds during the exposing step.
14. The method of claim 1 wherein the plasma is a high-density plasma.
15. The method of claim 1 wherein the dielectric layer is a layer of undoped silicon glass.
16. The method of claim 1 wherein the chamber pressure during the plasma treatment of the substrate is less than 15 mT.
17. A method of creating a shallow trench isolation area on a substrate comprising: depositing an oxide layer on the substrate; depositing a nitride layer on the substrate and patterning the nitride layer to form a trench opening; etching a trench into the substrate at the trench opening; transferring the substrate into a substrate processing chamber and placing the substrate on a support; depositing a dielectric layer over the substrate, filling the trench and covering the portions of the nitride layer adjacent to the trench; raising the substrate above the substrate support; exposing the substrate to a plasma that increases the tensile stress of the deposited dielectric layer; transferring the substrate out of the substrate processing chamber; removing portions of the dielectric layer to leave the dielectric layer even with the topmost nitride layer; and removing the nitride and pad oxide layers.
18. The method of claim 17 wherein prior to raising the substrate, exposing the substrate to a sputtering step that increases the substrate temperature.
19. A method of increasing the tensile stress of a layer on a substrate disposed on a substrate support in a substrate processing chamber, comprising: placing the substrate in the chamber; positioning the substrate on the surface of the substrate support; depositing the layer over the substrate, wherein the deposited layer has a first stress as a result of deposition; raising the substrate above the surface of the substrate support to an elevated position; and in the elevated position, exposing the top and bottom surface of the substrate to a plasma whereby the stress of the layer is increased to a second stress more tensile than the first stress.
20. A method of forming a dielectric layer on a substrate comprising: placing a substrate on a first surface of a substrate support in a substrate processing chamber; depositing a dielectric layer over the substrate using a high density plasma CVD deposition process, wherein the dielectric layer has a first stress less than or equal to 100 MPa compressive as a result of deposition; raising the substrate above the first surface of the substrate support; and while the substrate is raised above the first surface substrate support, exposing the substrate to a high density plasma that is substantially inert to the dielectric layer and increases the stress of the dielectric layer to a second stress that is at least 100 MPa more tensile than the first stress.
21. The method of claim 20 wherein said step of raising the substrate is done by extending lift pins through the substrate support to a height of at least 1 mm and the substrate is exposed to the substantially inert plasma for a period between 10-60 seconds.
22. The method of claim 20 wherein prior to raising the substrate, the substrate is exposed to high density plasma to heat the substrate while biasing the plasma towards the substrate and the plasma is maintained between heat step and exposing step.
23. The method of claim 20 wherein the first stress is between 0-50 MPa compressive and the second stress is between 100-200 MPa tensile.
24. The method of claim 22 wherein first stress is between 0-50 MPa tensile and the second stress is between 100-200 MPa tensile.
25. The method of claim 22 wherein the first stress is between 0-50 MPa compressive and the second stress is between 0-140 MPa tensile.
PCT/US2006/031191 2005-09-07 2006-08-10 Post deposition plasma treatment to increase tensile stress of a hdp-cvd si 02 layer WO2007030258A2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/221,303 2005-09-07
US11/221,303 US7465680B2 (en) 2005-09-07 2005-09-07 Post deposition plasma treatment to increase tensile stress of HDP-CVD SIO2

Publications (2)

Publication Number Publication Date
WO2007030258A2 true WO2007030258A2 (en) 2007-03-15
WO2007030258A3 WO2007030258A3 (en) 2007-05-10

Family

ID=37801400

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2006/031191 WO2007030258A2 (en) 2005-09-07 2006-08-10 Post deposition plasma treatment to increase tensile stress of a hdp-cvd si 02 layer

Country Status (3)

Country Link
US (2) US7465680B2 (en)
TW (1) TWI355031B (en)
WO (1) WO2007030258A2 (en)

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
JP4984558B2 (en) * 2006-02-08 2012-07-25 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
US20070202710A1 (en) * 2006-02-27 2007-08-30 Hynix Semiconductor Inc. Method for fabricating semiconductor device using hard mask
US7482245B1 (en) * 2006-06-20 2009-01-27 Novellus Systems, Inc. Stress profile modulation in STI gap fill
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US7851232B2 (en) 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US20080128675A1 (en) * 2006-11-30 2008-06-05 Michele Magistretti Phase change memory cell having a tapered microtrench
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US7622162B1 (en) * 2007-06-07 2009-11-24 Novellus Systems, Inc. UV treatment of STI films for increasing tensile stress
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
KR101002548B1 (en) * 2007-10-10 2010-12-17 주식회사 하이닉스반도체 Method of forming isolation layer in semiconductor device
US7618874B1 (en) * 2008-05-02 2009-11-17 Micron Technology, Inc. Methods of forming capacitors
US7696056B2 (en) 2008-05-02 2010-04-13 Micron Technology, Inc. Methods of forming capacitors
US8133797B2 (en) * 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
JP5770740B2 (en) 2009-12-11 2015-08-26 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated Method and apparatus for improving the passivation process to protect silicon prior to high dose implant strips
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
KR101223724B1 (en) * 2010-10-25 2013-01-17 삼성디스플레이 주식회사 Passivation film for electronic device and method of manufacturing the same
US8486814B2 (en) * 2011-07-21 2013-07-16 International Business Machines Corporation Wafer backside defectivity clean-up utilizing selective removal of substrate material
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
US20130252440A1 (en) * 2011-09-26 2013-09-26 Applied Materials, Inc. Pretreatment and improved dielectric coverage
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US9076868B1 (en) 2014-07-18 2015-07-07 Globalfoundries Inc. Shallow trench isolation structure with sigma cavity
US9219151B1 (en) * 2014-09-04 2015-12-22 United Microelectronics Corp. Method for manufacturing silicon nitride layer and method for manufacturing semiconductor structure applying the same
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10541137B2 (en) 2018-06-01 2020-01-21 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for non line-of-sight doping
US11114306B2 (en) * 2018-09-17 2021-09-07 Applied Materials, Inc. Methods for depositing dielectric material
JP7222946B2 (en) * 2020-03-24 2023-02-15 株式会社Kokusai Electric Semiconductor device manufacturing method, substrate processing apparatus, and program
CN113969396B (en) * 2020-07-22 2023-12-01 盛合晶微半导体(江阴)有限公司 Preparation method of control wafer
US11572622B2 (en) 2020-09-14 2023-02-07 Applied Materials, Inc. Systems and methods for cleaning low-k deposition chambers
WO2023042804A1 (en) * 2021-09-14 2023-03-23 東京エレクトロン株式会社 Plasma processing device and plasma processing method

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS56138916A (en) * 1980-03-31 1981-10-29 Fujitsu Ltd Formation of amorphous thin film
JPH06333922A (en) * 1993-05-19 1994-12-02 Nippondenso Co Ltd Device protective film and its manufacture
US6037237A (en) * 1997-07-25 2000-03-14 Samsung Electronics Co., Ltd. Trench isolation methods utilizing composite oxide films
EP1186685A2 (en) * 2000-09-08 2002-03-13 Applied Materials, Inc. Method for forming silicon carbide films
US20040063300A1 (en) * 2002-10-01 2004-04-01 Taiwan Semiconductor Manufacturing Company Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US20040248395A1 (en) * 2003-06-05 2004-12-09 Semiconductor Leading Edge Technologies, Inc. Method for manufacturing semiconductor device

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4962049A (en) 1989-04-13 1990-10-09 Applied Materials, Inc. Process for the plasma treatment of the backside of a semiconductor wafer
JP3013446B2 (en) * 1990-12-28 2000-02-28 ソニー株式会社 Dry etching method
US5707485A (en) * 1995-12-20 1998-01-13 Micron Technology, Inc. Method and apparatus for facilitating removal of material from the backside of wafers via a plasma etch
US5681425A (en) * 1995-12-29 1997-10-28 Industrial Technology Research Institute Teos plasma protection technology
US5948704A (en) * 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5820723A (en) * 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6287977B1 (en) * 1998-07-31 2001-09-11 Applied Materials, Inc. Method and apparatus for forming improved metal interconnects
US6514870B2 (en) 2001-01-26 2003-02-04 Applied Materials, Inc. In situ wafer heat for reduced backside contamination
US6528427B2 (en) * 2001-03-30 2003-03-04 Lam Research Corporation Methods for reducing contamination of semiconductor substrates
TW582086B (en) * 2001-04-02 2004-04-01 United Microelectronics Corp Surface densification method of low dielectric constant film
JP2002343787A (en) * 2001-05-17 2002-11-29 Research Institute Of Innovative Technology For The Earth Plasma treatment equipment and its cleaning method
JP3821065B2 (en) * 2002-07-04 2006-09-13 東京エレクトロン株式会社 Plasma processing apparatus, upper electrode cover, and upper electrode cover window
KR100481180B1 (en) * 2002-09-10 2005-04-07 삼성전자주식회사 Photoresist removal method
US6974768B1 (en) * 2003-01-15 2005-12-13 Novellus Systems, Inc. Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films
US20060105106A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
US7455735B2 (en) * 2005-09-28 2008-11-25 Nordson Corporation Width adjustable substrate support for plasma processing

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS56138916A (en) * 1980-03-31 1981-10-29 Fujitsu Ltd Formation of amorphous thin film
JPH06333922A (en) * 1993-05-19 1994-12-02 Nippondenso Co Ltd Device protective film and its manufacture
US6037237A (en) * 1997-07-25 2000-03-14 Samsung Electronics Co., Ltd. Trench isolation methods utilizing composite oxide films
EP1186685A2 (en) * 2000-09-08 2002-03-13 Applied Materials, Inc. Method for forming silicon carbide films
US20040063300A1 (en) * 2002-10-01 2004-04-01 Taiwan Semiconductor Manufacturing Company Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US20040248395A1 (en) * 2003-06-05 2004-12-09 Semiconductor Leading Edge Technologies, Inc. Method for manufacturing semiconductor device

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
RAMKUMAR K ET AL: "STRESS IN SIO2 FILMS DEPOSITED BY PLASMA AND OZONE TETRAETHYLORTHOSILICATE CHEMICAL VAPOR DEPOSITION PROCESSES" JOURNAL OF THE ELECTROCHEMICAL SOCIETY, ELECTROCHEMICAL SOCIETY. MANCHESTER, NEW HAMPSHIRE, US, vol. 139, no. 5, 1 May 1992 (1992-05-01), pages 1437-1442, XP000355385 ISSN: 0013-4651 *
THURN JEREMY ET AL: "Stress hysteresis and mechanical properties of plasma-enhanced chemical vapor deposited dielectric films" JOURNAL OF APPLIED PHYSICS, AMERICAN INSTITUTE OF PHYSICS. NEW YORK, US, vol. 95, no. 3, 1 February 2004 (2004-02-01), pages 967-976, XP012067366 ISSN: 0021-8979 *

Also Published As

Publication number Publication date
WO2007030258A3 (en) 2007-05-10
TWI355031B (en) 2011-12-21
US7745351B2 (en) 2010-06-29
US7465680B2 (en) 2008-12-16
US20070054504A1 (en) 2007-03-08
US20090035918A1 (en) 2009-02-05
TW200715410A (en) 2007-04-16

Similar Documents

Publication Publication Date Title
US7465680B2 (en) Post deposition plasma treatment to increase tensile stress of HDP-CVD SIO2
US7972968B2 (en) High density plasma gapfill deposition-etch-deposition process etchant
US6908862B2 (en) HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
US6808748B2 (en) Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US6802944B2 (en) High density plasma CVD process for gapfill into high aspect ratio features
US8414747B2 (en) High-throughput HDP-CVD processes for advanced gapfill applications
US7097886B2 (en) Deposition process for high aspect ratio trenches
US6903031B2 (en) In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US7244658B2 (en) Low stress STI films and methods
US7867921B2 (en) Reduction of etch-rate drift in HDP processes
US20110151676A1 (en) Methods of thin film process
US20060292894A1 (en) Gapfill using deposition-etch sequence
US7745350B2 (en) Impurity control in HDP-CVD DEP/ETCH/DEP processes
US20070029046A1 (en) Methods and systems for increasing substrate temperature in plasma reactors
EP2033214A2 (en) A method for depositing and curing low-k films for gapfill and conformal film applications
US7064077B2 (en) Method for high aspect ratio HDP CVD gapfill
US7189639B2 (en) Use of germanium dioxide and/or alloys of GeO2 with silicon dioxide for semiconductor dielectric applications
US7390757B2 (en) Methods for improving low k FSG film gap-fill characteristics
US7229931B2 (en) Oxygen plasma treatment for enhanced HDP-CVD gapfill
US20080299775A1 (en) Gapfill extension of hdp-cvd integrated process modulation sio2 process

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application
NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 06801137

Country of ref document: EP

Kind code of ref document: A2