WO2007109117A2 - Dry etch stop process for eliminating electrical shorting in mram device structures - Google Patents

Dry etch stop process for eliminating electrical shorting in mram device structures Download PDF

Info

Publication number
WO2007109117A2
WO2007109117A2 PCT/US2007/006607 US2007006607W WO2007109117A2 WO 2007109117 A2 WO2007109117 A2 WO 2007109117A2 US 2007006607 W US2007006607 W US 2007006607W WO 2007109117 A2 WO2007109117 A2 WO 2007109117A2
Authority
WO
WIPO (PCT)
Prior art keywords
containing gas
insulating layer
metal layer
oxygen
substrate
Prior art date
Application number
PCT/US2007/006607
Other languages
French (fr)
Other versions
WO2007109117A3 (en
Inventor
Robert Ditizio
Original Assignee
Tegal Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/724,556 external-priority patent/US7645618B2/en
Application filed by Tegal Corporation filed Critical Tegal Corporation
Priority to JP2009500499A priority Critical patent/JP5085637B2/en
Priority to EP07753250A priority patent/EP1999781A2/en
Publication of WO2007109117A2 publication Critical patent/WO2007109117A2/en
Publication of WO2007109117A3 publication Critical patent/WO2007109117A3/en

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N50/00Galvanomagnetic devices
    • H10N50/01Manufacture or treatment
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N52/00Hall-effect devices

Definitions

  • [001] THe present invention relates generally to semiconductor fabrication and particularly to fabricating device structures containing metal-i ⁇ sulator-metal layered thin film stacks such as those used In magnetic tunnel junction devices and memory devices.
  • Layered films of metal-insulator-metal are employed as storage element's in memory devices such as magnetic random access memories (MRAM) land the like.
  • the memory element for the MRAM technology is a patterned structure of multilayer material and is usually composed of a stack of different materials such as NiFe, CoFe, PtMn, Ru, etc., and may include insulator-like materials such as AI2O3 or MgO.
  • a typical stack may contain as many as ten or more layers of these materials some of which are! non-magnetic, some of which are magnetic, and one or two of which are, insulating.
  • the insulating films in this description are defined as oxidized or nitridized metal layers that exhibit high electrical resistance in their bulk form. To fabricate a storage element, it is necessary to deposit the materials in overlying blanket films, layer by layer, to form a patterned layer of photoresist, and to etch the films into appropriate structures.
  • Ion; beam milling or ion beam etching processes have been employed to remove magnetoresistive materials.
  • Ion beam milling is a physical milling process. Areas that are not protected by the maskiare removed by bombardment with ions. The bombardment of ions sputters or peels away the unprotected material. Ion beam milling operates with low selectivity, and the portions of the stack that are near to the edges of the mask or the boundaries of an MRAM cell body can be easily damaged.
  • etching techniques have also been employed to selectively remove portions of deposited layers. Examples of etching techniques include dry etching techniques and wet etching techniques. [005] One of the drawbacks of current etching techniques is that the profiles of MRAM structures are susceptible to electrical shorting across the thin tunnel junction. The vertical separation between the upper magnet (aver above the insulating dielectric tunneling layer and the lower magnet layer below this tunneling layer is inadequate to prevent electrical shorting.
  • Embodiments of the present invention are directed to, among other things, fabrication of magnetic tunnel junction (MTJ) devices whereby the tunnel barrier layer serves as the stop layer during plasma overetching of the upper magnetic layer.
  • MTJ magnetic tunnel junction
  • the gases employed during plasma overetching preferably excludes halogen containing species which result in highly selective etching of the upper magnetic layer vis-a-vis the tunnel barrier layer.
  • the introduction of oxygen in the gas enhances the reproducibility of the process.
  • a fluorine-chlorine gas mixture is employed to partially etch the magnet layer over the tunnel barrier layer.
  • another embodiment is directed to corrosion plasma treatment with He and H2 gas prior to or during the stripping of the photoresist mask.
  • rinsing with water and He and H2 dehydration baking can be employed following the stripping step.
  • Figure Ij Typical MRAM structure with magnetic tunneling junction.
  • Figure 2. Simplified MRAM structure with magnetic tunneling junction Figure 3.
  • Inventive MRAM process sequence Figure 4> Inventive MRAM process sequence Figure 5a.
  • Inventive MRAM process sequence Figure 6. MRAM stack structure after top contact patterning Figure 7.
  • Figure 1 1 1 Plot of optical emission signal intensity obtained during the etch of a 5OA NiFe/ 15A alumina/ 5OA NiFe stack structure.
  • the two peaks in the plot indicate the removal of the two NiFe layers.
  • the time between the two peaks indicate the time required to remove the 15A alumina layer.
  • the NiFe-to-alumina etch selectivity obtained from the process used to produce the graph is greater than 90:1.
  • the present invention is based, in part, on the development of a patterning method for fabricating magnetic tunnel junction (MTJ) devices that are employed in magnetic random access memory (MRAM) devices.
  • MTJ magnetic tunnel junction
  • MRAM magnetic random access memory
  • a critical aspect of the invention is that MTJ devices prepared by the inventive process afford superior electrical isolation between the magnet layers in contact with the dielectric tunnel layer in comparison t ⁇ the current art.
  • FIG. 1 A typical MRAM structure, within which an MTJ is contained, is shown in Figure 1.
  • the MRAM structure is a complex stack of magnetic, conductive, and insulating films on a substrate.
  • the specific components of a typical MRAM structure are shown and consist of a substrate 10, a barrier layer 12, a bottom contact layer 14, a mulitlayer fixed magnet structure 16 consisting of layers of CoFe, Ru, NiFe 1 IrMn, PtMn, and the like, a dielectric tunnel layer such as alumina or MgO 18, a switchable magnet layer 20 (NiFe, CoFe, CoNiFe, CoFeB, and the like), and a top contact layer 22 (Ta, TaN, Ti, TiN, W, a.nd the like),
  • Photoresist layer 28 is a light sensitive material that is commonly used by those skilled in the art of electrical device fabrication as a mask to etch one or more of the underlying layers below the photoresist so that portions of the underlying layer not protected by the resist layer can be etched away.
  • Anti reflection coating 26, which is typically 3O ⁇ A to 800A thick, is commonly used to absorb radiation to form an optically opaque film to enhance the contrast of the imaging resist. ARC coatings effectively reduce reflection of the incident Radiation back into the overlying PR mask layer. This prevents overexposure of the photoresist material.
  • Hard mask layer 24 is commonly used in device fabrication as an intermediate mask transfer layer.
  • the photoresist When utilized, the photoresist is used as a dry etch mask to transfer the pattern into the hard mask, and possibly one or more of the underlayers, after which the hard mask layer is used as a mask to transfer the pattern into the remaining underlayers that are not defined using the photoresist.
  • Hard masks such as silicon dioxide and silicon nitride are commonly used as a means to improve the durability of the mask relative to that of photoresist or to allow processing at temperatures above the softening point of polymeric photoresist layers.
  • Magnetic stack structure are typically formed on a substrate 10.
  • the substrate 10 may include any structure that has an exposed surface. Structures are preferably those used in the manufacture of semiconductor devices such as silicon wafer, silicon-on insulator (SOI), silicon-on sapphire (SOS), aluminum titanium carbide (AITiC) doped and undoped semiconductors, Hl-V or M-VI semiconductors, epitaxial layers of silicon supported by a base semiconductor foundation, and other semiconductor structures.
  • the semiconductor need not be silicon-based.
  • the semiconductor could be silicon-germanium, germanium, or gallium arsenide:.
  • the structure could also be a non-semiconductor such as glass or polymer.
  • the substrate 10 may include buried electronic devices such as transistors, diodes, capacitors, and resistors, or any other device or circuit element that would be used in conjunction with the magnetic multilayer stack.
  • MTJ and MRAM structures are known in the art and are described, for example, in U.S. Patents 6,673,675 to Yates, et al., entitled “Methods of Fabricating an MRAM Device Using Chemical Mechanical Polishing”; 6,677,165 to Lu, et al., entitled “Magnetoresistive Random Access Memory (MRAM) Cell Patterning”; 6,653,704 to Gurney, et al., entitled "Magnetic Memory with Tunnel Junction Memory Cells and Phase
  • the orientation of the magnetic film stack can be reversed relative to the order shown in Figure 1. That is, the orientation of the film structure can be such that the film stack can be deposited in reverse order with the top contact layer and free magnet layer are below the dielectric tunnel layer and the multi-layer fixed and antiferrcimagnetic layers are placed above the dielectric tunnel layer. It should also be understood that the magnetic film stack can comprise multiple magnetic tunnel junctions in orientations in which the free layer is deposited above the dielectric tunnel layer or below the dielectric tunnel layer and remain within the scope of the inventive method.
  • the MTJ stack comprises a substrate 10 1 a bottom contact layer 14, a fixed bottom magnet layer 16, a dielectric tunnel layer 18, a switchable upper magnet layer 2 ⁇ i, and a top contact layer 22.
  • the stack structure is patterned with photoresist layer 28. This simplified structure is used in the following description of the preferred embodiments for the present invention.
  • FIG. 3 shows an inventive process sequence in which the magnetic stack is deposited 100, the PR is patterned 102, one or both of the hard mask and top contact layers are etched 104, and a reactive etch process is used to remove part of the upper magnet layer 106.
  • the reactive etch of the upper magnet layer 106, MTJ device structures are exposed to an inventive etch stop process 108 directly, or first to a corrosion treatment sequence consisting of a Dl rinse, a PR strip, and a plasma based corrosion treament, followed by an inventive etch stop process 108.
  • the inventive etch stop process 108 directly follows the reactive partial etch of the upper magnet 106
  • the patterning of the MTJ device structures is completed and the devices are moved to subsequent processing 1 14.
  • the inventive etch stop process 108 directly follows the reactive partial etch of the upper magnet 106
  • the devices are exposed to a sequence of processes to prevent corrosion. Exposure of magnetic films to chlorine- and bromine- containing etch chemistries can produce adverse reactions upon removal of the devices from vacuum and subsequent exposure of the etched films to moisture under ambient conditions. Depending on the sensitivity of the films, various sequences have been developed for preventing adverse corrosive reactions such as those shown in Figure 3.
  • the corrosion treatment sequence consists of a Dl water rinse 1 10 followed by a photoresist strip/corrosion treatment 112.
  • the corrosion prevention treatment sequence consists of a photoresist strip/corrosion treatment 1 12, followed by Dl water rinse 1 10.
  • FIG. 4 shows an inventive process sequence in which the magnetic stack is deposited 100, the PR is patterned 102, and the hard mask is etched 103. Following the hard mask etch 103, MTJ device structure ' s are exposed to a photoresist strip process 107 or to a reactive etch process 105 to remove the top contact layer and a reactive etch process 106 to remove part of the upper magnet.
  • the MTJ devices are exposed to a reactive etch process 105 to remove the top contact layer and a reactive etch process 106 to remove part of the upper magnet.
  • the MTJ devices are subsequently exposed to a photoresist strip process 107.
  • the MTJ devices are exposed to the etch stop process ,108 directly, or first to a corrosion treatment sequence consisting of a Dl rinse and a plasma based corrosion treament 1 13, followed! by an inventive etch stop process 108.
  • inventive etch stop process 108 directly follows the reactive partial etch of the upper magnet 106, or follows a photoresist strip process 107 that was preceded by the reactive partial etch of the upper magnet 106, the patterning of the MTJ device structures is completed and the devices are moved to subsequent processing 1 14.
  • the inventive etch stop process 108 directly follows the reactive partial etch of the upper magnet 106, or follows a photoresist strip process 107 that was preceded by the reactive partial etch of the upper magriet 106, the devices are exposed to a sequence of processes to prevent corrosion. Exposure of magnetic films to chlorine- and bromine- containing etch
  • the corrosion prevention treatment sequence consists of a Dl water rinse 1 10 followed by a plasma-based corrosion treatment 1 12.
  • the corrosion prevention treatment sequence consists of a plasma-based corrosion '.prevention treatment 1 12, followed by Dl water rinse 1 10.
  • the devices are exposed to a plasma-based corrosion treatment 1 1 3 followed by a Dl water rinse prior to the inventive etch stop on the tunnel layer 108.
  • Figure 5a Two approaches to the subsequent processing 1 14, as indicated in Figure 3 and Figure 4, are shown in Figure 5a and Figure 5b. These figures describe two specific methods that specifically exploit the unique capability, afforded by inventive etch stop process step 108.
  • Figure 5a a spacer is used to passivate the sidewall of the MTJ device structure to prevent electrical shorting during subsequent
  • the sidewall spacer is used in conjunction with an etch stop process such as that described by etch stop process 108 shown in Figures 3 and 4.
  • Figure 5a shows the preferred embodiment for subsequent processing steps that follow the inventive etch stop process
  • the subsequent process 114 described in Figures 3 and 4 consist of a spacer dielectric deposition 130, a spacer etch 132, and a bottom magnet/bottom contact etch 134 to complete the process or a bottom magnet/bottom contact etch 134 followed by a Dl water rinse step followed by a plasma-based corrosion prevention treatment 142.
  • the plasma-based corrosion prevention treatment 142 can precede the Dl water rinse as shown in Figure 5a before proceeding with subsequent processing of the device 150.
  • FIG. 5b an alternative approach to subsequent.processing 114 is shown in which an insulating hard mask layer such as silicon dioxide or silicon nitride is deposited 120, photoresist is patterned 122, the hard mask is etched 124, the photoresist is stripped 126, and the bottom magnet and bottom contact are etched 128.
  • the photoresist patterning is such that the silicon dioxide or silicon nitride hard mask layer extends laterally beyond the vertical sidewall produced from tha original hard mask etch 103, upper contact etch 105, reactive upper magnet etch 106, and etch stop process 108.
  • extension of hard mask 120 beyond the vertical sidewall, upon photoresist patterning 122, should be such that the sidewall of the original hardmask, the upper contact, and the upper magnet layer remains covered with hard mask layer 120 after hard mask layer etch 124.
  • Tr)e layers that comprise the MRAM stack or other magnetic device structure are deposited 100 using techniques employed by those skilled in the art of film deposition.
  • the films may be deposited by physical vapor deposition, chemical vapor deposition, atomic layer deposition, nano-layer deposition, atomic layer deposition, evaporation, and other techniques.
  • the films in the stack may also be deposited by one of these methods in one form and subsequently modified in a second chamber.
  • the alumina (AhCh) dielectric might be formed by depositing a layer of aluminum and subsequently exposing the aluminum to an oxidizing process to form alumina.
  • MgO might be formed by depositing a layer of magnesium and subsequently exposing the Mg to an oxidizing process to form MgO.
  • A: photoresist deposition and patterning step 102 is used to create a pattern for defining the MTJ or MRAM stack.
  • an anti reflective coating can be used in, conjunction with the photoresist to improve the accuracy of the pattern transfer.
  • a hard mask layer can be incorporated between the photoresist and the top contact layer. Hard mask layers such as silicon dioxide and silicon nitride could be used. Alternatively, the thickness of the conductive top contact layer can be made such that it can serve the dual purpose of hard mask and top contact layer.
  • Figure 2 shows the;simplified MRAM stack structure after magnetic stack deposition 100 and subsequent photoresist patterning 102.
  • the hard mask layer and the upper contact layer layers are patterned 103 using common techniques employed by those skilled in the art.
  • One example of a common process for reactively etching a silicon oxide hard mask, if present, is to use a mixture of CF4, CHF3, and Ar.
  • Oxide etch processes are widely available in the literature.
  • an example of a process chemistry that is commonly' used to reactively etch the top conductor layer 104, 105 is the use a mixture of Ar/Cb.
  • metal contact layer etches have been published extensively in the literature. Oxide and nitride hard masks and metal contact layers have been in use for many years and the techniques that have been used to remove these layers are apparent to those skilled in the art.
  • the simplified MRAM stack structure after contact etch is shown in Figure 6.
  • the removal of the magnetic layers found in a magnetic multi-layer stacks is ; not well established in the art.
  • This inventive process 106 consists of a gas mixture of a chlorinei-containing gas such as Cb, BCb, and HCI and a fluorine- containing gas such as CF4, SF ⁇ , and CHF3 to remove part of the top magnet layer.
  • a gas molecule that contains Cl and F atoms might be used.
  • the ratio of chlorine-containing to fluorine-containing gases should be in the range of 2:1 to 20:1.
  • Typical process conditions for the reactive etch step 106 demonstrated in the Spectra® inductively coupled; process module manufactured by Tegal Corporation, are as follows:, 400W of 1 3.56MHz rf power on the inductive source coil, 20W of 45OkHzI rf power applied to the substrate, 40sccm Cb, 8sccm CF4, and 4mT process pressure.
  • the simplified MRAM stack structure after reactive magnetilayer etch 106 is shown in Figure 7.
  • fluorine as an additive to a chlorine-containing etch process has been found to produce smooth etched surfaces (as shown in Figure 8) and prevent diffusion of the chlorine species through very thin films of magnetic material that remain after reactive upper magnet etch step 106.
  • Use of a fluorine/chlorine containing gas mixture allows for removal of the upper magnet layer to within 5-25A of the interface between the remaining upper magnet layer and the underlying dielectric tunnel layer.
  • the remaining upper magnet layer will be etched as ,close as possible to the interface between the remaining upper magnet layer 20 and the underlying dielectric layer 18 without penetrating the tunneling dielectric layer in the vicinity of the features prior to moving to a subsequent processing step such as the etch stop process 108, the Dl water rinse 1 10, or the PR strip/corrosion treatment 1 12.
  • the upper magnet layer 20 is etched uniformly, and the underlying dielectric layer 18 is not breached anywhere on the wafer during the reactive upper magnet layer etch 106 as shown rn Figure 7.
  • the upper magnet layer 20 is completely removed and the underlying dielectric layer 18 is breached, but not within close proximity of the patterned , MTJ stack features (See Figure 9).
  • the upper magnet layer etch 106 is removed with an etch process that contains one or more of the following gases or gas mixtures: Cb, Cb/Ar, CI2/CF4, Cb/CHF 3 , CI 2 /Ar, BCI3/CI2, BCU/Clz/Ar, BCU/HBr, BCI 3 /HBr/Ar,
  • the upper magnet layer 20 is completely removed, the underlying dielectric layer 18 is also removed outside of a sloped region in close proximity of the patterned MTJ stack, and all cjr part of the bottom magnet layer 16 and all or part of the bottom contact layer 14 are removed. (See Figure 10.)
  • a unique benefit of this embodiment is that the full MRAM structure is patterned with a single mask; subsequent processing steps 1 14 are not required.
  • the upper magnet layer etch 106 is removed with an etch process that contains one or more of the following reactive gases and gas mixtures: Cb, Cfe/Ar, CI2/CF4, CI2/CHF3, Cb/Ar, BCb/Cb, BCI 3 /Cb/Ar, BCh/HBr, BCb/HBr/Ar, NH 3 , NH3/CO.
  • etch stop process 108 consisting, in the preferred embodiment, of a mixture of a non-reactive gas such as argon and an oxidizing gas, such as oxygen, whereby the dielectric of the tunnel barrier jayer serves as the stop layer.
  • a non-reactive gas such as argon
  • an oxidizing gas such as oxygen
  • the inert ga-s flow is typically in the range of 10 to 35Osccm and the flow of the oxygen-containing gas is in the range of 0.02 to 0.1 5sccm.
  • the oxygen -contain ing gas can vary depending on the flow of inert gas, the selection of the oxygen-containing gas, and the type of plasma'system used.
  • a typical process 108 used in the Spectra® inductively coupled etch process module manufactured by Tegal Corporation is as follows for a 200mm diameter silicon substrate: I OOW
  • etch stop, sputter process step 108 are intended to provide an exemplary set of conditions that that liave been found to produce a sputter selectivity between NiFe and alumina of ⁇ 90:l in the Spectra ICP process module manufactured by Tegal Corporation. (See Figure 1 1.)
  • a range of process conditions and chamber configurations can be used to produce results with high selectivity between the upper magnet material and the dielectric.
  • Two factors that must be considered in achieving high selectivity are the control of the ratio of inert gas to oxygen-containing gas in the process chamber and the operation of the process at low bias power levels. These two factors are discussed in more detail in the following paragraphs.
  • the etch stop process requires a high selectivity (>5:1) between the upper magnet layer 20 and the underlying dielectric layer 18. It is expected that the upper magnet layer 20 will be etched at a rate of at least 5 times faster than the rate at which the underlying dielectric layer 18, e.g., AI2O3, is etched. Precise control of the NiFe/CoFe etch rate is possible because there are significant differences in sputter thresholds between the NiFe and CoFe and that of oxidized metals such as AI2O3 and MgO. Experiments that confirmed these phenomena were conducted using a Spectra ® process module manufactured by Tegal Corporation (Petaluma, CA).
  • NiFe and CoFe sputter rates were measured with monolayer, test wafers and alumina etch rates were measured with alumina/NiFe test structures.
  • the test structure consisted of a substrate that had a; NiFe layer deposited thereon and a very thin layer of alumina ( ⁇ 15A) over the NiFe.
  • the measured alumina etch rates were representative of the thin film properties that would be found in stacks containing magnetic tunneling junctions.
  • Thj ⁇ resulting device profiles following the preferred embodiments of reactive etch steps 106 as shown in Figures 7, 9, and 10 and etch stop process 108 are shown in Figures 13, 14, and 15.
  • the residual metal film that remains of upper magnet layer 20 after reactive etch step 106 is removed from the underlying dielectric layer 18.1
  • the removal of the upper magnet layer 20 that remains after reactive step 106 with a low bias non-reactive etch stop 108 provides superior electrical isolation over other known methods without damaging the underlying dielectric layer 18.
  • Geometric isolation is provided in each of the three embodiments of the inventive process without the inherent risk of ejectrical shorting that has been known to limit device performance for structures incorporating MTJ stacks.
  • Superior electrical isolation between the upper magnet layer 20 and the bottom magnet layer 16Js also accomplished with the inventive process without the associated risks involved in using corrosive chemistries at the stage of the process that is most critical for producing reliable devices.
  • etch stop 108 The typical process conditions for etch stop 108 provided above are intended to be representative of a process that was found to yield an exceptionally high selectivity between NiFe or CoFe and alumina. Variations of the process conditions within the Spectra reactor can be used within the scope of the inventive etch stop process 108.
  • oxygen su'ch as N2O, NO, CO, and CO2, among others, can be used in place of, or in combination with oxygen to produce the oxidizing component of the etch stop process 108.
  • oxygen -contain ing gas can be eliminated by controlling the oxygen level in the etch chamber by a method other than the intentional introduction of an oxygen-containing gas as is discussed in the following paragraphs
  • plasma sputtering magnetic layers comprising transition metals such as NiFe with inert sputtering gases such as Ar, that regulating the amount of oxygen in the plasma chamber can influence the etch selectivity with respect to the underlying alumina. That is, a 1 higher NiFe/alumina selectivity can be achieved by controlling the flow of oxygen into the plasma chamber.
  • One embodiment of the plasma oyeretch process entails reducing the background oxygen to levels that do not affect the etching process while concurrently reintroducing oxygen in a measurable and controllable manner into the plasma chamber.
  • Sources of the background oxygen that may enter the plasma chamber include, for example: (1) sputtering of oxygen- containing internal chamber parts, (2) atmospheric oxygen; (3) outgassiiig from materials in the chamber; and (4) other processing modules'in the process system. [0046] When "uncontrolled" background oxygen in the chamber is
  • the selectivity between NiFe and alumina can be optimized by re-introducing a very small amount (e.g., ⁇ 0.08 seem) of oxygen into the chamber.
  • One technique to re-introduce the oxygen employs two separate carrier gas sources that are connected to the chamber. The first source supplies an Ar/U2gas mixture comprising 99.9% Ar and 0.1% O2 to the plasma chamber while a second source supplies a gas containing
  • the base pressure of the chamber be reducedito -0.001 mT or less.
  • the sputtering of the surfaces of internal chamber parts should be minimized or controlled.
  • inductive source power should be low (100-200W) to minimize window sputtering. Excessive amounts of oxygen in the chamber can slow the etch rate of the metallic magnetic films and can lead to a reduction in selectivity between the magnet layers and the dielectric layers.
  • oxygen is introduced into the process 1 chamber through an orifice separating a source of oxygen and the process chamber.
  • the orifice is sized such that the flow of the oxygen .containing gas, when mixed with an inert gas, produces an enhancement in the sputtering selectivity between the upper magnetic film and the tunneling dielectric.
  • Other means for introducing a controlled level of oxygen into an inert gas to provide the necessary conditions for selectively etching the magnetic material over the dielectric layer can also be used within the scope ofjthis patent. In such embodiments, sputtering of interior surfaces, of oxygen-containing materials in the plasma reactor can be used as a source of oxygen.
  • an inert gas such as argon would be introduced through conventional means, such as a mass flow controller or needle valve, at such a volume so as to produce a mixture of inert gas and oxygen-containing species at the surface of the upper magnetic layer being etched, so as to produce selective removal between the magnetic material and the tunneling dielectric layer.
  • the process conditions would be adjusted such that the magnetic material would be removed at a rate of >5A /min and the dielectric layer would be removed at a rate of ⁇ 1 A /min.
  • the level of an oxygen- containing gas is provided by controlling the leakage of atmospheric gases into the vacuum chamber.
  • Plasma-based semiconductor fabrication processes are typically performed in the range of 0.1 to 1000 milHTorr.
  • oxygen can be introduced inadvertently through imperfect seals, through porous materials, and from outgassing of parts in the processing chamber.
  • the rate of leakage can easily be measured in conventional plasma processing equipment.
  • an inert gas such as argon would be introduced through conventional means, such as a mass flow controller or needle valve, at such a volume so as to produce a mixture of inert gas and oxygen-containing species at the surface of the upper magnetic layer being etched, so as to produce selective removal between the magnetic material and the tunneling dielectric layer.
  • conventional means such as a mass flow controller or needle valve
  • oxygen-containing leakage from atmosphere in combination with the introduction of controlled inert gas flow! through conventional means to produce the required mixture of inert gas and oxygen-containing species to the extent that the magnetic material 1 is removed at a rate of >5A /min and the dielectric layer is removed at a rate of ⁇ 1 A /min.
  • the process for removing the photoresist and preventing corrosion must be compatible with magnetic film structures.
  • the use of hydrogen-containing gas mixtures that are suitable for resist removal and for preventing corrosion that could result from exposure of the MRAM film stack to the halogen-containing etch chemistries.
  • the magnetic film stack is exposed to a hydrogen-containing plasma to remove the photoresist, to expose the magnetic layers to a process that would prevent corrosion upon exposure to ambient conditions, or both.
  • Hydrogen is introduced into the process chamber in a mixture of hydrogen and an inert gas such as helium, neon, argon, or nitrogen.

Abstract

The present invention relates generally to semiconductor fabrication and particularly to fabricating magnetic tunnel junction devices. In particular, this invention relates to a method for using the dielectric layer in tunnel junctions as an etch stop layer to eliminate electrical shorting that can result from the patterning process.

Description

INVENTION TITLE :DRY ETCH 5TOP PROCESS FOR ELIMINATING ELECTRICAL SHORTING IN MRAM DEVICE STRUCTURES
This application claims priority from U.S. provisional applications Ser. No- 60/783,157, filed 3/16/2005, entitled "Dry etch stop process for eliminating electrical shorting in MRAM device structures", which is incorporated herein by reference.
DESCRIPTION
FIELD OF THE INVENTION
[001] THe present invention relates generally to semiconductor fabrication and particularly to fabricating device structures containing metal-iηsulator-metal layered thin film stacks such as those used In magnetic tunnel junction devices and memory devices.
BACKGROUND OF THE INVENTION
[0023 Layered films of metal-insulator-metal are employed as storage element's in memory devices such as magnetic random access memories (MRAM) land the like. The memory element for the MRAM technology is a patterned structure of multilayer material and is usually composed of a stack of different materials such as NiFe, CoFe, PtMn, Ru, etc., and may include insulator-like materials such as AI2O3 or MgO. A typical stack may contain as many as ten or more layers of these materials some of which are! non-magnetic, some of which are magnetic, and one or two of which are, insulating. The insulating films in this description are defined as oxidized or nitridized metal layers that exhibit high electrical resistance in their bulk form. To fabricate a storage element, it is necessary to deposit the materials in overlying blanket films, layer by layer, to form a patterned layer of photoresist, and to etch the films into appropriate structures.
[003] Ion; beam milling or ion beam etching processes have been employed to remove magnetoresistive materials. Ion beam milling, however, is a physical milling process. Areas that are not protected by the maskiare removed by bombardment with ions. The bombardment of ions sputters or peels away the unprotected material. Ion beam milling operates with low selectivity, and the portions of the stack that are near to the edges of the mask or the boundaries of an MRAM cell body can be easily damaged.
[004] Chemical etching techniques have also been employed to selectively remove portions of deposited layers. Examples of etching techniques include dry etching techniques and wet etching techniques. [005] One of the drawbacks of current etching techniques is that the profiles of MRAM structures are susceptible to electrical shorting across the thin tunnel junction. The vertical separation between the upper magnet (aver above the insulating dielectric tunneling layer and the lower magnet layer below this tunneling layer is inadequate to prevent electrical shorting.
SUMMARY
[006] Embodiments of the present invention are directed to, among other things, fabrication of magnetic tunnel junction (MTJ) devices whereby the tunnel barrier layer serves as the stop layer during plasma overetching of the upper magnetic layer. The resulting MTJ devices exhibit superior electrical isolation across the tunnel barrier layer.
[007] lri another embodiment, the gases employed during plasma overetching preferably excludes halogen containing species which result in highly selective etching of the upper magnetic layer vis-a-vis the tunnel barrier layer. The introduction of oxygen in the gas enhances the reproducibility of the process.
[008] In yet another embodiment, a fluorine-chlorine gas mixture is employed to partially etch the magnet layer over the tunnel barrier layer. [009] Finally, another embodiment is directed to corrosion plasma treatment with He and H2 gas prior to or during the stripping of the photoresist mask. Optionally, rinsing with water and He and H2 dehydration baking can be employed following the stripping step.
DESCRIPTION OF THE DRAWINGS
Figure Ij. Typical MRAM structure with magnetic tunneling junction. Figure 2. Simplified MRAM structure with magnetic tunneling junction Figure 3. Inventive MRAM process sequence Figure 4> Inventive MRAM process sequence Figure 5a. Inventive MRAM process sequence Figure 5b. Inventive MRAM process sequence Figure 6. MRAM stack structure after top contact patterning Figure 7. MRAM stack structure after reactive magnet-layer etch step Figure 8. MRAM stack structure after reactive magnet-layer etch Figure 9. Embodiment of the inventive MRAM patterning sequence in
\ which the tunneling dielectric layer is not breached in the vicinity of the feature but is breached in areas not in close proximity to the mask feature
Figure 10. Embodiment of the inventive MRAM patterning sequence in which the1 magnetic stack layers are intentionally etched with a sloped profile during a reactive etch step prior to the etch stop process
Figure 1 11. Plot of optical emission signal intensity obtained during the etch of a 5OA NiFe/ 15A alumina/ 5OA NiFe stack structure. The two peaks in the plot indicate the removal of the two NiFe layers. The time between the two peaks indicate the time required to remove the 15A alumina layer. The NiFe-to-alumina etch selectivity obtained from the process used to produce the graph is greater than 90:1.
Figure 12. Graph of etch sputter rates for CoFe, NiFe, and alumina.
Figure 13. MRAM stack structure after reactive magnet layer etch (see
Figure 6> and etch stop process
Figure 14. MRAM stack structure after reactive magnet layer etch (see
Figure 7) and etch stop process
Figure 15. MRAM stack structure after reactive magnet layer etch (see
Figure 8) and etch stop process DESCRIPTION OF THE INVENTION
[00101 The present invention is based, in part, on the development of a patterning method for fabricating magnetic tunnel junction (MTJ) devices that are employed in magnetic random access memory (MRAM) devices. As further described herein, a critical aspect of the invention is that MTJ devices prepared by the inventive process afford superior electrical isolation between the magnet layers in contact with the dielectric tunnel layer in comparison tαthe current art.
[ooπ] A typical MRAM structure, within which an MTJ is contained, is shown in Figure 1. The MRAM structure is a complex stack of magnetic, conductive, and insulating films on a substrate. In Figure 1 , the specific components of a typical MRAM structure are shown and consist of a substrate 10, a barrier layer 12, a bottom contact layer 14, a mulitlayer fixed magnet structure 16 consisting of layers of CoFe, Ru, NiFe1 IrMn, PtMn, and the like, a dielectric tunnel layer such as alumina or MgO 18, a switchable magnet layer 20 (NiFe, CoFe, CoNiFe, CoFeB, and the like), and a top contact layer 22 (Ta, TaN, Ti, TiN, W, a.nd the like),
[00121 Also shown in Figure 1 is a hard mask layer 24, an anti reflective coating 26, and a patterned layer of photoresist 28. Photoresist layer 28 is a light sensitive material that is commonly used by those skilled in the art of electrical device fabrication as a mask to etch one or more of the underlying layers below the photoresist so that portions of the underlying layer not protected by the resist layer can be etched away. Anti reflection coating 26, which is typically 3OθA to 800A thick, is commonly used to absorb radiation to form an optically opaque film to enhance the contrast of the imaging resist. ARC coatings effectively reduce reflection of the incident Radiation back into the overlying PR mask layer. This prevents overexposure of the photoresist material. Hard mask layer 24 is commonly used in device fabrication as an intermediate mask transfer layer. When utilized, the photoresist is used as a dry etch mask to transfer the pattern into the hard mask, and possibly one or more of the underlayers, after which the hard mask layer is used as a mask to transfer the pattern into the remaining underlayers that are not defined using the photoresist. Hard masks such as silicon dioxide and silicon nitride are commonly used as a means to improve the durability of the mask relative to that of photoresist or to allow processing at temperatures above the softening point of polymeric photoresist layers.
[0013] Magnetic stack structure are typically formed on a substrate 10. The substrate 10 may include any structure that has an exposed surface. Structures are preferably those used in the manufacture of semiconductor devices such as silicon wafer, silicon-on insulator (SOI), silicon-on sapphire (SOS), aluminum titanium carbide (AITiC) doped and undoped semiconductors, Hl-V or M-VI semiconductors, epitaxial layers of silicon supported by a base semiconductor foundation, and other semiconductor structures. The semiconductor need not be silicon-based. The semiconductor could be silicon-germanium, germanium, or gallium arsenide:. The structure could also be a non-semiconductor such as glass or polymer. The substrate 10 may include buried electronic devices such as transistors, diodes, capacitors, and resistors, or any other device or circuit element that would be used in conjunction with the magnetic multilayer stack.
[0014] For the typical multilayer MRAM structure shown in Figure 1 , within
1 which is contained an MTJ, it is understood that the specific layers, e.g., materials and their arrangements, that form the multilayer structure can vary. MTJ and MRAM structures are known in the art and are described, for example, in U.S. Patents 6,673,675 to Yates, et al., entitled "Methods of Fabricating an MRAM Device Using Chemical Mechanical Polishing"; 6,677,165 to Lu, et al., entitled "Magnetoresistive Random Access Memory (MRAM) Cell Patterning"; 6,653,704 to Gurney, et al., entitled "Magnetic Memory with Tunnel Junction Memory Cells and Phase
Transition Material for Controlling Current to the Cells"; 6,024,885 to Pendharkar, et al., entitled "Process for Patterning Magnetic Films"; and
1
5,650,958 to Gallagher, et al., entitled "Magnetic Tunnel Junctions with Controlled Magnetic Response"; all of which are incorporated herein by reference. [0015] It should be understood that the orientation of the magnetic film stack can be reversed relative to the order shown in Figure 1. That is, the orientation of the film structure can be such that the film stack can be deposited in reverse order with the top contact layer and free magnet layer are below the dielectric tunnel layer and the multi-layer fixed and antiferrcimagnetic layers are placed above the dielectric tunnel layer. It should also be understood that the magnetic film stack can comprise multiple magnetic tunnel junctions in orientations in which the free layer is deposited above the dielectric tunnel layer or below the dielectric tunnel layer and remain within the scope of the inventive method.
[0016] In; one simplified embodiment shown in Figure 2, the MTJ stack comprises a substrate 101 a bottom contact layer 14, a fixed bottom magnet layer 16, a dielectric tunnel layer 18, a switchable upper magnet layer 2θi, and a top contact layer 22. The stack structure is patterned with photoresist layer 28. This simplified structure is used in the following description of the preferred embodiments for the present invention.
[0017] Inventive etch-stop process sequences are provided in Figures 3, 4, and 5.
[00181 Figure 3 shows an inventive process sequence in which the magnetic stack is deposited 100, the PR is patterned 102, one or both of the hard mask and top contact layers are etched 104, and a reactive etch process is used to remove part of the upper magnet layer 106. Following
the reactive etch of the upper magnet layer 106, MTJ device structures are exposed to an inventive etch stop process 108 directly, or first to a corrosion treatment sequence consisting of a Dl rinse, a PR strip, and a plasma based corrosion treament, followed by an inventive etch stop process 108.
[0019] In one embodiment shown in Figure 3 in which the inventive etch stop process 108 directly follows the reactive partial etch of the upper magnet 106, the patterning of the MTJ device structures is completed and the devices are moved to subsequent processing 1 14. In a second embodiment in which the inventive etch stop process 108 directly follows the reactive partial etch of the upper magnet 106, the devices are exposed to a sequence of processes to prevent corrosion. Exposure of magnetic films to chlorine- and bromine- containing etch chemistries can produce adverse reactions upon removal of the devices from vacuum and subsequent exposure of the etched films to moisture under ambient conditions. Depending on the sensitivity of the films, various sequences have been developed for preventing adverse corrosive reactions such as those shown in Figure 3.
In one embodiment of the inventive process in which corrosion prevention treatments are employed and in which the corrosion treatments are employed following the etch stop on the tunnel layer 108, the corrosion treatment sequence consists of a Dl water rinse 1 10 followed by a photoresist strip/corrosion treatment 112. In a second embodiment of the inventive process In which corrosion prevention treatments are employed and in which the corrosion prevention treatments are employed following the etch stop on the tunnel layer 108, the corrosion prevention treatment sequence consists of a photoresist strip/corrosion treatment 1 12, followed by Dl water rinse 1 10.
[0020] In one embodiment shown in Figure 3 in which the inventive etch stop process 108 does not directly follow the reactive partial etch of the upper magnet 106, but rather is preceded by corrosion prevention treatments 1 10 and 1 12. In the first embodiment shown in Figure 3 in which the inventive etch stop process does not directly follow the reactive partial etch of the upper magnet 106, the MTJ device structures are exposed |to a Dl water rinse 1 10 followed by a photoresist strip/corrosion treatment 1 12 prior to the inventive etch stop on the tunnel layer 108. In a second1 embodiment of the inventive process in which the inventive etch stop process 108 does not directly follow the reactive partial etch of the upper magnet 106, the devices are exposed to a photoresist strip/corrosion treatment 1 12 followed by a Dl water rinse prior to the inventive etch stop on the tunnel layer 108. [0021] Figure 4 shows an inventive process sequence in which the magnetic stack is deposited 100, the PR is patterned 102, and the hard mask is etched 103. Following the hard mask etch 103, MTJ device structure's are exposed to a photoresist strip process 107 or to a reactive etch process 105 to remove the top contact layer and a reactive etch process 106 to remove part of the upper magnet. In a first embodiment of the inventive process in which the hard mask etch process 103 is followed !by photoresist strip process 107, subsequent to the photoresist process 107 the MTJ devices are exposed to a reactive etch process 105 to remove the top contact layer and a reactive etch process 106 to remove part of the upper magnet. In a second embodiment of the the inventive process in which the hard mask etch process 103 is followed by a reactive etch process 105 to remove the top contact layer and a reactive etch process 106 to remove part of the upper magnet, the MTJ devices are subsequently exposed to a photoresist strip process 107. [0022] Following the combined steps of photoresist strip 107 and reactive etch processes 105 to remove the top contact layer and 106 to remove part of the upper magnet, the MTJ devices are exposed to the etch stop process ,108 directly, or first to a corrosion treatment sequence consisting of a Dl rinse and a plasma based corrosion treament 1 13, followed! by an inventive etch stop process 108. [0023] In one embodiment shown in Figure 4 in which the inventive etch stop process 108 directly follows the reactive partial etch of the upper magnet 106, or follows a photoresist strip process 107 that was preceded by the reactive partial etch of the upper magnet 106, the patterning of the MTJ device structures is completed and the devices are moved to subsequent processing 1 14. In a second embodiment in which the inventive etch stop process 108 directly follows the reactive partial etch of the upper magnet 106, or follows a photoresist strip process 107 that was preceded by the reactive partial etch of the upper magriet 106, the devices are exposed to a sequence of processes to prevent corrosion. Exposure of magnetic films to chlorine- and bromine- containing etch
1 chemistries can produce adverse reactions upon removal of the devices from vacuum and subsequent exposure of the etched films to moisture under ambient conditions. Depending on the sensitivity of the films, various sequences have been developed for preventing adverse corrosive reactions such as those shown in Figure 4.
[0024] In one embodiment of the inventive process in which corrosion prevention treatments are employed and in which the corrosion treatments are employed following the etch stop on the tunnel layer 108, the corrosion prevention treatment sequence consists of a Dl water rinse 1 10 followed by a plasma-based corrosion treatment 1 12. In a second embodiment of the inventive process in which corrosion prevention treatments are employed and in which the corrosion prevention treatments are employed following the etch stop on the tunnel layer 108, the corrosion prevention treatment sequence consists of a plasma-based corrosion '.prevention treatment 1 12, followed by Dl water rinse 1 10.
[0025] In ojie embodiment shown in Figure 4 in which the inventive etch stop process 108 does not directly follow the reactive partial etch of the upper magnet 106, but rather is preceded by corrosion prevention treatments 1 10 and 1 13. In the first embodiment shown in Figure 4 in which the: inventive etch stop process does not directly follow the reactive partial etch of the upper magnet 106, the MTJ device structures are exposed to a Dl water rinse 1 10 followed by a plasma-based corrosion treatment 1 1 3 prior to the inventive etch stop on the tunnel layer 108. In a second embodiment of the inventive process in which the inventive etch stop process 108 does not directly follow the reactive partial etch of the upper magnet 106, the devices are exposed to a plasma-based corrosion treatment 1 1 3 followed by a Dl water rinse prior to the inventive etch stop on the tunnel layer 108.
[0026] Two approaches to the subsequent processing 1 14, as indicated in Figure 3 and Figure 4, are shown in Figure 5a and Figure 5b. These figures describe two specific methods that specifically exploit the unique capability, afforded by inventive etch stop process step 108. [0027] In Figure 5a, a spacer is used to passivate the sidewall of the MTJ device structure to prevent electrical shorting during subsequent
processing. The sidewall spacer is used in conjunction with an etch stop process such as that described by etch stop process 108 shown in Figures 3 and 4. Figure 5a shows the preferred embodiment for subsequent processing steps that follow the inventive etch stop process
108 shown in Figures 3 and 4. In this preferred embodiment, the subsequent process 114 described in Figures 3 and 4 consist of a spacer dielectric deposition 130, a spacer etch 132, and a bottom magnet/bottom contact etch 134 to complete the process or a bottom magnet/bottom contact etch 134 followed by a Dl water rinse step followed by a plasma-based corrosion prevention treatment 142. Alternatively, the plasma-based corrosion prevention treatment 142 can precede the Dl water rinse as shown in Figure 5a before proceeding with subsequent processing of the device 150.
In Figure 5b, an alternative approach to subsequent.processing 114 is shown in which an insulating hard mask layer such as silicon dioxide or silicon nitride is deposited 120, photoresist is patterned 122, the hard mask is etched 124, the photoresist is stripped 126, and the bottom magnet and bottom contact are etched 128. In this approach, the photoresist patterning is such that the silicon dioxide or silicon nitride hard mask layer extends laterally beyond the vertical sidewall produced from tha original hard mask etch 103, upper contact etch 105, reactive upper magnet etch 106, and etch stop process 108. The lateral
extension of hard mask 120 beyond the vertical sidewall, upon photoresist patterning 122, should be such that the sidewall of the original hardmask, the upper contact, and the upper magnet layer remains covered with hard mask layer 120 after hard mask layer etch 124.
[0028] Tr)e layers that comprise the MRAM stack or other magnetic device structure are deposited 100 using techniques employed by those skilled in the art of film deposition. The films may be deposited by physical vapor deposition, chemical vapor deposition, atomic layer deposition, nano-layer deposition, atomic layer deposition, evaporation, and other techniques. The films in the stack may also be deposited by one of these methods in one form and subsequently modified in a second chamber. The alumina (AhCh) dielectric, for example, might be formed by depositing a layer of aluminum and subsequently exposing the aluminum to an oxidizing process to form alumina. Similarly, MgO might be formed by depositing a layer of magnesium and subsequently exposing the Mg to an oxidizing process to form MgO.
[0029] A: photoresist deposition and patterning step 102 is used to create a pattern for defining the MTJ or MRAM stack. Although not shown in the simplified MRAM stack example in Figure 2, an anti reflective coating can be used in, conjunction with the photoresist to improve the accuracy of the pattern transfer. Additionally, a hard mask layer can be incorporated between the photoresist and the top contact layer. Hard mask layers such as silicon dioxide and silicon nitride could be used. Alternatively, the thickness of the conductive top contact layer can be made such that it can serve the dual purpose of hard mask and top contact layer. Figure 2 shows the;simplified MRAM stack structure after magnetic stack deposition 100 and subsequent photoresist patterning 102.
[0030] In the preferred embodiment, the hard mask layer and the upper contact layer layers are patterned 103 using common techniques employed by those skilled in the art. One example of a common process for reactively etching a silicon oxide hard mask, if present, is to use a mixture of CF4, CHF3, and Ar. Oxide etch processes are widely available in the literature. Similarly, an example of a process chemistry that is commonly' used to reactively etch the top conductor layer 104, 105 is the use a mixture of Ar/Cb. Again, metal contact layer etches have been published extensively in the literature. Oxide and nitride hard masks and metal contact layers have been in use for many years and the techniques that have been used to remove these layers are apparent to those skilled in the art. The simplified MRAM stack structure after contact etch is shown in Figure 6. [0031] The removal of the magnetic layers found in a magnetic multi-layer stacks is; not well established in the art. Within the scope of this invention, is the use of a process that is particularly well-suited for reactive upper magnet layer etch 106 in combination with etch stop process |108. This inventive process 106 consists of a gas mixture of a chlorinei-containing gas such as Cb, BCb, and HCI and a fluorine- containing gas such as CF4, SFβ, and CHF3 to remove part of the top magnet layer. Alternatively, a gas molecule that contains Cl and F atoms might be used. The ratio of chlorine-containing to fluorine-containing gases should be in the range of 2:1 to 20:1. Typical process conditions for the reactive etch step 106, demonstrated in the Spectra® inductively coupled; process module manufactured by Tegal Corporation, are as follows:, 400W of 1 3.56MHz rf power on the inductive source coil, 20W of 45OkHzI rf power applied to the substrate, 40sccm Cb, 8sccm CF4, and 4mT process pressure. The simplified MRAM stack structure after reactive magnetilayer etch 106 is shown in Figure 7.
[0032] The inclusion of fluorine as an additive to a chlorine-containing etch process has been found to produce smooth etched surfaces (as shown in Figure 8) and prevent diffusion of the chlorine species through very thin films of magnetic material that remain after reactive upper magnet etch step 106. Use of a fluorine/chlorine containing gas mixture allows for removal of the upper magnet layer to within 5-25A of the interface between the remaining upper magnet layer and the underlying dielectric tunnel layer.
[0033] In the preferred embodiment of the inventive reactive upper magnet etch process 106, the remaining upper magnet layer will be etched as ,close as possible to the interface between the remaining upper magnet layer 20 and the underlying dielectric layer 18 without penetrating the tunneling dielectric layer in the vicinity of the features prior to moving to a subsequent processing step such as the etch stop process 108, the Dl water rinse 1 10, or the PR strip/corrosion treatment 1 12. In a, preferred embodiment, the upper magnet layer 20 is etched uniformly, and the underlying dielectric layer 18 is not breached anywhere on the wafer during the reactive upper magnet layer etch 106 as shown rn Figure 7.
[0034] In one embodiment of the inventive process, however, the upper magnet layer 20 is completely removed and the underlying dielectric layer 18 is breached, but not within close proximity of the patterned ,MTJ stack features (See Figure 9). In this embodiment of the inventive process, the upper magnet layer etch 106 is removed with an etch process that contains one or more of the following gases or gas mixtures: Cb, Cb/Ar, CI2/CF4, Cb/CHF3, CI2/Ar, BCI3/CI2, BCU/Clz/Ar, BCU/HBr, BCI3/HBr/Ar,
Figure imgf000020_0001
[0035J In yet another embodiment, the upper magnet layer 20 is completely removed, the underlying dielectric layer 18 is also removed outside of a sloped region in close proximity of the patterned MTJ stack, and all cjr part of the bottom magnet layer 16 and all or part of the bottom contact layer 14 are removed. (See Figure 10.) A unique benefit of this embodiment is that the full MRAM structure is patterned with a single mask; subsequent processing steps 1 14 are not required. In this embodirjnent of the inventive process, the upper magnet layer etch 106 is removed with an etch process that contains one or more of the following reactive gases and gas mixtures: Cb, Cfe/Ar, CI2/CF4, CI2/CHF3, Cb/Ar, BCb/Cb, BCI3/Cb/Ar, BCh/HBr, BCb/HBr/Ar, NH3, NH3/CO.
[0036] The remainder of the upper magnet layer that is not removed in the reactive' step 106,' in the aforementioned embodiments is subsequently removed using etch stop process 108 consisting, in the preferred embodiment, of a mixture of a non-reactive gas such as argon and an oxidizing gas, such as oxygen, whereby the dielectric of the tunnel barrier jayer serves as the stop layer. In the preferred embodiment, the inert ga-s flow is typically in the range of 10 to 35Osccm and the flow of the oxygen-containing gas is in the range of 0.02 to 0.1 5sccm. Actual i flows fpr the oxygen -contain ing gas can vary depending on the flow of inert gas, the selection of the oxygen-containing gas, and the type of plasma'system used. A typical process 108 used in the Spectra® inductively coupled etch process module manufactured by Tegal Corporation is as follows for a 200mm diameter silicon substrate: I OOW
of 13.56MHz rf power on the source coil, 2OW of 45OkHz rf power applied to; the substrate, 35Osccm Ar, O.Oδsccm O2, and 1 OmT process pressure. The conditions provided above for the etch stop, sputter process step 108 are intended to provide an exemplary set of conditions that that liave been found to produce a sputter selectivity between NiFe and alumina of ~90:l in the Spectra ICP process module manufactured by Tegal Corporation. (See Figure 1 1.)
[0037] A range of process conditions and chamber configurations can be used to produce results with high selectivity between the upper magnet material and the dielectric. Two factors that must be considered in achieving high selectivity are the control of the ratio of inert gas to oxygen-containing gas in the process chamber and the operation of the process at low bias power levels. These two factors are discussed in more detail in the following paragraphs.
[0038] In the preferred embodiments, the etch stop process requires a high selectivity (>5:1) between the upper magnet layer 20 and the underlying dielectric layer 18. It is expected that the upper magnet layer 20 will be etched at a rate of at least 5 times faster than the rate at which the underlying dielectric layer 18, e.g., AI2O3, is etched. Precise control of the NiFe/CoFe etch rate is possible because there are significant differences in sputter thresholds between the NiFe and CoFe and that of oxidized metals such as AI2O3 and MgO. Experiments that confirmed these phenomena were conducted using a Spectra® process module manufactured by Tegal Corporation (Petaluma, CA).
[0039] Specifically, NiFe and CoFe sputter rates were measured with monolayer, test wafers and alumina etch rates were measured with alumina/NiFe test structures. The test structure consisted of a substrate that had a; NiFe layer deposited thereon and a very thin layer of alumina (~15A) over the NiFe. The measured alumina etch rates were representative of the thin film properties that would be found in stacks containing magnetic tunneling junctions.
10040] As is apparent from the graph in Figure 12, a significant difference was observed between the onset of sputtering for the magnetic alloys in comparison to that of the alumina. It was further observed in etch rate tests, which were performed on alumina/NiFe test structures at bias 'power levjels greater than TOW and less than 25W, that the alumina did riot measurably etch. These observations indicate that under specific process conditions, significant amounts of NiFe and CoFe can be etched from a TIvIR stack while only a small amount of alumina is removed in the same amount of time. [0041] Thj≥ resulting device profiles following the preferred embodiments of reactive etch steps 106 as shown in Figures 7, 9, and 10 and etch stop process 108 are shown in Figures 13, 14, and 15. In each of these embodiments, the residual metal film that remains of upper magnet layer 20 after reactive etch step 106, is removed from the underlying dielectric layer 18.1 The removal of the upper magnet layer 20 that remains after reactive step 106 with a low bias non-reactive etch stop 108 provides superior electrical isolation over other known methods without damaging the underlying dielectric layer 18. Geometric isolation is provided in each of the three embodiments of the inventive process without the inherent risk of ejectrical shorting that has been known to limit device performance for structures incorporating MTJ stacks. Superior electrical isolation between the upper magnet layer 20 and the bottom magnet layer 16Js also accomplished with the inventive process without the associated risks involved in using corrosive chemistries at the stage of the process that is most critical for producing reliable devices.
[0042] The typical process conditions for etch stop 108 provided above are intended to be representative of a process that was found to yield an exceptionally high selectivity between NiFe or CoFe and alumina. Variations of the process conditions within the Spectra reactor can be used within the scope of the inventive etch stop process 108. [0043] Similar processes utilizing the approach of either one or both of a first step of removing the bulk of the upper magnet layer using a mixture of chlorine and fluorine containing gases and a second step of using a mixture of an inert gas and oxygen-containing gas for stopping on the dielectric tunneling layer can also be developed in other inductively coupled plasma reactors, in capacitively-coupled plasma reactors, electron cyclotron resonance reactors, and in other reactors used to generate plasmas for the purpose of manufacturing devices from magnetic films and would still be in the scope of the inventive process. Additionally, use of the mixture of an inert gas and an oxygen-containing gas for the purpose of using the dielectric layer as an etch stop without an initial step of using a mixture of chlorine and fluorine containing gases to remove the bulk of the upper magnet layer is also within the scope of the inventive process.
[0044] High selectivity in the exemplary embodiment for etch stop 108 described above between NiFe and alumina is observed using a gas mixture! of argon and oxygen. Within the scope of the inventive process, is the use of one or both of alternative inert and oxidizing components of the preferred embodiment of the argon/oxygen gas mixture that was used to! demonstrate NiFe/alumina selectivity of -90:1 in etch stop process 108. Helium, neon, krypton, and nitrogen, for example, can be used in place of, or in combination with argon, to provide the inert component of the etch stop process 108. Similarly, alternatives to oxygen su'ch as N2O, NO, CO, and CO2, among others, can be used in place of, or in combination with oxygen to produce the oxidizing component of the etch stop process 108. Alternatively, within the scope of the inventive process, the oxygen -contain ing gas can be eliminated by controlling the oxygen level in the etch chamber by a method other than the intentional introduction of an oxygen-containing gas as is discussed in the following paragraphs
[00451 It has been demonstrated when plasma sputtering magnetic layers comprising transition metals such as NiFe with inert sputtering gases such as Ar, that regulating the amount of oxygen in the plasma chamber can influence the etch selectivity with respect to the underlying alumina. That is, a1 higher NiFe/alumina selectivity can be achieved by controlling the flow of oxygen into the plasma chamber. One embodiment of the plasma oyeretch process entails reducing the background oxygen to levels that do not affect the etching process while concurrently reintroducing oxygen in a measurable and controllable manner into the plasma chamber. Sources of the background oxygen that may enter the plasma chamber include, for example: (1) sputtering of oxygen- containing internal chamber parts, (2) atmospheric oxygen; (3) outgassiiig from materials in the chamber; and (4) other processing modules'in the process system. [0046] When "uncontrolled" background oxygen in the chamber is
1 reduced,1 the selectivity between NiFe and alumina can be optimized by re- introducing a very small amount (e.g., ~0.08 seem) of oxygen into the chamber. One technique to re-introduce the oxygen employs two separate carrier gas sources that are connected to the chamber. The first source supplies an Ar/U2gas mixture comprising 99.9% Ar and 0.1% O2 to the plasma chamber while a second source supplies a gas containing
100% Ar! in parallel to the chamber. When re-introducing oxygen into the plasma chamber, it is preferred that the base pressure of the chamber be reducedito -0.001 mT or less. Additionally, the sputtering of the surfaces of internal chamber parts should be minimized or controlled. For example, inductive source power should be low (100-200W) to minimize window sputtering. Excessive amounts of oxygen in the chamber can slow the etch rate of the metallic magnetic films and can lead to a reduction in selectivity between the magnet layers and the dielectric layers.
[0047] Alternatively, in a second technique, oxygen is introduced into the process1 chamber through an orifice separating a source of oxygen and the process chamber. The orifice is sized such that the flow of the oxygen .containing gas, when mixed with an inert gas, produces an enhancement in the sputtering selectivity between the upper magnetic film and the tunneling dielectric. [0048] Other means for introducing a controlled level of oxygen into an inert gas to provide the necessary conditions for selectively etching the magnetic material over the dielectric layer can also be used within the scope ofjthis patent. In such embodiments, sputtering of interior surfaces, of oxygen-containing materials in the plasma reactor can be used as a source of oxygen. In this embodiment, an inert gas such as argon would be introduced through conventional means, such as a mass flow controller or needle valve, at such a volume so as to produce a mixture of inert gas and oxygen-containing species at the surface of the upper magnetic layer being etched, so as to produce selective removal between the magnetic material and the tunneling dielectric layer. The process conditions would be adjusted such that the magnetic material would be removed at a rate of >5A /min and the dielectric layer would be removed at a rate of <1 A /min.
[0049] In another embodiment of this invention, the level of an oxygen- containing gas is provided by controlling the leakage of atmospheric gases into the vacuum chamber. Plasma-based semiconductor fabrication processes are typically performed in the range of 0.1 to 1000 milHTorr. In these sub-atmospheric conditions, oxygen can be introduced inadvertently through imperfect seals, through porous materials, and from outgassing of parts in the processing chamber. The rate of leakage can easily be measured in conventional plasma processing equipment.
[0050] In this embodiment, an inert gas such as argon would be introduced through conventional means, such as a mass flow controller or needle valve, at such a volume so as to produce a mixture of inert gas and oxygen-containing species at the surface of the upper magnetic layer being etched, so as to produce selective removal between the magnetic material and the tunneling dielectric layer. Within the scope of this invention is the approach of controlling the oxygen-containing leakage from atmosphere, in combination with the introduction of controlled inert gas flow! through conventional means to produce the required mixture of inert gas and oxygen-containing species to the extent that the magnetic material1 is removed at a rate of >5A /min and the dielectric layer is removed at a rate of <1 A /min.
[0051] The process for removing the photoresist and preventing corrosion, namely 1 12 in Figure 3, 1 13 in Figure 4, 142 in Figure 5a, and 126 and 142 in Figure 5b must be compatible with magnetic film structures. Within the scope of this present invention is the use of hydrogen-containing gas mixtures that are suitable for resist removal and for preventing corrosion that could result from exposure of the MRAM film stack to the halogen-containing etch chemistries. In the preferred embodiments, the magnetic film stack is exposed to a hydrogen-containing plasma to remove the photoresist, to expose the magnetic layers to a process that would prevent corrosion upon exposure to ambient conditions, or both. Hydrogen is introduced into the process chamber in a mixture of hydrogen and an inert gas such as helium, neon, argon, or nitrogen.

Claims

What is claimed is:
1 ) A process for fabricating a magnetic junction memory device comprising:
(a) providing a substrate;
(b) forming an insulating layer over the substrate;
(c) forming a top metal layer over the insulating layer; and
(d) selectively removing the top metal layer with respect to the underlying insulating layer, wherein the selective removal process occurs in a non-reactive gas ambient and comprises the application of a bias to the substrate with bias power between the sputter threshold of the top metal layer and the insulating layer.
2) A process as in Claim 1 wherein the non-reactive gas is Ar, He, Ne, Kr, N2, onXe, or any combination thereof.
3) A process as in Claim 1 further comprising the step of forming a bottom metal layer under the insulation layer.
4) A process as in Claim 1 wherein a plasma is formed with the non- reactive gas.
5) A process as in Claim 1 wherein the insulating layer comprises aluminum oxide, magnesium oxide, or any insulating oxide. 6) A process as in Claim 1 wherein upper metal layer comprises a magnetic layer, part of an MRAM stack structure, or one or more layers of NiFe, CoFe, CoNiFe, and CoFeB.
7) A process for fabricating a magnetic junction memory device comprising:
(a) providing a substrate;
(b) forming an insulating layer over the substrate;
(c) forming a top layer over the insulating layer; and
(d) selectively removing the top metal layer with respect to the underlying insulating layer, wherein the selective removal process comprises a physical sputter process using a mixture of a non- reactive gas and <1% of an oxygen-containing gas.
8) A process as in Claim 7 wherein the non-reactive gas is Ar, He, Ne, Kr, N2, or Xe, or any combination thereof.
9) A process as in Claim 7 wherein the oxygen-containing gas is O, O2, N2O/.NO, air, CO, or any combination thereof.
1 0) A process as in Claim 7 wherein the mixture is 99.9% Ar and 0.1%
O2. 1 1 ) A process as in Claim 7 wherein a mixture of a non-reactive gas and an oxygen-containing gas is introduced through a first flow controller and a non-reactive gas is introduced through a second flow controller. 2) A plrocess as in Claim 7 wherein the first flow controller provides 80 scdm of argon and 0.08 seem of O2 and the second flow controller
provides 270 seem of argon. 3) A process as in Claim 7 wherein the non-reactive gas is in the rangejof 10 to 350 seem and the oxygen-containing gas is in the range; of 0.02 to 0.15 seem. 4) A process as in Claim 7 wherein an oxygen-containing gas is introduced into the process from the sputtering of a solid source of an oxygen-containing solid.
1 5) A process as in Claim 14 wherein the solid source comprises alumina or quartz.
1 6) A process as in Claim 7 wherein oxygen-containing gas is introduced from control of leakage from the ambient.
1 7) A process as in Claim 7 further comprising the step of forming a bottom metal layer under the insulation layer.
1 8) A iprocess as in Claim 7 wherein a plasma is formed with the non- reactive gas. 1 9) Aiprocess as in Claim 7 wherein the insulating layer comprises aluminum oxide, magnesium oxide, or any insulating oxide. 20) Aiprocess as in Claim 7 wherein upper metal layer comprises a magnetic layer, part of an MRAM stack structure, or one or more layers of NiFe, CoFe, CoNiFe1 and CoFeB. 1 ) A process for fabricating a magnetic junction memory device comprising:
(a) Providing a substrate;
(b) Forming an insulating layer over the substrate;
(c) Forming a top metal layer over the insulating layer; and
(d) selectively removing the top metal layer with respect to the underlying insulating layer, wherein the selective removal process comprises: i) the application of a bias to the substrate with bias power between the sputter threshold of the top metal layer and the insulating layer; and ii) a physical sputter process using a mixture of a non- reactive gas and <l % of an oxygen-containing gas.
22) A process as in Claim 21 wherein the non-reactive gas is Ar, He,
1
Ne, Kf, Na, or Xe, or any combination thereof.
23) A process as in Claim 21 wherein the oxygen-containing gas is O, O2, N2O, NO, air, CO, or any combination thereof.
24) A process as in Claim 21 wherein the mixture is 99.9% Ar and 0.1% O2. j
25) A process as in Claim 21 wherein a mixture of a non-reactive gas and an oxygen-containing gas is introduced through a first flow controller and a non-reactive gas Is Introduced through a second flow controller.
26) A process as in Claim 21 wherein the first flow controller provides 80 seem of argon and 0.08 seem of O2 and the second flow controller provides 270 seem of argon.
27) A process as in Claim 21 wherein the non-reactive gas is in the rangeiof 10 to 350 seem and the oxygen-containing gas is in the range1 of 0.02 to 0.1 5 sccm.
28) A process as in Claim 21 wherein an oxygen-containing gas is introduced into the process from the sputtering of a solid source of an oxygen-containing solid.
29) A process as in Claim 28 wherein the solid source comprises alumina or quartz.
30) A process as in Claim 21 wherein oxygen-containing gas is introduced from control of leakage from the ambient.
31 ) A process as in Claim 21 further comprising the step of forming a bottom metal layer under the insulation layer.
32) A' process asf in Claim 21 wherein a plasma is formed with the non- reactive gas.
33) A process as in Claim 21 wherein the insulating layer comprises aluminum oxide, magnesium oxide, or any insulating oxide. 34) A pϊocess as in Claim 21 wherein upper metal layer comprises a
magnetic layer, part of an MRAM stack structure, or one or more layers of NiFp, CoFe, CoNiFe, and CoFeB.
35) A process for fabricating a device comprising: (a)! providing a substrate;
(b);forming an insulating layer over the substrate; (c); forming a top metal layer over the insulating layer; and (d) selectively removing the top metal layer with respect to the ' underlying insulating layer, wherein the selective removal ! process comprises the application of a bias to the substrate with bias power between the sputter threshold of the top metal layer and the insulating layer.
36) A process as in Claim 35 wherein the insulating layer comprises an insulating oxide.
37) A:process for fabricating a device comprising:
(a) Providing a substrate;
(b) Forming an insulating layer over the substrate;
(c i) Forming a top metal layer over the insulating layer; and
(d) selectively removing the top metal layer with respect to the , underlying insulating layer, wherein the selective removal process comprises a physical sputter process using a mixture of an inert gas and <1% of an oxygen containing gas. 8) A process for fabricating a device comprising:
(a) Providing a substrate;
(b) Forming an insulating layer over the substrate;
(c) Forming a top metal layer over the insulating layer; and
(d) selectively removing the top metal layer with respect to the Underlying insulating layer, wherein the selective removal process comprises: i) the application of a bias to the substrate with bias power between the sputter threshold of the top metal layer and the insulating layer; and ii) a physical sputter process using a mixture of a non- reactive gas and <λ% of an oxygen containing gas.
39) A process for fabricating a magnetic junction memory device comprising:
(a)|providing a substrate;
(b);forming an insulating layer over the substrate; (c)| forming a top metal layer over the insulating layer; (dj removing a portion of the top metal layer using a mixture of , fluorine- and chlorine-containing gas; and
(e) selectively removing the remaining top metal layer with respect to the underlying insulating layer, wherein the selective removal process occurs in a non-reactive gas ambient and comprises the application of a bias to the substrate with bias power between the sputter threshold of the top metal layer and the insulating
layer.
40) A process as in Claim 39 wherein the chlorine-containing gas comprises Cb, BCb, HCI, atomic chlorine-containing gas, or any combination thereof.
41 ) A process as in Claim 39 wherein the fluorine-containing gas comprises CF4, SFe, CHF3, atomic fluorine-containing gas, or any combination thereof.
42) A process as in Claim 39 wherein the ratio of chlorine-containing gas to fluorine-containing gas is in the range of 2:1 to 20:1 .
43) A process as in Claim 39 wherein the non-reactive gas is Ar, He, Ne1 Kr, N2, or Xe, or any combination thereof.
44) A process as in Claim 39 further comprising the step of forming a bottom metal layer under the insulation layer.
45) Aiprocess as in Claim 39 wherein a plasma is formed with the non- reactive gas.
46) A process as in Claim 39 wherein the insulating layer comprises aluminum oxide, magnesium oxide, or any insulating oxide.
47) A process as in Claim 39 wherein upper metal layer comprises a magnetic layer, part of an MRAM stack structure, or one or more layers of NiFe, CoFe, CoNiFe, and CoFeB. 8) A prpcess for fabricating a magnetic junction memory device
comprising:
(a) providing a substrate; i
(b) fρrming an insulating layer over the substrate;
(c) fprming a top layer over the insulating layer;
(d) removing a portion of the top metal layer using a mixture of fluorine- and chlorine-containing gas; and
(e) selectively removing the remaining top metal layer with respect to the underlying insulating layer, wherein the selective removal process comprises a physical sputter process using a mixture of a non-reactive gas and <1% of an oxygen-containing gas.
49) A process as in Claim 48 wherein the chlorine-containing gas comprises Cb1 BCb, HCI, atomic chlorine-containing gas, or any combination thereof.
50) A process as in Claim 48 wherein the fluorine-containing gas comprises CF4, SFe, CHF3, atomic fluorine-containing gas, or any combination thereof.
51 ) A process as in Claim 48 wherein the ratio of chlorine-containing gas to fluorine-containing gas is in the range of 2:1 to 20:1.
52) A process as in Claim 48 wherein the non-reactive gas is Ar, He, Ne, Kr, N2, or Xe, or any combination thereof. 06607
53) A process as in Claim 48 wherein the oxygen-containing gas is O, O2, N2CJ, NO, air, CO, or any combination thereof.
54) A process as in Claim 48 wherein the mixture is 99.9% Ar and 0.1%
O2.
55) A process as in Claim 48 wherein a mixture of a non-reactive gas and an; oxygen-containing gas is introduced through a first flow controller and a non-reactive gas is introduced through a second flow controller.
56) A process as in Claim 48 wherein the first flow controller provides 80 seem of argon and 0.08 seem of O2 and the second flow controller provides 270 seem of argon.
57) A process as in Claim 48 wherein the non-reactive gas is in the range,of 10 to 350 seem and the oxygen-containing gas is in the range! of 0.02 to 0.1 5 seem.
58) A process as in Claim 48 wherein an oxygen-containing gas is introduced into the process from the sputtering of a solid source of an oxygen-containing solid.
59) A process as in Claim 58 wherein the solid source comprises alumina or quartz.
60) A,process as in Claim 48 wherein oxygen-containing gas is introduced from control of leakage from the ambient. 61 ) A process as in Claim 48 further comprising the step of forming a bottom metal layer under the insulation layer.
62) A process as in Claim 48 wherein a plasma is formed with the non- reactive gas.
63) A process as in Claim 48 wherein the insulating layer comprises aluminum oxide, magnesium oxide, or any insulating oxide.
64) A process as in Claim 48 wherein upper metal layer comprises a magnetic layer, part of an MRAM stack structure, or one or more layers of NiFe, CoFe, CoNiFe, and CoFeB. - .
65) A process for fabricating a magnetic junction memory device comprising:
(a)' Providing a substrate;
(b) Forming an insulating layer over the substrate;
(c) Forming a top metal layer over the insulating layer;
(d) removing a portion of the top metal layer using a mixture of fluorine- and chlorine-containing gas; and
(eι) selectively removing the remaining top metal layer with respect to the underlying insulating layer, wherein the selective removal process comprises: » i) the application of a bias to the substrate with bias power : between the sputter threshold of the top metal layer and
1 the insulating layer; and ii) a physical sputter process using a mixture of a non- reactive gas and <λ% of an oxygen-containing gas.
66) A process as in Claim 65 wherein the chlorine-containing gas comprises Cb, BCb, HCI, atomic chlorine-containing gas, or any combination thereof.
67) A process as in Claim 65 wherein the fluorine-containing gas comprises CF4, SFε, CHF3, atomic fluorine-containing gas, or any combination thereof.
68) A process as in Claim 65 wherein the ratio of chlorine-containing gas to fluorine-containing gas is in the range of 2:1 to 20:1 .
69) A process as in Claim 65 wherein the non-reactive gas is Ar, He, Ne, Kr, N2, or Xe, or any combination thereof.
70) A process as in Claim 65 wherein the oxygen-containing gas is O, 02, N2O, NO, air, CO, or any combination thereof.
71 ) A .process as in Claim 65 wherein the mixture is 99.9% Ar and 0.1% O2. ,
72) A'process as in Claim 65 wherein a mixture of a non-reactive gas and an oxygen-containing gas is introduced through a first flow controller and a non-reactive gas is introduced through a second flow controller. 73) A process as in Claim 65 wherein the first flow controller provides 80 seem of argon and 0.08 seem of O2 and the second flow controller provides 270 seem of argon.
74) A process as in Claim 65 wherein the non-reactive gas is in the range of 10 to 350 seem and the oxygen-containing gas is in the range bf 0.02 to 0.15 seem.
75) A process as in Claim 65 wherein an oxygen-containing gas is introduced into the process from the sputtering of a solid source of an oxygen-containing solid.
76) A process as in Claim 75 wherein the solid source comprises alumina or quartz.
77) A process as in Claim 65 wherein oxygen-containing gas is introduced from control of leakage from the ambient.
78) A process as in Claim 65 further comprising the step of forming a bottom metal layer under the insulation layer.
79) A process as in Claim 65 wherein a plasma is formed with the non- reactive gas.
80) A process as in Claim 65 wherein the insulating layer comprises aluminum oxide, magnesium oxide, or any insulating oxide.
81 ) A; process as in Claim 65 wherein upper metal layer comprises a magnetic layer, part of an MRAM stack structure, or one or more layers of NiFe, CoFe, CoNiFe, and CoFeB. 07
82) A process for fabricating a device comprising:
(a) providing a substrate;
(b) forming an insulating layer over the substrate;
(c) forming a top metal layer over the insulating layer;
(d) removing a portion of the top metal layer using a mixture of jfluorine- and chlorine-containing gas; and
(e) .selectively removing the remaining top metal layer with respect to the underlying insulating layer, wherein the selective removal process comprises the application of a bias to the substrate with ■ bias power between the sputter threshold of the top metal layer and the insulating layer.
83) A process as in Claim 82 wherein the insulating layer comprises an insulating oxide.
84) A process for fabricating a device comprising:
(a) providing a substrate;
(b) forming an insulating layer over the substrate;
(c) forming a top metal layer over the insulating layer;
(d) removing a portion of the top metal layer using a mixture of . fluorine- and chlorine-containing gas; and
(e) selectively removing the remaining top metal layer with respect to the underlying insulating layer, wherein the selective removal process comprises a physical sputter process using a mixture of
an inert gas and <]% of an oxygen containing gas. 85) A process for fabricating a device' comprising:
(a) providing a substrate;
(b) forming an insulating layer over the substrate;
(c) forming a top metal layer over the insulating layer; (d)iremoving a portion of the top metal layer using a mixture of
Ifluorine- and chlorine-containing gas; and (e), selective Iy removing the remaining top metal layer with respect !to the underlying insulating layer, wherein the selective removal process comprises: i) the application of a bias to the substrate with bias power between the sputter threshold of the top metal layer and the insulating layer; and
. ii) a physical sputter process using a mixture of an inert gas : and <1% of an oxygen containing gas.
PCT/US2007/006607 2006-03-16 2007-03-16 Dry etch stop process for eliminating electrical shorting in mram device structures WO2007109117A2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2009500499A JP5085637B2 (en) 2006-03-16 2007-03-16 Dry etch stop process to eliminate electrical shorts in MRAM device structure
EP07753250A EP1999781A2 (en) 2006-03-16 2007-03-16 Dry etch stop process for eliminating electrical shorting in mram device structures

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US78315706P 2006-03-16 2006-03-16
US60/783,157 2006-03-16
US11/724,556 2007-03-14
US11/724,556 US7645618B2 (en) 2004-09-09 2007-03-14 Dry etch stop process for eliminating electrical shorting in MRAM device structures

Publications (2)

Publication Number Publication Date
WO2007109117A2 true WO2007109117A2 (en) 2007-09-27
WO2007109117A3 WO2007109117A3 (en) 2007-12-13

Family

ID=38522964

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2007/006607 WO2007109117A2 (en) 2006-03-16 2007-03-16 Dry etch stop process for eliminating electrical shorting in mram device structures

Country Status (4)

Country Link
EP (1) EP1999781A2 (en)
JP (1) JP5085637B2 (en)
KR (1) KR20090008240A (en)
WO (1) WO2007109117A2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101901868A (en) * 2009-05-27 2010-12-01 佳能安内华股份有限公司 Make the method and apparatus of magnetic device
US9660182B2 (en) 2012-04-26 2017-05-23 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
WO2020093681A1 (en) * 2018-11-08 2020-05-14 江苏鲁汶仪器有限公司 Semiconductor device manufacturing method

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5918108B2 (en) * 2012-11-16 2016-05-18 東京エレクトロン株式会社 Plasma processing method and plasma processing apparatus
JP6160903B2 (en) * 2013-03-13 2017-07-12 株式会社東芝 Magnetic storage element and nonvolatile storage device
JP6134611B2 (en) * 2013-08-29 2017-05-24 株式会社アルバック Method for manufacturing magnetoresistive element
EP3572549A1 (en) 2018-05-24 2019-11-27 Richemont International S.A. Jewellery item
CN111146336A (en) * 2018-11-02 2020-05-12 江苏鲁汶仪器有限公司 Single-isolation-layer magnetic tunnel junction etching method

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3911579A (en) * 1971-05-18 1975-10-14 Warner Lambert Co Cutting instruments and methods of making same
US5980686A (en) * 1998-04-15 1999-11-09 Applied Komatsu Technology, Inc. System and method for gas distribution in a dry etch process
US6114719A (en) * 1998-05-29 2000-09-05 International Business Machines Corporation Magnetic tunnel junction memory cell with in-stack biasing of the free ferromagnetic layer and memory array using the cell
US6139702A (en) * 1999-03-05 2000-10-31 United Microelectronics Corp. Seasoning process for etcher
US6281538B1 (en) * 2000-03-22 2001-08-28 Motorola, Inc. Multi-layer tunneling device with a graded stoichiometry insulating layer
US6326637B1 (en) * 1999-10-18 2001-12-04 International Business Machines Corporation Antiferromagnetically exchange-coupled structure for magnetic tunnel junction device
US6531404B1 (en) * 2000-08-04 2003-03-11 Applied Materials Inc. Method of etching titanium nitride
US20040242005A1 (en) * 2003-04-14 2004-12-02 Chentsau Ying Method of etching metal layers
US20050051820A1 (en) * 2003-09-10 2005-03-10 George Stojakovic Fabrication process for a magnetic tunnel junction device

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19728472A1 (en) * 1997-07-03 1999-01-07 Siemens Ag Structuring process
JP4809991B2 (en) * 2001-04-17 2011-11-09 キヤノン株式会社 Processing method of tunnel magnetoresistive element
JP2003324187A (en) * 2002-05-01 2003-11-14 Sony Corp Method for manufacturing magnetic memory device and magnetic memory device
JP4111274B2 (en) * 2003-07-24 2008-07-02 キヤノンアネルバ株式会社 Magnetic material dry etching method

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3911579A (en) * 1971-05-18 1975-10-14 Warner Lambert Co Cutting instruments and methods of making same
US5980686A (en) * 1998-04-15 1999-11-09 Applied Komatsu Technology, Inc. System and method for gas distribution in a dry etch process
US6114719A (en) * 1998-05-29 2000-09-05 International Business Machines Corporation Magnetic tunnel junction memory cell with in-stack biasing of the free ferromagnetic layer and memory array using the cell
US6139702A (en) * 1999-03-05 2000-10-31 United Microelectronics Corp. Seasoning process for etcher
US6326637B1 (en) * 1999-10-18 2001-12-04 International Business Machines Corporation Antiferromagnetically exchange-coupled structure for magnetic tunnel junction device
US6281538B1 (en) * 2000-03-22 2001-08-28 Motorola, Inc. Multi-layer tunneling device with a graded stoichiometry insulating layer
US6531404B1 (en) * 2000-08-04 2003-03-11 Applied Materials Inc. Method of etching titanium nitride
US20040242005A1 (en) * 2003-04-14 2004-12-02 Chentsau Ying Method of etching metal layers
US20050051820A1 (en) * 2003-09-10 2005-03-10 George Stojakovic Fabrication process for a magnetic tunnel junction device

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101901868A (en) * 2009-05-27 2010-12-01 佳能安内华股份有限公司 Make the method and apparatus of magnetic device
US9660182B2 (en) 2012-04-26 2017-05-23 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
WO2020093681A1 (en) * 2018-11-08 2020-05-14 江苏鲁汶仪器有限公司 Semiconductor device manufacturing method
US11877519B2 (en) 2018-11-08 2024-01-16 Jiangsu Leuven Instruments Co. Ltd Semiconductor device manufacturing method

Also Published As

Publication number Publication date
EP1999781A2 (en) 2008-12-10
WO2007109117A3 (en) 2007-12-13
JP5085637B2 (en) 2012-11-28
KR20090008240A (en) 2009-01-21
JP2009530825A (en) 2009-08-27

Similar Documents

Publication Publication Date Title
US7955870B2 (en) Dry etch stop process for eliminating electrical shorting in MRAM device structures
US7645618B2 (en) Dry etch stop process for eliminating electrical shorting in MRAM device structures
KR102578718B1 (en) Hard mask for patterning magnetic tunnel junctions
Pearton et al. Dry etching of electronic oxides, polymers, and semiconductors
US8975088B2 (en) MRAM etching processes
US20060186496A1 (en) System and method for processing a wafer including stop-on-alumina processing
WO2007109117A2 (en) Dry etch stop process for eliminating electrical shorting in mram device structures
US20060016781A1 (en) Dry etching method
CA3061737C (en) Preclean and deposition methodology for superconductor interconnects
US11367832B2 (en) Method of making magnetoresistive random access memory device
US10921707B2 (en) Self-adaptive halogen treatment to improve photoresist pattern and magnetoresistive random access memory (MRAM) device uniformity
US5880033A (en) Method for etching metal silicide with high selectivity to polysilicon
EP3501032B1 (en) Preclean methodology for superconductor interconnect fabrication
US6458648B1 (en) Method for in-situ removal of side walls in MOM capacitor formation
CN101449361A (en) Dry etch stop process for eliminating electrical shorting in mram device structures
US11164752B2 (en) Method of etching a dielectric layer
Labelle et al. Metal stack etching using a helical resonator plasma
CN114497361A (en) SOT-MRAM device and forming method thereof

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200780017865.7

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 07753250

Country of ref document: EP

Kind code of ref document: A2

WWE Wipo information: entry into national phase

Ref document number: 2009500499

Country of ref document: JP

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 2007753250

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 1020087025349

Country of ref document: KR