WO2008048518A1 - Detachable electrostatic chuck having sealing assembly - Google Patents

Detachable electrostatic chuck having sealing assembly Download PDF

Info

Publication number
WO2008048518A1
WO2008048518A1 PCT/US2007/021925 US2007021925W WO2008048518A1 WO 2008048518 A1 WO2008048518 A1 WO 2008048518A1 US 2007021925 W US2007021925 W US 2007021925W WO 2008048518 A1 WO2008048518 A1 WO 2008048518A1
Authority
WO
WIPO (PCT)
Prior art keywords
sealing
pedestal
sealing plate
gas
chuck
Prior art date
Application number
PCT/US2007/021925
Other languages
French (fr)
Inventor
Vijay D. Parkhe
Cheng-Hsiung Tsai
Steven V. Sansoni
Original Assignee
Applied Materials
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials filed Critical Applied Materials
Priority to KR2020097000009U priority Critical patent/KR20090011307U/en
Priority to CN2007900000965U priority patent/CN201436680U/en
Priority to JP2009600032U priority patent/JP3159306U/en
Publication of WO2008048518A1 publication Critical patent/WO2008048518A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/23Chucks or sockets with magnetic or electrostatic means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing

Definitions

  • Embodiments of the present invention relate to an electrostatic chuck for holding a substrate in a process chamber and related methods of manufacture.
  • the substrate In the processing of substrates, such as semiconductor wafers and displays, the substrate is placed on a support in a process chamber and suitable processing conditions are maintained in the chamber.
  • energized gases are used to process the substrate by, for example, etching or depositing material on the substrate, or perform other tasks such as clean surfaces in the chamber.
  • a process gas is introduced into the chamber and the chamber is typically maintained at low pressure or even vacuum conditions.
  • the process gas is energized by coupling RF or microwave energy to the gas.
  • the energized process gas can comprise highly corrosive species, such as chemical etchants, as well as energized ionic and radical species.
  • the energized gas which may be a plasma is often at elevated temperatures.
  • the support used in the chamber can include an electrostatic puck that has an electrode capable of being electrically biased to hold the substrate on the support. Electrostatic pucks made of ceramics provide good resistance to corrosion by energized process gases and can maintain their structural integrity even at high substrate processing temperatures exceeding several hundred degrees centigrade. The electrode in the electrostatic puck may also be electrically biased, for example with an RF bias power, to energize a process gas in the chamber to process the substrate.
  • the support also comprises a pedestal that supports the electrostatic puck in the chamber, and may be capable of raising or lowering the height of the electrostatic puck and substrate. The pedestal can also provide a protective enclosure for connecting wires, gas tubes, and other structures that are connected to the support. The pedestal is typically made from a metal to allow easier fabrication of the connections ports and structures in the pedestal.
  • the interface between the electrostatic puck and the pedestal can include a heat exchanger plate which is maintained at a higher gas pressure than the chamber environment.
  • the electrostatic puck is bonded to a composite baseplate comprising a metal infiltrated porous ceramic material.
  • the composite base plate allows mechanical fastening of the electrostatic puck to the pedestal via holes about a peripheral ledge of the baseplate.
  • the electrostatic chuck is attached to the composite baseplate to form a detachable structure which can be easily removed from the pedestal for cleaning or replacement.
  • the baseplate also has a good thermal conductivity to provide efficient removal of heat generated by the plasma. Also, the baseplate provides full area temperature control as steps up from the larger area of the pedestal to the smaller area of the electrostatic puck.
  • the metal infiltrated structure has variability in its surface roughness arising from the variability in pore filling, porosity, or metal coating of this surface.
  • a good gas tight seal is needed because the interface of the heat exchanger plate is at higher gas pressures than the chamber. This interface is provided with air or nitrogen for good heat transfer and it is undesirable for these gases to escape from this region and into the surrounding vacuum of the chamber.
  • the composite baseplate which forms the lower surface of the electrostatic chuck comprises a composite ceramic and metal material having a somewhat random porosity which results in a roughened surface that does not provide an adequate gas-tight seal the even with surrounding o-rings. Further, applying an excessively high torque to the fasteners to clamp down the electrostatic chuck assembly to the pedestal to maintain an acceptable vacuum seal at the interface can cause the ceramic structure of the baseplate to micro-crack and also cause the vacuum seal provided by the o-rings to gradually deteriorate with heating and cooling cycles.
  • FIG. 1 is an exploded view of an embodiment of an electrostatic chuck and pedestal showing a sealing assembly therebetween;
  • FIG. 2 is a schematic sectional side view of the assembled electrostatic chuck and sealing assembly
  • FIG. 3 is a perspective view of the electrostatic chuck of FIG. 1 showing the bottom surface of the chuck;
  • FIG. 4 is plan view of the bottom of a sealing assembly plate comprising a sealing plate and a sealing ring;
  • FIG. 5 is a schematic sectional side view of an embodiment of a chamber having a support comprising an electrostatic chuck and pedestal with sealing plates in between.
  • a detachable electrostatic chuck for attachment to a pedestal in a process chamber comprises an electrostatic puck, a baseplate below the electrostatic puck and a sealing assembly bonded to the bottom surface of the baseplate.
  • the electrostatic puck comprises a ceramic body with an embedded electrode, a substrate receiving surface and an annular ledge.
  • the baseplate has a peripheral ledge that extends beyond the annular ledge of the ceramic body.
  • the sealing assembly comprises a sealing plate and concentric sealing ring.
  • a method of fabricating an electrostatic chuck comprising the steps of: forming an electrostatic puck comprising a ceramic body with an embedded electrode, the ceramic body having a substrate receiving surface, a bottom surface and a peripheral ledge; forming a preform comprising a porous ceramic having a peripheral edge, top surface, and bottom surface; forming a sealing assembly comprising a sealing plate and a sealing ring.
  • the top surface of the preform is held against the bottom surface of the electrostatic puck so that the peripheral edge of the preform extends beyond the peripheral ledge of the ceramic body of the electrostatic puck, and the sealing assembly positioned against the bottom surface of the preform and a molten metal is infiltrated into the porous ceramic of the preform and between the gaps of the ceramic body, preform and sealing assembly.
  • This bonds the ceramic body to the preform with a metal bond infiltrates the porous ceramic of the preform with the metal and bonds the sealing assembly to the bottom surface of the preform.
  • a sealing assembly for forming a gas tight seal between an electrostatic chuck and a pedestal in a process chamber, the sealing assembly comprising a sealing plate that is D-shaped with a flat edge connected to a semicircular perimeter; and sealing ring comprising a circular ring which is concentric to the sealing plate, wherein the sealing plate and sealing ring each comprise a surface flatness value of less than about 200 microns.
  • a substrate support 20 capable of holding a substrate 22 comprises an electrostatic chuck 24, a sealing assembly 25, and a pedestal 26, as shown in FIGS. 1 and 2. While an exemplary sealing assembly 25 comprising a sealing plate 23 and a sealing ring 28 is illustrated in the context of exemplary embodiments of an electrostatic chuck 24 and pedestal ' 26, it should be understood that the sealing assembly 25 can have other components, shapes and sizes as would be apparent to those of ordinary skill in the art.
  • the sealing assembly 25 can also be used with other versions of electrostatic chucks, or even vacuum and mechanical chucks, and other versions of pedestals. Thus, the invention should not be limited to the exemplary versions of electrostatic chucks and pedestals described here.
  • the electrostatic chuck 24 comprises an electrostatic puck 27 having a disc-like shape that matches the shape and size of the substrate 22 held on the chuck, and with an outwardly extending annular flange 29.
  • the puck 27 comprises a dielectric 30 that at least partially covers a chargeable electrode 32, as shown in FIG. 2, which can be embedded in, or covered by, the dielectric 30.
  • the dielectric 30 desirably comprises a material permeable to electromagnetic energy, such as for example, at least one of aluminum nitride, aluminum oxide, and titanium oxide, and preferably comprises aluminum nitride.
  • the dielectric 30 can, however, also comprise other layers such as polymer layers, for example, polyimide.
  • the electrode 32 embedded in the dielectric 30 of the puck 27 comprises a metal layer.
  • the electrode metal can be stainless steel, aluminum, copper or even high temperature metals such as molybdenum or tungsten.
  • the ceramic body of the electrostatic puck 27 comprises aluminum nitride with an embedded electrode 32 comprising a wire grid or plate of molybdenum.
  • the electrode 32 is capable of being electrically biased to electrostatically hold the substrate 22 on the receiving surface 34 of the chuck.
  • the electrode 32 can be connected via one or more electrical connectors 84, as shown in FIG. 5, to an electrode power supply 160 that delivers a bipolar DC voltage.
  • the bipolar electrode 32 has two sides that are each maintained at a different voltage to generate an electrostatic charge in the substrate 22 which clamps it to the chuck.
  • the electrostatic puck 27 further comprises a substrate receiving surface 34 that serves to receive a substrate 22 on the electrostatic chuck 24.
  • the receiving surface 34 comprises a plurality of raised wedge shaped mesas 36 which are formed by intersecting gas grooves 37.
  • the gas grooves 37 are provided to hold a heat transfer gas such as helium or argon, which is supplied through the gas port 38 on the receiving surface 34.
  • the gas grooves 37 are radial lines that are spread apart from one another by from about 5 to about 10°, and terminate in an inner circular groove 39a and an outer circular groove 39b. While an exemplary embodiment of the substrate receiving surface 34 of the electrostatic puck 27 is illustrated herein, it should be understood that other versions can also be used, and the present invention should not be limited to the exemplary version illustrated herein.
  • the electrostatic chuck 24 further comprises a baseplate 42 joined to the electrostatic puck 27 that is used to attach the electrostatic puck 27 to a pedestal 26 in the chamber.
  • the baseplate 42 comprises a top surface 43 underneath the puck 27, and an peripheral ledge 46 that extends outwardly beyond the top surface 43.
  • the peripheral ledge 46 extends beyond the annular flange 29 of the puck 27 to provide an exposed baseplate portion that is uncovered by the dielectric 30, and that can be attached to an underlying pedestal 26 in a chamber.
  • the baseplate 42 comprises a material having thermal properties that are suitably matched to the overlying dielectric 30 of the electrostatic puck 27.
  • the baseplate 42 can comprise a composite base of ceramic and metal, which provides better strength and durability than ceramic alone and also has good heat transfer properties.
  • the composite base has a thermal expansion coefficient that is matched to the dielectric 30 to reduce thermal expansion mismatch.
  • the composite base comprises a ceramic having pores that are infiltrated with a metal, which at least partially fills the pores to form a composite material.
  • the ceramic may comprise, for example, at least one of silicon carbide, aluminum nitride, aluminum oxide or cordierite, and is preferably silicon carbide.
  • the ceramic may comprise a pore volume of from about 20 to about 80 volume% of the total volume, the remainder volume being of the infiltrated metal.
  • the composite baseplate 42 may comprise a different composition of a ceramic and metal, such as metal having dispersed ceramic particles; or the baseplate 42 can be made from only a metal, such as stainless steel or aluminum.
  • the infiltrated metal can comprise aluminum with added silicon and copper.
  • the baseplate 42 comprises a composite base comprising consisting of ceramic and metal, such as silicon carbide infiltrated with a metal alloy comprising aluminum, silicon and trace amounts of copper.
  • the electrostatic chuck 24 is formed by joining the electrostatic puck 27 to the surface 43 of the baseplate 42.
  • the infiltrated metal used to infiltrate the pores of the baseplate 43 can also be used to bond the electrostatic puck 27 to the baseplate 42 by a bond layer 48, as shown in FIG. 2.
  • the electrostatic puck 27 is attached to the baseplate 42 by a bond layer 48 made from a metal foil, such as an aluminum foil, which diffusion bonds the baseplate 42 and dielectric 30.
  • the . electrostatic chuck 24 is detachable and can be easily removed from the pedestal 26 when replacement or refurbishment of one or more of the electrostatic puck 27 or its underlying baseplate 42 is required.
  • the backside surface 47 of the baseplate 42 of the electrostatic chuck 24 comprises a raised central protrusion 52, which is surrounded by an annular trough 53, as for example shown in FIG. 3.
  • the raised central protrusion 52 is D-shaped, having a contour that is formed by a semicircular perimeter with ends joined by a flat edge. In the version shown, the semicircular contour comprises a three-quarter circle, but it may also be a half-circle or other asymmetric shapes.
  • the protrusion 52 is shaped and sized to match a corresponding cavity 55 in the pedestal 26, as shown in FIG. 1. In this version, the cavity 55 is also D-shaped to mate with the D-shaped protrusion 52 to form an alignment key.
  • the alignment key reduces the possibility of damaging the chuck 24 by improperly positioning or locating the chuck 24 on the underlying pedestal 26 when moving or replacing the chuck 24 from the chamber in the fabrication lab.
  • the raised central portion also has three apertures
  • the apertures 54 receive the electrode terminal posts 49 which are mounted in the cavity 55 of, the pedestal 26.
  • the apertures 54a-c also served as a secondary alignment guide further ensuring the placement accuracy of positioning the chuck 24 onto the pedestal 26.
  • the asymmetrically offset apertures 54a-c ensure that the chuck 24 can only be positioned in one orientation over the pedestal 26. In one version, the offset angle ⁇ is from about 115 to about 135°, for example about 125°.
  • Two of the apertures 54a, b are used to connect to electrode posts 49 that supply a voltage bias to the bipolar electrodes 32 to maintain an electrostatic charge in the electrodes.
  • the third aperture 54c connects to another post (not shown)which contacts the chuck 24 to a floating potential that is used to adjust voltage applied through the post 49.
  • the protrusion 52 has a centrally positioned gas coupler 74 to receive a gas tube 72 that extends out of the cavity 55.
  • the gas tube 72 provides a heat transfer gas, such as argon or helium, to the gas port 38, which in turn supplies the gas grooves 37, 39a, b to maintain a supply of heat transfer gas below the substrate 22 during processing.
  • the heat transfer gas assists in exchanging heat between the overlying substrate 22 and chuck 24 as shown in FIG 5.
  • Yet another hole 92 is provided to allow insertion of a thermocouple 33, typically a k-type thermocouple 33, to contact the puck 27.
  • the sealing assembly 25 comprises a sealing plate 23 and a sealing ring 28 concentric to the sealing plate 23, as shown in FIG. 4.
  • the sealing assembly 25 is bonded to the backside surface 47 of the baseplate 42 to provide a seal around the heat transfer gas connections from the vacuum environment in the chamber.
  • the sealing plate 23 and peripheral sealing ring 28 cooperate with a set of O-rings 51a, 51b situated on the upper surface 71 of the pedestal 26 to provide a sealing circumference.
  • the chuck 24 is fastened to the ledge 40 of the support pedestal 26 and a tight seal is formed between the electrostatic chuck 24 and the pedestal 26 by tightening connectors 44a, b inserted in holes 50 along the edge of the peripheral ledge 46 of the baseplate.
  • the connectors 44a, b comprise a set of 24 threaded screws, and the interface is constructed in this novel manner, the torque on each screw which is necessary to form an acceptable vacuum seal has been observed to decrease from 90lbf-in to 50lbf-in, as compared to interfaces constructed without the sealing plate 23 and sealing ring 28.
  • the sealing plate 23 attached to the raised protrusion 52 of the backside surface 47 of the baseplate 42.
  • the sealing plate 23 is formed from a circular disk plate having a thickness of less than about 4 mm, or even from about 0.2 mm and about 2 mm, and radius of from about 2 to about 6 cm.
  • the sealing plate 23 is D-shaped having a contour that is a semicircle with ends joined by a flat edge, and corresponding to the backside surface's raised protrusion 52 on which it is attached.
  • the semicircular contour comprises a three-quarter circle, but it may also be a half-circle or other asymmetric shapes.
  • the sealing plate 23 is also shaped and sized to match a corresponding cavity 55 in the upper surface 71 of the pedestal 26.
  • the flat edge of the D-shape of the sealing plate 23 serves as an alignment key that mates with a corresponding flat edged cavity 55 in the pedestal.
  • five apertures 54 are punched in the sealing plate 23 so that the plate encircles and allows for the passage of the gas coupler 74, the thermocouple 33, and three electrode posts 49 as shown in FIG. 5.
  • the apertures 54 are aligned to correspond with those fabricated on the D-shaped protrusion 52 of the baseplate 42.
  • the sealing ring 28 is a circular ring which is sized to be about the peripheral portion the electrostatic chuck 24 to serve as a peripheral ring.
  • the sealing ring 28 is sized larger than the sealing plate 23 so that it surrounds the plate 23 and is arranged concentric to the plate 23.
  • the circular ring comprises an inner radius of at least about 10 cm and an outer radius of less than about 18 cm.
  • the sealing ring 28 can also have other shapes, such as a rectangular ring, for example, for chambers used in flat panel processing.
  • the sealing ring 28 also can have a thickness of less than about 4 mm, or even a thickness of from about 0.2 mm to about 2 mm.
  • the sealing plate 23 and sealing ring 28 are polished over a portion of their surface to obtain a surface flatness of less than about 200 microns, or even from about 25 microns to about 125 microns, to ensure the desired level of vacuum sealing.
  • surface flatness it is meant that the local irregularities in the surface have a maximum peak-to-trough separation of less than the specified length.
  • a surface with a surface flatness of less than about 200 microns would comprise a surface having local irregularities having a maximum peak-to-trough separation of less than about 200 microns.
  • the surface flatness can be measured by dragging a stylus across the surface and measuring it's deflection with a laser interferometer.
  • these smooth regions include the areas of the surface which interact with the O-ring 51a seated on the pedestal 26 around the gas coupler 74, and with the O-ring 51b seated in O-ring groove in the pedestal flange 40 outside of the annular trough 53.
  • the surfaces of the sealing assembly 25 may be polished by rubbing the surface with polishing pad in a circular motion while applying a polishing slurry to the rubbing surfaces.
  • Conventional polishing techniques can be used, such as dry or wet sanding, with successively finer grades (mesh sizes) of polishing powder.
  • a suitable sequence of polishing slurries can be a mesh size of 125, a mesh size of 225, and a mesh size of 325 or smaller.
  • the sealing plates 23, 28 should be polished to achieve a seal such that a conventional helium leak test result will provide a helium leak rate of less than 10 '9 millibar liter/second.
  • the sealing surface of the sealing assembly 25 is machine finished with a concentric machining profile. If the surface is finished to a surface roughness of about 32 RA by machining, then it is not necessary to polish the surface.
  • the sealing assembly 25 comprising sealing plate 23 and sealing ring 28 may be formed by stamping or machining a D-shape and circular ring of the desired dimensions from a metal or ceramic.
  • the metal can be nickel, molybdenum, stainless steel, titanium, zirconium, or alloys thereof, and the ceramic can be aluminum nitride.
  • One version uses a sealing plate 23 and sealing ring 28 comprising molybdenum to withstand elevated temperatures and the environment in the chamber.
  • the sealing plate 23 and ring 28 can also be made from other materials as would be apparent to one of skill in the art.
  • the sheet can have a thickness of less than about 4 mm in order to obtain a sealing plate 23 and ring 28 having thickness of less than about 4 mm.
  • the polished sealing ring 28 is attached onto the backside surface 47 of the baseplate 42 to provide a smooth sealing surface for pressing against an O-ring 51b.
  • the sealing ring 28 has a vertical thickness of less than about 4 mm, and even from about 0.2 mm and 2 mm.
  • the inner and outer radius can be determined by the dimensions of the substrate receiving surface 34, whereby the inner edge of the ring rests approximately flush with the innermost ledge of the bottom surface of the baseplate 42, and the outermost edge lies beneath and approximately flush with the outermost edge of the substrate receiving surface 34.
  • the inner radius is typically at least about 10 cm and the outer radius is typically less than about 18 cm.
  • the polished sealing ring 28 includes holes 41 which are aligned to allow passage of substrate lifting pins 45.
  • the sealing plate 23 and sealing ring 28 are bonded to the baseplate 42 by metal infiltration, brazing, soldering or diffusion bonding.
  • a hot press or hot isostatic press can also be used to apply pressure on the assembly during bonding to remove air pockets and create a good interface with uniform thermal impedance.
  • Metal materials used for bonding can be aluminum based or copper-silver based or other materials under 1000 0 C, or even under 600 0 C, or even lower temperature solder.
  • the bond may also be formed by polymer glue or other low temperature glue method (compared to brazing or bonding temperature of AIN-AISiC), such as indium.
  • the sealing assembly 25 can be bonded to the baseplate 42 using the same process as that used to infiltrate metal into the porous structure of the baseplate 42.
  • the sealing assembly 25 is held to the baseplate 42 while molten metal is seeped into the fine gap between the top surface 35 of the sealing assembly 25 and the backside surface 47 of the baseplate 42.
  • the entire structure can be held in a mold and a pressure may also be applied during this process to form a superior bond.
  • the electrostatic chuck 24 can also be simultaneously bonded to the baseplate 42 simply by holding the electrostatic chuck 24 in contact with the top surface 43 of the baseplate 42 while the sealing assembly 25 contacts the backside surface 47 of the baseplate 42.
  • a suitable infiltration metal comprises an alloy of aluminum with added silicon and copper.
  • the sealing plate 23 and ring 28 are bonded to the baseplate 42 by applying a brazing compound to at least one of the joining surfaces of the sealing assembly 25, and they are aligned so that their feedthroughs 54 and holes 41 form continuous passages.
  • Brazing compound is applied to either the backside 47 of the baseplate 42 or the top surfaces 35 of the sealing plate 23 and ring 28.
  • Suitable brazing compounds should have a fluxing temperature lower than the melting point of both the baseplate 42 and the sealing plate 23 and ring 28.
  • the brazing compound is selected to have a fluxing temperature which is less than the melting temperature of stainless steel, for example, by at least about 200 0 C.
  • a slurry of brazing compound or a thin sheet of brazing foil can be applied between the sealing assembly 25 and the baseplate 42.
  • the brazing compound typically comprises an alloy comprising at least one of aluminum, copper, indium, lead, magnesium, nickel, tin, silicon and their alloys thereof.
  • a suitable brazing compound comprises Cusin-1-ABATM, a brazing alloy paste which contains mostly silver and copper, and available from WESGO Inc., Belmont, CA, which melts at 775 0 C.
  • a suitable brazing foil comprises MBF-20 brazing foil, METGLASTM, which is a nickel based brazing foil containing boron, iron, silicon, chromium and cobalt, and which has a melting point of about 1000 0 C. ⁇
  • the sealing assembly 25 after being coated with brazing compound or having the brazing foil therebetween, are then aligned to the backside 47 of the baseplate 42 to form the assembly such that the apertures 54 and holes 41 form continuous passages.
  • the assembly is then heated to allow the brazing compound to soften and react with the sealing plate 23 and ring 28 and the baseplate 42 to form a brazed bond.
  • the sealing assembly 25 and baseplate 42 can be heated in a brazing oven or hot press while applying a suitable pressure to the assembly.
  • the assembly is heated to a temperature sufficiently high to cause the brazing compound to flux and join the assembly 25 to the baseplate 42. Thereafter, the brazed assembly is cooled to room temperature. Suitable brazing methods and materials are described in for example, commonly assigned U.S. Patent no.
  • the relatively strong ledge can be detachably connected to the pedestal flange 40 to allow for easy removal of the electrostatic chuck 24 comprising the puck 27 and baseplate 42 from the pedestal 26 in a chamber.
  • This connection can be achieved by inserting connectors 44 through the composite material of the ledge 46 and into the flange 40 of the pedestal 26.
  • the detachable electrostatic chuck 24 can be removed from the pedestal 26 by removing the connectors 44 when the puck 27 or baseplate 42 becomes excessively eroded or dirty with process residues.
  • the "detachable" electrostatic chuck 24 reduces the costs associated with processing substrates with the electrostatic chuck 24 by allowing the electrostatic puck 27 and/or baseplate 42 to be replaced or refurbished as needed, without requiring replacement of the entire electrostatic chuck 24.
  • the peripheral ledge 46 comprises a plurality of holes 50 that are sized and shaped to allow connectors 44 to pass therethrough to connect to the pedestal flange 40 (FIG. 1).
  • the holes 50 may extend vertically through a thickness of the peripheral ledge 46, from an upper surface 59 to a lower surface 60.
  • the holes 50 can also extend through at least a portion of the pedestal flange 40 to secure the ledge 46 to the flange 40.
  • a connector 44 suitable to connect the baseplate ledge 46 to the pedestal flange 40 via the holes 50 can comprise, for example, at least one of a pin, bracket, bolt or screw.
  • the connector 44 may comprise a threaded pin having a head 62 that is held on the top surface 59 of the peripheral ledge 46, and a threaded lower end 63 that fits into the threaded hole 50 to secure the baseplate 42 to the pedestal 26.
  • the baseplate 42 desirably comprises a material that is sufficiently strong to allow it to be easily machined to shape and which can be secured to the pedestal 26 via the holes 50 substantially without cracking or breaking.
  • a substrate processing apparatus 100 comprises a chamber 102 with a substrate support 20 comprising an electrostatic chuck 24 detachably mounted on a pedestal 26, as shown in FIG. 5.
  • the pedestal 26 comprises a housing 80 adapted to protect portions of the electrostatic chuck 24 from the process environment.
  • the housing 80 protects components within the enclosure, such as for example electrical connectors, gas tubes and fluid conduits.
  • the pedestal 26 further comprises a pedestal flange 40 that extends outwardly from the top of the housing 80 to provide a projecting support for the electrostatic chuck 24.
  • the pedestal housing 80 can comprise a metal that is resistant to corrosion in the substrate processing environment, such as for example, stainless steel or titanium.
  • the pedestal 26 can also comprise a pedestal column that extends between the housing 80 and the chamber 102.
  • the pedestal column comprises a bellows 84 and a motorized moveable post 82.
  • the pedestal housing 80 is mounted to the moveable post 82 and a motor (not shown) is used to raise and lower the moveable post 82 and thereby the substrate support 20 within the process chamber 102.
  • the bellows 84 comprises an edge- welded stainless steel bellows. The upper edge of the bellows 84 is welded to the pedestal housing 80 and the lower edge of the bellows 84 is fastened to the bottom wall 110 of the chamber. An O-ring is mounted at the interface between the bottom wall 110 and the bellows 84. Electrical connectors, gas conduits and fluid conduits can be passed through the bellows 84 to protect them from the processing environment.
  • the electrostatic chuck 24 can further comprise other elements that improve substrate processing, such as for example, a thermocouple 33 having a temperature sensing end embedded in the electrostatic puck 27, as shown in FIG. 2.
  • the thermocouple 33 can connect to a temperature monitor, such as a chamber controller 200, to monitor the temperature of the electrostatic chuck 24 and substrate 22 during processing.
  • the electrostatic chuck 24 can also comprise a heat transfer gas port 38 on the receiving surface 34 of the electrostatic puck 27 to deliver a heat transfer gas, such as argon, to the backside of a substrate 22.
  • the heat transfer gas port 38 can feed channels formed on the support receiving surface 34, and can be connected via a gas tube 72 to a heat transfer gas supply (not shown).
  • a gas coupler 74 can be inserted into electrostatic puck 27 to define a path for the heat transfer gas through the puck 27, and to provide a desired flow of the heat transfer gas to the support receiving surface 34.
  • the pedestal 26 further comprises a heat transfer plate 56 which contacts the backside surface 47 of the chuck 24 to transfer heat to or from the chuck 24 and overlying substrate 22 to maintain a desired substrate temperature.
  • the heat transfer plate 56 may comprise a heating or cooling plate.
  • the heat transfer plate 56 can comprise at least one fluid channel 58 through which a heat transfer fluid can be flowed to control the temperature of the heat transfer plate 56.
  • the heat transfer fluid is supplied by a fluid supply 57 connected to the fluid channel 58 via one or more conduits 61 routed through the column 51 of the pedestal 26.
  • the heat transfer plate 56 desirably extends beneath a substantial portion of the substrate receiving surface 34, such as for example from at least about 25% to about 85% of the substrate receiving surface 34, to provide good heat exchange with the substrate 22.
  • the heat transfer plate 56 is made of a thermally conductive material such as a metal, for example at least one of copper, stainless steel or aluminum.
  • a thermal conductor 86 can be provided between the baseplate 42 and the heat transfer plate 56 to enhance heat exchange therebetween.
  • the thermal conductor 86 conforms to the top surface 65 of the heat transfer plate 56 and the bottom surface 47 of the baseplate 42.
  • the thermal conductor 86 comprises an interstitial material layer such as graphite.
  • the heat transfer plate 56 is mounted to the pedestal 26 via a spring 83, which presses the heat transfer plate 56 to the bottom surface 47 of the baseplate 42, thereby ensuring thermal contact.
  • FIG. 5 An embodiment of an apparatus 100 comprising a substrate- processing chamber 102 suitable for processing a substrate 22 is shown in FIG. 5.
  • the particular embodiment of the apparatus 100 shown herein is suitable for processing a substrate 22, such as semiconductor wafers, and may be adapted by those of ordinary skill to process other substrates 22, such as flat panel displays, polymer panels, or other electrical circuit receiving structures.
  • the apparatus 100 is particularly useful for processing layers, such as etch resistant, silicon-containing, metal-containing, dielectric, and/or conductor layers on the substrate 22.
  • the apparatus 100 may also be attached to a platform (not shown) that contains and provides electrical, plumbing, and other support functions for the apparatus 100 and may be part of a multi-chamber system (also not shown).
  • the process chamber 102 comprises enclosure walls 104, which may comprise a ceiling 106, sidewalls 108, and a bottom wall 110 that enclose a process zone 112.
  • process gas is introduced into the chamber 102 through a gas supply 120 that includes a process gas source 122, and a gas distributor 124.
  • the gas distributor 124 may comprise one or more conduits 126 having one or more gas flow valves 128 and one or more gas outlets 130 around a periphery of the substrate 22, which is held in the process zone 112 on the electrostatic chuck 24.
  • the gas distributor 124 may comprise a showerhead gas distributor (not shown).
  • Spent process gas and process byproducts are exhausted from the chamber 102 through an exhaust 140 which may include an exhaust port 144 that receives spent process gas from the process zone 112 and delivers the gas to an exhaust conduit 146, a throttle valve 148 to control the pressure of process gas in the chamber 102, and one or more exhaust pumps 150.
  • the process gas may be energized to process the substrate 22 by a gas energizer 154 that couples energy to the process gas in the process zone 112 of the chamber 102.
  • the gas energizer 154 may comprise process electrodes that may be electrically biased to energize the process gas.
  • the process electrodes may include an electrode that is a wall 104, such as a sidewall 108 of the chamber 102, and which may be capacitively coupled to another electrode, such as the ceiling 106 or target 170 in the chamber 102.
  • the target 170 is electrically biased relative to the wall 104 to energize a process gas in the chamber 102 to sputter material off from the target 170 onto the substrate 22.
  • the electrodes are biased by a DC voltage, a high frequency voltage, such as a radio frequency (RF) voltage, or a combination of both.
  • RF radio frequency
  • the gas energizer 154 can also include an antenna comprising an inductor coil 164 which has a circular symmetry about the center of the chamber 102.
  • the inductor coil 164 is supported by stand-offs 166 that separate the coil from the chamber sidewall 108.
  • the gas energizer 154 may comprise a microwave source and waveguide to activate the process gas by microwave energy in a remote zone (not shown) upstream from the chamber 102. Additional inductor or electromagnetic coils (not shown) can also be located around the chamber 102, for example, above the ceiling of the chamber or around the sidewalls 108.
  • the chamber 102 comprises a physical vapor deposition chamber capable of sputter depositing material on a substrate 22.
  • the chamber comprises a ceiling 106 which has a sputtering target 170 having material to be deposited on the substrate.
  • the target 170 can be electrically biased with respect to another component in the chamber, such as a process shield, by the gas energizer 154 that energizes the process gas and sputters material from the target 170 and onto the substrate 22.
  • the chamber also comprises an L-shaped shield 174 surrounding the periphery of the substrate 22 to capture process deposits.
  • a deposition ring 176 and cover ring 178 can also be used to protect the edge of the chuck 24 and the pedestal flange 40.
  • the process chamber 102 is evacuated and maintained at a predetermined sub-atmospheric pressure.
  • a substrate 22 is then provided on the electrostatic chuck 24 of the substrate support 20 by a substrate transport 180 which operates a robot arm 184 that is passed through a slit 186 in the chamber sidewall 108, bearing a substrate 22.
  • a lift pin system 190 comprising lift pins 45, are raised through the holes 41 in the support 20 to receive the substrate 22. The lift pins lower through the same holes 41 to rest the substrate 22 on the substrate support receiving surface 34.
  • the gas distributor 124 provides a process gas to the chamber 102 and the gas energizer 154 couples energy to the process gas to energize the gas and process the substrate 22, for example, by etching material on the substrate or depositing material on the substrate 22 by PVD or CVD. If required, to clean the chamber after processing of the substrate 22, the gas distributor 124 provides a process gas comprising a cleaning gas to the chamber 102 and the gas energizer 154 energizes the cleaning gas.
  • the chamber 102 is controlled by a controller 200 that comprises program code having instruction sets to operate components of the chamber 102 to process substrates 22 in the chamber 102.
  • the controller 200 can comprise a substrate positioning instruction set to operate one or more of the electrostatic chuck 24, lift pins 45, and substrate transport 180 to position a substrate 22 in the chamber 102 and to set a chucking voltage applied by the electrode power supply 160 to hold the substrate 22 on the electrostatic chuck 24; a gas flow control instruction set to operate the flow control valves 134 to set a flow of gas to the chamber 102; a gas pressure control instruction set to operate the exhaust throttle valve 148 to maintain a pressure in the chamber 102; a gas energizer control instruction set to operate the gas energizer 154 to set a gas energizing power level; a temperature control instruction set to control temperatures in the chamber 102, for example by controlling the supply of heat transfer fluid supplied to the heat transfer plate 56, and the supply of heat transfer gas to the support receiving surface 34; and a process monitoring instruction set to monitor the
  • the electrostatic chuck 24 can be of other types, for example, a polymer dielectric layer covering a metal plate that serves as an electrode.
  • the chuck 24 can be attached to the pedestal by other means than the peripheral ledge 46 and pedestal flange 40, for example, a screwing thread on the backside of the chuck.
  • relative or positional terms shown with respect to the exemplary embodiments are interchangeable. Therefore, the appended claims should not be limited to the descriptions of the preferred versions, materials, or spatial arrangements described herein to illustrate the invention.

Abstract

A detachable electrostatic chuck is capable of being attached to a pedestal in a process chamber. The chuck comprises an electrostatic puck having a ceramic body with an embedded electrode. The chuck also has a baseplate below the electrostatic puck with a lower surface which is bonded to a sealing assembly comprising a sealing plate and sealing ring. The sealing plate and ring are polished to form a gas-tight seal between the chuck and pedestal to prevent gas leakage from or into this region.

Description

DETACHABLE ELECTROSTATIC CHUCK HAVING SEALING ASSEMBLY
BACKGROUND
Embodiments of the present invention relate to an electrostatic chuck for holding a substrate in a process chamber and related methods of manufacture.
In the processing of substrates, such as semiconductor wafers and displays, the substrate is placed on a support in a process chamber and suitable processing conditions are maintained in the chamber. In a typical process, energized gases are used to process the substrate by, for example, etching or depositing material on the substrate, or perform other tasks such as clean surfaces in the chamber. During processing, a process gas is introduced into the chamber and the chamber is typically maintained at low pressure or even vacuum conditions. The process gas is energized by coupling RF or microwave energy to the gas. The energized process gas can comprise highly corrosive species, such as chemical etchants, as well as energized ionic and radical species. Also, the energized gas, which may be a plasma is often at elevated temperatures.
The support used in the chamber can include an electrostatic puck that has an electrode capable of being electrically biased to hold the substrate on the support. Electrostatic pucks made of ceramics provide good resistance to corrosion by energized process gases and can maintain their structural integrity even at high substrate processing temperatures exceeding several hundred degrees centigrade. The electrode in the electrostatic puck may also be electrically biased, for example with an RF bias power, to energize a process gas in the chamber to process the substrate. The support also comprises a pedestal that supports the electrostatic puck in the chamber, and may be capable of raising or lowering the height of the electrostatic puck and substrate. The pedestal can also provide a protective enclosure for connecting wires, gas tubes, and other structures that are connected to the support. The pedestal is typically made from a metal to allow easier fabrication of the connections ports and structures in the pedestal. The interface between the electrostatic puck and the pedestal can include a heat exchanger plate which is maintained at a higher gas pressure than the chamber environment.
The electrostatic puck is bonded to a composite baseplate comprising a metal infiltrated porous ceramic material. The composite base plate allows mechanical fastening of the electrostatic puck to the pedestal via holes about a peripheral ledge of the baseplate. The electrostatic chuck is attached to the composite baseplate to form a detachable structure which can be easily removed from the pedestal for cleaning or replacement. The baseplate also has a good thermal conductivity to provide efficient removal of heat generated by the plasma. Also, the baseplate provides full area temperature control as steps up from the larger area of the pedestal to the smaller area of the electrostatic puck.
However, it is difficult to form a reliable gas-tight seal at the interface between the detachment electrostatic chuck and the pedestal because of preprocess variability in the lower surface of composite baseplate. The metal infiltrated structure has variability in its surface roughness arising from the variability in pore filling, porosity, or metal coating of this surface. A good gas tight seal is needed because the interface of the heat exchanger plate is at higher gas pressures than the chamber. This interface is provided with air or nitrogen for good heat transfer and it is undesirable for these gases to escape from this region and into the surrounding vacuum of the chamber. The composite baseplate which forms the lower surface of the electrostatic chuck comprises a composite ceramic and metal material having a somewhat random porosity which results in a roughened surface that does not provide an adequate gas-tight seal the even with surrounding o-rings. Further, applying an excessively high torque to the fasteners to clamp down the electrostatic chuck assembly to the pedestal to maintain an acceptable vacuum seal at the interface can cause the ceramic structure of the baseplate to micro-crack and also cause the vacuum seal provided by the o-rings to gradually deteriorate with heating and cooling cycles.
Thus, it is desirable to have a system which provides a good gas tight seal between the electrostatic chuck and the pedestal. It is also desirable to have good and uniform heat transfer rates between the chuck assembly and pedestal.
DRAWINGS
These features, aspects, and advantages of the present invention will become better understood with regard to the following description, appended claims, and accompanying drawings, which illustrate examples of the invention. However, it is to be understood that each of the features can be used in the invention in general, not merely in the context of the particular drawings, and the invention includes any combination of these features, where:
FIG. 1 is an exploded view of an embodiment of an electrostatic chuck and pedestal showing a sealing assembly therebetween;
FIG. 2 is a schematic sectional side view of the assembled electrostatic chuck and sealing assembly; and
FIG. 3 is a perspective view of the electrostatic chuck of FIG. 1 showing the bottom surface of the chuck;
FIG. 4 is plan view of the bottom of a sealing assembly plate comprising a sealing plate and a sealing ring; and
FIG. 5 is a schematic sectional side view of an embodiment of a chamber having a support comprising an electrostatic chuck and pedestal with sealing plates in between. SUMMARY
A detachable electrostatic chuck for attachment to a pedestal in a process chamber comprises an electrostatic puck, a baseplate below the electrostatic puck and a sealing assembly bonded to the bottom surface of the baseplate. The electrostatic puck comprises a ceramic body with an embedded electrode, a substrate receiving surface and an annular ledge. The baseplate has a peripheral ledge that extends beyond the annular ledge of the ceramic body. The sealing assembly comprises a sealing plate and concentric sealing ring.
A method of fabricating an electrostatic chuck, the method comprising the steps of: forming an electrostatic puck comprising a ceramic body with an embedded electrode, the ceramic body having a substrate receiving surface, a bottom surface and a peripheral ledge; forming a preform comprising a porous ceramic having a peripheral edge, top surface, and bottom surface; forming a sealing assembly comprising a sealing plate and a sealing ring. The top surface of the preform is held against the bottom surface of the electrostatic puck so that the peripheral edge of the preform extends beyond the peripheral ledge of the ceramic body of the electrostatic puck, and the sealing assembly positioned against the bottom surface of the preform and a molten metal is infiltrated into the porous ceramic of the preform and between the gaps of the ceramic body, preform and sealing assembly. This bonds the ceramic body to the preform with a metal bond, infiltrates the porous ceramic of the preform with the metal and bonds the sealing assembly to the bottom surface of the preform.
A sealing assembly for forming a gas tight seal between an electrostatic chuck and a pedestal in a process chamber, the sealing assembly comprising a sealing plate that is D-shaped with a flat edge connected to a semicircular perimeter; and sealing ring comprising a circular ring which is concentric to the sealing plate, wherein the sealing plate and sealing ring each comprise a surface flatness value of less than about 200 microns. DESCRIPTION
A substrate support 20 capable of holding a substrate 22 comprises an electrostatic chuck 24, a sealing assembly 25, and a pedestal 26, as shown in FIGS. 1 and 2. While an exemplary sealing assembly 25 comprising a sealing plate 23 and a sealing ring 28 is illustrated in the context of exemplary embodiments of an electrostatic chuck 24 and pedestal' 26, it should be understood that the sealing assembly 25 can have other components, shapes and sizes as would be apparent to those of ordinary skill in the art. The sealing assembly 25 can also be used with other versions of electrostatic chucks, or even vacuum and mechanical chucks, and other versions of pedestals. Thus, the invention should not be limited to the exemplary versions of electrostatic chucks and pedestals described here.
The electrostatic chuck 24 comprises an electrostatic puck 27 having a disc-like shape that matches the shape and size of the substrate 22 held on the chuck, and with an outwardly extending annular flange 29. The puck 27 comprises a dielectric 30 that at least partially covers a chargeable electrode 32, as shown in FIG. 2, which can be embedded in, or covered by, the dielectric 30. The dielectric 30 desirably comprises a material permeable to electromagnetic energy, such as for example, at least one of aluminum nitride, aluminum oxide, and titanium oxide, and preferably comprises aluminum nitride. The dielectric 30 can, however, also comprise other layers such as polymer layers, for example, polyimide.
Typically the electrode 32 embedded in the dielectric 30 of the puck 27 comprises a metal layer. The electrode metal can be stainless steel, aluminum, copper or even high temperature metals such as molybdenum or tungsten. In the version shown in FIG. 2, the ceramic body of the electrostatic puck 27 comprises aluminum nitride with an embedded electrode 32 comprising a wire grid or plate of molybdenum. The electrode 32 is capable of being electrically biased to electrostatically hold the substrate 22 on the receiving surface 34 of the chuck. For example, the electrode 32 can be connected via one or more electrical connectors 84, as shown in FIG. 5, to an electrode power supply 160 that delivers a bipolar DC voltage. The bipolar electrode 32 has two sides that are each maintained at a different voltage to generate an electrostatic charge in the substrate 22 which clamps it to the chuck.
The electrostatic puck 27 further comprises a substrate receiving surface 34 that serves to receive a substrate 22 on the electrostatic chuck 24. In the version shown in FIG. 1 , the receiving surface 34 comprises a plurality of raised wedge shaped mesas 36 which are formed by intersecting gas grooves 37. The gas grooves 37 are provided to hold a heat transfer gas such as helium or argon, which is supplied through the gas port 38 on the receiving surface 34. In the version shown, the gas grooves 37 are radial lines that are spread apart from one another by from about 5 to about 10°, and terminate in an inner circular groove 39a and an outer circular groove 39b. While an exemplary embodiment of the substrate receiving surface 34 of the electrostatic puck 27 is illustrated herein, it should be understood that other versions can also be used, and the present invention should not be limited to the exemplary version illustrated herein.
The electrostatic chuck 24 further comprises a baseplate 42 joined to the electrostatic puck 27 that is used to attach the electrostatic puck 27 to a pedestal 26 in the chamber. The baseplate 42 comprises a top surface 43 underneath the puck 27, and an peripheral ledge 46 that extends outwardly beyond the top surface 43. The peripheral ledge 46 extends beyond the annular flange 29 of the puck 27 to provide an exposed baseplate portion that is uncovered by the dielectric 30, and that can be attached to an underlying pedestal 26 in a chamber.
In one version, the baseplate 42 comprises a material having thermal properties that are suitably matched to the overlying dielectric 30 of the electrostatic puck 27. For example, the baseplate 42 can comprise a composite base of ceramic and metal, which provides better strength and durability than ceramic alone and also has good heat transfer properties. The composite base has a thermal expansion coefficient that is matched to the dielectric 30 to reduce thermal expansion mismatch. In one version, the composite base comprises a ceramic having pores that are infiltrated with a metal, which at least partially fills the pores to form a composite material. The ceramic may comprise, for example, at least one of silicon carbide, aluminum nitride, aluminum oxide or cordierite, and is preferably silicon carbide. The ceramic may comprise a pore volume of from about 20 to about 80 volume% of the total volume, the remainder volume being of the infiltrated metal. In another version, the composite baseplate 42 may comprise a different composition of a ceramic and metal, such as metal having dispersed ceramic particles; or the baseplate 42 can be made from only a metal, such as stainless steel or aluminum. The infiltrated metal can comprise aluminum with added silicon and copper. In one version, the baseplate 42 comprises a composite base comprising consisting of ceramic and metal, such as silicon carbide infiltrated with a metal alloy comprising aluminum, silicon and trace amounts of copper.
The electrostatic chuck 24 is formed by joining the electrostatic puck 27 to the surface 43 of the baseplate 42. In one version, the infiltrated metal used to infiltrate the pores of the baseplate 43 can also be used to bond the electrostatic puck 27 to the baseplate 42 by a bond layer 48, as shown in FIG. 2. In another version, the electrostatic puck 27 is attached to the baseplate 42 by a bond layer 48 made from a metal foil, such as an aluminum foil, which diffusion bonds the baseplate 42 and dielectric 30. The . electrostatic chuck 24 is detachable and can be easily removed from the pedestal 26 when replacement or refurbishment of one or more of the electrostatic puck 27 or its underlying baseplate 42 is required.
The backside surface 47 of the baseplate 42 of the electrostatic chuck 24 comprises a raised central protrusion 52, which is surrounded by an annular trough 53, as for example shown in FIG. 3. The raised central protrusion 52 is D-shaped, having a contour that is formed by a semicircular perimeter with ends joined by a flat edge. In the version shown, the semicircular contour comprises a three-quarter circle, but it may also be a half-circle or other asymmetric shapes. The protrusion 52 is shaped and sized to match a corresponding cavity 55 in the pedestal 26, as shown in FIG. 1. In this version, the cavity 55 is also D-shaped to mate with the D-shaped protrusion 52 to form an alignment key. This allows the protrusion 52 and cavity 55 to serve as an alignment key when the chuck 24 is positioned on the pedestal 26 in the chamber. The alignment key reduces the possibility of damaging the chuck 24 by improperly positioning or locating the chuck 24 on the underlying pedestal 26 when moving or replacing the chuck 24 from the chamber in the fabrication lab.
In addition, the raised central portion also has three apertures
54a-c, which are spaced apart from one another The apertures 54 receive the electrode terminal posts 49 which are mounted in the cavity 55 of, the pedestal 26. The apertures 54a-c also served as a secondary alignment guide further ensuring the placement accuracy of positioning the chuck 24 onto the pedestal 26. The asymmetrically offset apertures 54a-c ensure that the chuck 24 can only be positioned in one orientation over the pedestal 26. In one version, the offset angle α is from about 115 to about 135°, for example about 125°. Two of the apertures 54a, b are used to connect to electrode posts 49 that supply a voltage bias to the bipolar electrodes 32 to maintain an electrostatic charge in the electrodes. The third aperture 54c connects to another post (not shown)which contacts the chuck 24 to a floating potential that is used to adjust voltage applied through the post 49.
In addition, the protrusion 52 has a centrally positioned gas coupler 74 to receive a gas tube 72 that extends out of the cavity 55. The gas tube 72 provides a heat transfer gas, such as argon or helium, to the gas port 38, which in turn supplies the gas grooves 37, 39a, b to maintain a supply of heat transfer gas below the substrate 22 during processing. The heat transfer gas assists in exchanging heat between the overlying substrate 22 and chuck 24 as shown in FIG 5. Yet another hole 92 is provided to allow insertion of a thermocouple 33, typically a k-type thermocouple 33, to contact the puck 27.
The sealing assembly 25 comprises a sealing plate 23 and a sealing ring 28 concentric to the sealing plate 23, as shown in FIG. 4. The sealing assembly 25 is bonded to the backside surface 47 of the baseplate 42 to provide a seal around the heat transfer gas connections from the vacuum environment in the chamber. The sealing plate 23 and peripheral sealing ring 28 cooperate with a set of O-rings 51a, 51b situated on the upper surface 71 of the pedestal 26 to provide a sealing circumference. The chuck 24 is fastened to the ledge 40 of the support pedestal 26 and a tight seal is formed between the electrostatic chuck 24 and the pedestal 26 by tightening connectors 44a, b inserted in holes 50 along the edge of the peripheral ledge 46 of the baseplate. When the connectors 44a, b comprise a set of 24 threaded screws, and the interface is constructed in this novel manner, the torque on each screw which is necessary to form an acceptable vacuum seal has been observed to decrease from 90lbf-in to 50lbf-in, as compared to interfaces constructed without the sealing plate 23 and sealing ring 28.
The sealing plate 23 attached to the raised protrusion 52 of the backside surface 47 of the baseplate 42. In one version, the sealing plate 23 is formed from a circular disk plate having a thickness of less than about 4 mm, or even from about 0.2 mm and about 2 mm, and radius of from about 2 to about 6 cm. In the version shown, the sealing plate 23 is D-shaped having a contour that is a semicircle with ends joined by a flat edge, and corresponding to the backside surface's raised protrusion 52 on which it is attached. The semicircular contour comprises a three-quarter circle, but it may also be a half-circle or other asymmetric shapes. The sealing plate 23 is also shaped and sized to match a corresponding cavity 55 in the upper surface 71 of the pedestal 26. The flat edge of the D-shape of the sealing plate 23 serves as an alignment key that mates with a corresponding flat edged cavity 55 in the pedestal. In the version shown, five apertures 54 are punched in the sealing plate 23 so that the plate encircles and allows for the passage of the gas coupler 74, the thermocouple 33, and three electrode posts 49 as shown in FIG. 5. The apertures 54 are aligned to correspond with those fabricated on the D-shaped protrusion 52 of the baseplate 42.
In one version, the sealing ring 28 is a circular ring which is sized to be about the peripheral portion the electrostatic chuck 24 to serve as a peripheral ring. The sealing ring 28 is sized larger than the sealing plate 23 so that it surrounds the plate 23 and is arranged concentric to the plate 23. For example, in one version, the circular ring comprises an inner radius of at least about 10 cm and an outer radius of less than about 18 cm. The sealing ring 28 can also have other shapes, such as a rectangular ring, for example, for chambers used in flat panel processing. The sealing ring 28 also can have a thickness of less than about 4 mm, or even a thickness of from about 0.2 mm to about 2 mm.
In one version, the sealing plate 23 and sealing ring 28 are polished over a portion of their surface to obtain a surface flatness of less than about 200 microns, or even from about 25 microns to about 125 microns, to ensure the desired level of vacuum sealing. By surface flatness, it is meant that the local irregularities in the surface have a maximum peak-to-trough separation of less than the specified length. A surface with a surface flatness of less than about 200 microns would comprise a surface having local irregularities having a maximum peak-to-trough separation of less than about 200 microns. The surface flatness can be measured by dragging a stylus across the surface and measuring it's deflection with a laser interferometer. Specifically, these smooth regions include the areas of the surface which interact with the O-ring 51a seated on the pedestal 26 around the gas coupler 74, and with the O-ring 51b seated in O-ring groove in the pedestal flange 40 outside of the annular trough 53.
The surfaces of the sealing assembly 25 may be polished by rubbing the surface with polishing pad in a circular motion while applying a polishing slurry to the rubbing surfaces. Conventional polishing techniques can be used, such as dry or wet sanding, with successively finer grades (mesh sizes) of polishing powder. For example, a suitable sequence of polishing slurries can be a mesh size of 125, a mesh size of 225, and a mesh size of 325 or smaller. The sealing plates 23, 28 should be polished to achieve a seal such that a conventional helium leak test result will provide a helium leak rate of less than 10'9 millibar liter/second. In one version the sealing surface of the sealing assembly 25 is machine finished with a concentric machining profile. If the surface is finished to a surface roughness of about 32 RA by machining, then it is not necessary to polish the surface.
The sealing assembly 25 comprising sealing plate 23 and sealing ring 28 may be formed by stamping or machining a D-shape and circular ring of the desired dimensions from a metal or ceramic. The metal can be nickel, molybdenum, stainless steel, titanium, zirconium, or alloys thereof, and the ceramic can be aluminum nitride. One version uses a sealing plate 23 and sealing ring 28 comprising molybdenum to withstand elevated temperatures and the environment in the chamber. However, the sealing plate 23 and ring 28 can also be made from other materials as would be apparent to one of skill in the art. In one version, the sheet can have a thickness of less than about 4 mm in order to obtain a sealing plate 23 and ring 28 having thickness of less than about 4 mm.
The polished sealing ring 28 is attached onto the backside surface 47 of the baseplate 42 to provide a smooth sealing surface for pressing against an O-ring 51b. The sealing ring 28 has a vertical thickness of less than about 4 mm, and even from about 0.2 mm and 2 mm. The inner and outer radius can be determined by the dimensions of the substrate receiving surface 34, whereby the inner edge of the ring rests approximately flush with the innermost ledge of the bottom surface of the baseplate 42, and the outermost edge lies beneath and approximately flush with the outermost edge of the substrate receiving surface 34. Thus the inner radius is typically at least about 10 cm and the outer radius is typically less than about 18 cm. The polished sealing ring 28 includes holes 41 which are aligned to allow passage of substrate lifting pins 45.
In one version, which facilitates assembly of the electrostatic chuck 24 to the sealing assembly 25, the sealing plate 23 and sealing ring 28 are bonded to the baseplate 42 by metal infiltration, brazing, soldering or diffusion bonding. A hot press or hot isostatic press can also be used to apply pressure on the assembly during bonding to remove air pockets and create a good interface with uniform thermal impedance. Metal materials used for bonding can be aluminum based or copper-silver based or other materials under 10000C, or even under 6000C, or even lower temperature solder. The bond may also be formed by polymer glue or other low temperature glue method (compared to brazing or bonding temperature of AIN-AISiC), such as indium.
The sealing assembly 25 can be bonded to the baseplate 42 using the same process as that used to infiltrate metal into the porous structure of the baseplate 42. In this version, the sealing assembly 25 is held to the baseplate 42 while molten metal is seeped into the fine gap between the top surface 35 of the sealing assembly 25 and the backside surface 47 of the baseplate 42. The entire structure can be held in a mold and a pressure may also be applied during this process to form a superior bond. Advantageously, the electrostatic chuck 24 can also be simultaneously bonded to the baseplate 42 simply by holding the electrostatic chuck 24 in contact with the top surface 43 of the baseplate 42 while the sealing assembly 25 contacts the backside surface 47 of the baseplate 42. A suitable infiltration metal comprises an alloy of aluminum with added silicon and copper.
In another joining method, the sealing plate 23 and ring 28 are bonded to the baseplate 42 by applying a brazing compound to at least one of the joining surfaces of the sealing assembly 25, and they are aligned so that their feedthroughs 54 and holes 41 form continuous passages. Brazing compound is applied to either the backside 47 of the baseplate 42 or the top surfaces 35 of the sealing plate 23 and ring 28. Suitable brazing compounds should have a fluxing temperature lower than the melting point of both the baseplate 42 and the sealing plate 23 and ring 28. For example, when the baseplate 42 is fabricated from ceramic and the sealing plates 25 from stainless steel, the brazing compound is selected to have a fluxing temperature which is less than the melting temperature of stainless steel, for example, by at least about 2000C. In fabrication, a slurry of brazing compound or a thin sheet of brazing foil can be applied between the sealing assembly 25 and the baseplate 42. The brazing compound typically comprises an alloy comprising at least one of aluminum, copper, indium, lead, magnesium, nickel, tin, silicon and their alloys thereof. For example, a suitable brazing compound comprises Cusin-1-ABA™, a brazing alloy paste which contains mostly silver and copper, and available from WESGO Inc., Belmont, CA, which melts at 7750C. A suitable brazing foil comprises MBF-20 brazing foil, METGLAS™, which is a nickel based brazing foil containing boron, iron, silicon, chromium and cobalt, and which has a melting point of about 10000C. ~
The sealing assembly 25 after being coated with brazing compound or having the brazing foil therebetween, are then aligned to the backside 47 of the baseplate 42 to form the assembly such that the apertures 54 and holes 41 form continuous passages. The assembly is then heated to allow the brazing compound to soften and react with the sealing plate 23 and ring 28 and the baseplate 42 to form a brazed bond. The sealing assembly 25 and baseplate 42 can be heated in a brazing oven or hot press while applying a suitable pressure to the assembly. The assembly is heated to a temperature sufficiently high to cause the brazing compound to flux and join the assembly 25 to the baseplate 42. Thereafter, the brazed assembly is cooled to room temperature. Suitable brazing methods and materials are described in for example, commonly assigned U.S. Patent no. 6,503,368 to Kholendenko et al., filed on June 29th, 2000; U.S. Patent no. 6,583,980 to Wang et al., filed on August 18th, 2000; U.S. Patent no. 6,490,146 to Wang et al., filed on August 13th 2001 ; all of which are incorporated by reference herein in their entireties.
Because the exposed peripheral ledge 46 of the baseplate 42 is not covered by the dielectric 30, the relatively strong ledge can be detachably connected to the pedestal flange 40 to allow for easy removal of the electrostatic chuck 24 comprising the puck 27 and baseplate 42 from the pedestal 26 in a chamber. This connection can be achieved by inserting connectors 44 through the composite material of the ledge 46 and into the flange 40 of the pedestal 26. The detachable electrostatic chuck 24 can be removed from the pedestal 26 by removing the connectors 44 when the puck 27 or baseplate 42 becomes excessively eroded or dirty with process residues. The "detachable" electrostatic chuck 24 reduces the costs associated with processing substrates with the electrostatic chuck 24 by allowing the electrostatic puck 27 and/or baseplate 42 to be replaced or refurbished as needed, without requiring replacement of the entire electrostatic chuck 24.
To connect the baseplate 42 to the pedestal 26, the peripheral ledge 46 comprises a plurality of holes 50 that are sized and shaped to allow connectors 44 to pass therethrough to connect to the pedestal flange 40 (FIG. 1). For example, the holes 50 may extend vertically through a thickness of the peripheral ledge 46, from an upper surface 59 to a lower surface 60. The holes 50 can also extend through at least a portion of the pedestal flange 40 to secure the ledge 46 to the flange 40. A connector 44 suitable to connect the baseplate ledge 46 to the pedestal flange 40 via the holes 50 can comprise, for example, at least one of a pin, bracket, bolt or screw. For example, the connector 44 may comprise a threaded pin having a head 62 that is held on the top surface 59 of the peripheral ledge 46, and a threaded lower end 63 that fits into the threaded hole 50 to secure the baseplate 42 to the pedestal 26. The baseplate 42 desirably comprises a material that is sufficiently strong to allow it to be easily machined to shape and which can be secured to the pedestal 26 via the holes 50 substantially without cracking or breaking.
A substrate processing apparatus 100 comprises a chamber 102 with a substrate support 20 comprising an electrostatic chuck 24 detachably mounted on a pedestal 26, as shown in FIG. 5. The pedestal 26 comprises a housing 80 adapted to protect portions of the electrostatic chuck 24 from the process environment. The housing 80 protects components within the enclosure, such as for example electrical connectors, gas tubes and fluid conduits. The pedestal 26 further comprises a pedestal flange 40 that extends outwardly from the top of the housing 80 to provide a projecting support for the electrostatic chuck 24. The pedestal housing 80 can comprise a metal that is resistant to corrosion in the substrate processing environment, such as for example, stainless steel or titanium. The pedestal 26 can also comprise a pedestal column that extends between the housing 80 and the chamber 102. In the version shown, the pedestal column comprises a bellows 84 and a motorized moveable post 82. The pedestal housing 80 is mounted to the moveable post 82 and a motor (not shown) is used to raise and lower the moveable post 82 and thereby the substrate support 20 within the process chamber 102. In one version, the bellows 84 comprises an edge- welded stainless steel bellows. The upper edge of the bellows 84 is welded to the pedestal housing 80 and the lower edge of the bellows 84 is fastened to the bottom wall 110 of the chamber. An O-ring is mounted at the interface between the bottom wall 110 and the bellows 84. Electrical connectors, gas conduits and fluid conduits can be passed through the bellows 84 to protect them from the processing environment.
The electrostatic chuck 24 can further comprise other elements that improve substrate processing, such as for example, a thermocouple 33 having a temperature sensing end embedded in the electrostatic puck 27, as shown in FIG. 2. The thermocouple 33 can connect to a temperature monitor, such as a chamber controller 200, to monitor the temperature of the electrostatic chuck 24 and substrate 22 during processing. The electrostatic chuck 24 can also comprise a heat transfer gas port 38 on the receiving surface 34 of the electrostatic puck 27 to deliver a heat transfer gas, such as argon, to the backside of a substrate 22. The heat transfer gas port 38 can feed channels formed on the support receiving surface 34, and can be connected via a gas tube 72 to a heat transfer gas supply (not shown). A gas coupler 74 can be inserted into electrostatic puck 27 to define a path for the heat transfer gas through the puck 27, and to provide a desired flow of the heat transfer gas to the support receiving surface 34.
In one version, the pedestal 26 further comprises a heat transfer plate 56 which contacts the backside surface 47 of the chuck 24 to transfer heat to or from the chuck 24 and overlying substrate 22 to maintain a desired substrate temperature. For example, the heat transfer plate 56 may comprise a heating or cooling plate. In one version, the heat transfer plate 56 can comprise at least one fluid channel 58 through which a heat transfer fluid can be flowed to control the temperature of the heat transfer plate 56. The heat transfer fluid is supplied by a fluid supply 57 connected to the fluid channel 58 via one or more conduits 61 routed through the column 51 of the pedestal 26. The heat transfer plate 56 desirably extends beneath a substantial portion of the substrate receiving surface 34, such as for example from at least about 25% to about 85% of the substrate receiving surface 34, to provide good heat exchange with the substrate 22. The heat transfer plate 56 is made of a thermally conductive material such as a metal, for example at least one of copper, stainless steel or aluminum. A thermal conductor 86 can be provided between the baseplate 42 and the heat transfer plate 56 to enhance heat exchange therebetween. The thermal conductor 86 conforms to the top surface 65 of the heat transfer plate 56 and the bottom surface 47 of the baseplate 42. In one version, the thermal conductor 86 comprises an interstitial material layer such as graphite. In one version, the heat transfer plate 56 is mounted to the pedestal 26 via a spring 83, which presses the heat transfer plate 56 to the bottom surface 47 of the baseplate 42, thereby ensuring thermal contact.
An embodiment of an apparatus 100 comprising a substrate- processing chamber 102 suitable for processing a substrate 22 is shown in FIG. 5. The particular embodiment of the apparatus 100 shown herein is suitable for processing a substrate 22, such as semiconductor wafers, and may be adapted by those of ordinary skill to process other substrates 22, such as flat panel displays, polymer panels, or other electrical circuit receiving structures. The apparatus 100 is particularly useful for processing layers, such as etch resistant, silicon-containing, metal-containing, dielectric, and/or conductor layers on the substrate 22. The apparatus 100 may also be attached to a platform (not shown) that contains and provides electrical, plumbing, and other support functions for the apparatus 100 and may be part of a multi-chamber system (also not shown).
Generally, the process chamber 102 comprises enclosure walls 104, which may comprise a ceiling 106, sidewalls 108, and a bottom wall 110 that enclose a process zone 112. In operation, process gas is introduced into the chamber 102 through a gas supply 120 that includes a process gas source 122, and a gas distributor 124. The gas distributor 124 may comprise one or more conduits 126 having one or more gas flow valves 128 and one or more gas outlets 130 around a periphery of the substrate 22, which is held in the process zone 112 on the electrostatic chuck 24. Alternatively, the gas distributor 124 may comprise a showerhead gas distributor (not shown). Spent process gas and process byproducts are exhausted from the chamber 102 through an exhaust 140 which may include an exhaust port 144 that receives spent process gas from the process zone 112 and delivers the gas to an exhaust conduit 146, a throttle valve 148 to control the pressure of process gas in the chamber 102, and one or more exhaust pumps 150.
The process gas may be energized to process the substrate 22 by a gas energizer 154 that couples energy to the process gas in the process zone 112 of the chamber 102. For example, the gas energizer 154 may comprise process electrodes that may be electrically biased to energize the process gas. The process electrodes may include an electrode that is a wall 104, such as a sidewall 108 of the chamber 102, and which may be capacitively coupled to another electrode, such as the ceiling 106 or target 170 in the chamber 102. The target 170 is electrically biased relative to the wall 104 to energize a process gas in the chamber 102 to sputter material off from the target 170 onto the substrate 22. The electrodes are biased by a DC voltage, a high frequency voltage, such as a radio frequency (RF) voltage, or a combination of both.
Alternatively or additionally, the gas energizer 154 can also include an antenna comprising an inductor coil 164 which has a circular symmetry about the center of the chamber 102. The inductor coil 164 is supported by stand-offs 166 that separate the coil from the chamber sidewall 108. In yet another version, the gas energizer 154 may comprise a microwave source and waveguide to activate the process gas by microwave energy in a remote zone (not shown) upstream from the chamber 102. Additional inductor or electromagnetic coils (not shown) can also be located around the chamber 102, for example, above the ceiling of the chamber or around the sidewalls 108.
In one version, the chamber 102 comprises a physical vapor deposition chamber capable of sputter depositing material on a substrate 22. In this version, the chamber comprises a ceiling 106 which has a sputtering target 170 having material to be deposited on the substrate. The target 170 can be electrically biased with respect to another component in the chamber, such as a process shield, by the gas energizer 154 that energizes the process gas and sputters material from the target 170 and onto the substrate 22. When a target 170 is used, the chamber also comprises an L-shaped shield 174 surrounding the periphery of the substrate 22 to capture process deposits. In addition a deposition ring 176 and cover ring 178 can also be used to protect the edge of the chuck 24 and the pedestal flange 40.
To process a substrate 22, the process chamber 102 is evacuated and maintained at a predetermined sub-atmospheric pressure. A substrate 22 is then provided on the electrostatic chuck 24 of the substrate support 20 by a substrate transport 180 which operates a robot arm 184 that is passed through a slit 186 in the chamber sidewall 108, bearing a substrate 22. A lift pin system 190 comprising lift pins 45, are raised through the holes 41 in the support 20 to receive the substrate 22. The lift pins lower through the same holes 41 to rest the substrate 22 on the substrate support receiving surface 34. The gas distributor 124 provides a process gas to the chamber 102 and the gas energizer 154 couples energy to the process gas to energize the gas and process the substrate 22, for example, by etching material on the substrate or depositing material on the substrate 22 by PVD or CVD. If required, to clean the chamber after processing of the substrate 22, the gas distributor 124 provides a process gas comprising a cleaning gas to the chamber 102 and the gas energizer 154 energizes the cleaning gas.
The chamber 102 is controlled by a controller 200 that comprises program code having instruction sets to operate components of the chamber 102 to process substrates 22 in the chamber 102. For example, the controller 200 can comprise a substrate positioning instruction set to operate one or more of the electrostatic chuck 24, lift pins 45, and substrate transport 180 to position a substrate 22 in the chamber 102 and to set a chucking voltage applied by the electrode power supply 160 to hold the substrate 22 on the electrostatic chuck 24; a gas flow control instruction set to operate the flow control valves 134 to set a flow of gas to the chamber 102; a gas pressure control instruction set to operate the exhaust throttle valve 148 to maintain a pressure in the chamber 102; a gas energizer control instruction set to operate the gas energizer 154 to set a gas energizing power level; a temperature control instruction set to control temperatures in the chamber 102, for example by controlling the supply of heat transfer fluid supplied to the heat transfer plate 56, and the supply of heat transfer gas to the support receiving surface 34; and a process monitoring instruction set to monitor the process in the chamber 102, for example by monitoring temperatures via the thermocouple 33.
Although exemplary embodiments of the present invention are shown and described, those of ordinary skill in the art may devise other embodiments which incorporate the present invention, and which are also within the scope of the present invention. For example, the electrostatic chuck 24 can be of other types, for example, a polymer dielectric layer covering a metal plate that serves as an electrode. Moreover, the chuck 24 can be attached to the pedestal by other means than the peripheral ledge 46 and pedestal flange 40, for example, a screwing thread on the backside of the chuck. Furthermore, relative or positional terms shown with respect to the exemplary embodiments are interchangeable. Therefore, the appended claims should not be limited to the descriptions of the preferred versions, materials, or spatial arrangements described herein to illustrate the invention.

Claims

What is claimed is:
1. A detachable electrostatic chuck for attachment to a pedestal in a process chamber, the detachable electrostatic chuck comprising:
(a) an electrostatic puck comprising a ceramic body having an embedded electrode, a substrate receiving surface, and an annular ledge;
(b) a baseplate below the electrostatic puck, the baseplate having a peripheral ledge extending beyond the annular ledge of the ceramic body, and a bottom surface; and
(c) a sealing assembly comprising a sealing plate and a concentric sealing ring, the sealing assembly being bonded to the bottom surface of the baseplate.
2. A chuck according to claim 1 wherein the sealing ring comprises at least one of:
(a) a circular ring; or
(b) an inner radius of at least about 10 cm and an outer radius of less than about 18 cm.
3. A chuck according to claim 1 wherein the sealing plate is D-shaped and wherein the D-shape comprises a flat edge connected to a semicircular perimeter.
4. A chuck according to claim 3 wherein the electrostatic chuck rests on a pedestal, and wherein the flat edge of the D-shape of the sealing plate serves as an alignment key that mates with a corresponding flat edged cavity in the pedestal.
5. A chuck according to claim 3 wherein the D-shaped sealing plate comprises five apertures shaped and positioned to allow passage of one or more gas couplers, thermocouples, and electrode posts.
6. A chuck according to claim 1 wherein the sealing plate and sealing ring each comprise at least one of:
(a) a thickness of less than about 4 mm;
(b) a surface flatness value of less than about 200 microns; or
(c) a roughness average (RA) of less than 63.
7. A chuck according to claim 1 wherein the sealing plate and sealing ring each comprise at least one of:
(a) a surface flatness of from about 25 to about 125 microns; or
(b) a roughness average (RA) of less than 32.
8. A chuck according to claim 1 wherein the sealing plate and sealing ring comprise (i) aluminum nitride, or (ii) nickel, molybdenum, stainless steel, titanium, zirconium, or alloys thereof.
9. A chuck according to claim 1 wherein the ceramic body of the electrostatic puck comprises aluminum nitride with an embedded electrode of molybdenum; and the baseplate comprises silicon carbide infiltrated with a metal alloy comprising aluminum.
10. A substrate support comprising:
(a) the electrostatic chuck of claim 1 ;
(b) a pedestal having an upper surface, a housing, and an outwardly extending pedestal flange capable of being attached to the peripheral ledge of the baseplate of the electrostatic chuck; and
(c) a set of O-rings between the sealing assembly and the upper surface of the pedestal.
11. A substrate processing chamber comprising the substrate support of claim 10, and further comprising a gas supply to provide a process gas in the chamber, a gas energizer to energize the gas, and an exhaust port to exhaust the gas from the chamber.
12. A method of fabricating an electrostatic chuck, the method comprising the steps of:
(a) forming an electrostatic puck comprising a ceramic body with an embedded electrode, the ceramic body having a substrate receiving surface, a bottom surface, and a peripheral ledge;
(b) forming a preform comprising a porous ceramic having a peripheral edge, top surface, and bottom surface;
(c) forming a sealing assembly comprising a sealing plate and a sealing ring;
(d) holding (i) the top surface of the preform against the bottom surface of the electrostatic puck so that the peripheral edge of the preform extends beyond the peripheral ledge of the ceramic body of the electrostatic puck, and (ii) the sealing assembly positioned against the bottom surface of the preform;
(e) infiltrating molten metal into the porous ceramic of the preform and between the gaps of the ceramic body, preform, and sealing assembly, to:
(i) bond the ceramic body to the preform with a metal bond;
(ϋ) infiltrate the porous ceramic of the preform with the metal; and
(iϋ) bond the sealing assembly to the to the bottom surface of the preform.
13. A method according to claim 12 comprising polishing a exposed surface of the sealing assembly to at least one of:
(a) a surface flatness value of less than about 200 microns; or
(b) a roughness average (RA) of less than about 32.
14. A method according to claim 12 comprising forming the sealing assembly from at least one of:
(a) a metal sheet having a thickness of less than about 4 mm; or
(b) molybdenum.
15. A method according to claim 12 comprising at least one of:
(a) forming the sealing ring by stamping a circular ring from a metal sheet; or
(b) forming the sealing plate by stamping a D-shape from a metal sheet.
16. A method according to claim 12 comprising forming the sealing plate to be D-shaped and have a flat edge connected to a semicircular perimeter such that the flat edge serves as an alignment key that mates with a corresponding flat edged cavity in a pedestal.
17. A sealing assembly for forming a gas tight seal between an electrostatic chuck and a pedestal in a process chamber, the sealing ring comprising:
(a) a sealing plate that is D-shaped with a flat edge connected to a semicircular perimeter; and
(b) a sealing ring comprising a circular ring which is concentric to the sealing plate, wherein the sealing plate and sealing ring each comprise a surface flatness of less than about 200 microns.
18. An assembly according to claim 17 wherein the circular ring of the sealing ring comprises an inner radius of at least about 10 cm and an outer radius of less than about 18 cm.
19. An assembly according to claim 17 wherein the flat edge of the D-shaped sealing plate serves as an alignment key that mates with a corresponding flat edged cavity in the pedestal.
20. An assembly according to claim 17 wherein the sealing plate and sealing ring each have a thickness of less than about 4 mm.
21. An assembly according to claim 17 wherein the sealing plate and sealing ring comprise molybdenum.
PCT/US2007/021925 2006-10-13 2007-10-12 Detachable electrostatic chuck having sealing assembly WO2008048518A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR2020097000009U KR20090011307U (en) 2006-10-13 2007-10-12 Detachable electrostatic chuck having sealing assembly
CN2007900000965U CN201436680U (en) 2006-10-13 2007-10-12 Detachable electrostatic chuck with seal assembly
JP2009600032U JP3159306U (en) 2006-10-13 2007-10-12 Detachable electrostatic chuck with sealing assembly

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/549,594 2006-10-13
US11/549,594 US7589950B2 (en) 2006-10-13 2006-10-13 Detachable electrostatic chuck having sealing assembly

Publications (1)

Publication Number Publication Date
WO2008048518A1 true WO2008048518A1 (en) 2008-04-24

Family

ID=39111835

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2007/021925 WO2008048518A1 (en) 2006-10-13 2007-10-12 Detachable electrostatic chuck having sealing assembly

Country Status (6)

Country Link
US (1) US7589950B2 (en)
JP (1) JP3159306U (en)
KR (1) KR20090011307U (en)
CN (1) CN201436680U (en)
TW (1) TWI373092B (en)
WO (1) WO2008048518A1 (en)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7589950B2 (en) 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
JP2010012591A (en) * 2008-06-30 2010-01-21 Semes Co Ltd Substrate support unit, single substrate polishing apparatus utilizing the unit, and substrate polishing method utilizing the apparatus
US7697260B2 (en) 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
JP2010219354A (en) * 2009-03-17 2010-09-30 Tokyo Electron Ltd Mounting stand structure and plasma film forming device
RU2486631C2 (en) * 2008-12-25 2013-06-27 Улвак, Инк. Method for manufacturing wafer holder to use it in electrostatic wafer chuck
US9969022B2 (en) 2010-09-28 2018-05-15 Applied Materials, Inc. Vacuum process chamber component and methods of making
WO2018097888A1 (en) * 2016-11-25 2018-05-31 Applied Materials, Inc. Ceramic electrostatic chuck having a v-shaped seal band
EP3566858A1 (en) * 2018-05-07 2019-11-13 ENGEL AUSTRIA GmbH Device for handling and local fixing
US11384838B2 (en) 2016-09-09 2022-07-12 Applied Materials, Inc. Seal member

Families Citing this family (109)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1635388A4 (en) * 2003-06-17 2009-10-21 Creative Tech Corp Dipolar electrostatic chuck
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
JP2010021510A (en) * 2008-06-13 2010-01-28 Canon Anelva Corp Substrate support device and plasma processing apparatus
US8194384B2 (en) * 2008-07-23 2012-06-05 Tokyo Electron Limited High temperature electrostatic chuck and method of using
JP5518071B2 (en) * 2008-08-19 2014-06-11 ラム リサーチ コーポレーション Edge ring for electrostatic chuck
US8597448B2 (en) 2009-12-29 2013-12-03 Novellus Systems, Inc. Electrostatic chucks and methods for refurbishing same
US9719169B2 (en) * 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
WO2012166256A1 (en) 2011-06-02 2012-12-06 Applied Materials, Inc. Electrostatic chuck aln dielectric repair
US10242890B2 (en) * 2011-08-08 2019-03-26 Applied Materials, Inc. Substrate support with heater
JP5303054B2 (en) * 2011-09-14 2013-10-02 住友精密工業株式会社 Metal filling equipment
KR101286724B1 (en) * 2011-10-17 2013-07-18 (주)제니스월드 Electrostatic Chuck include split embossing structure
US9869392B2 (en) 2011-10-20 2018-01-16 Lam Research Corporation Edge seal for lower electrode assembly
US9859142B2 (en) 2011-10-20 2018-01-02 Lam Research Corporation Edge seal for lower electrode assembly
US8789743B2 (en) * 2011-11-30 2014-07-29 Component Re-Engineering Company, Inc. Hermetically joined ceramic assemblies and low temperature method for hermetically joining ceramic materials
JP2013171901A (en) * 2012-02-20 2013-09-02 Ulvac Japan Ltd Substrate holding device with electrostatic chuck
US10537013B2 (en) 2012-04-23 2020-01-14 Applied Materials, Inc. Distributed electro-static chuck cooling
US20130276980A1 (en) * 2012-04-23 2013-10-24 Dmitry Lubomirsky Esc with cooling base
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
CN104626039A (en) * 2013-11-13 2015-05-20 旺宏电子股份有限公司 Loading and unloading jig
US10391526B2 (en) * 2013-12-12 2019-08-27 Lam Research Corporation Electrostatic chuck cleaning fixture
US10090211B2 (en) 2013-12-26 2018-10-02 Lam Research Corporation Edge seal for lower electrode assembly
US9613783B2 (en) * 2014-07-24 2017-04-04 Applied Materials, Inc. Method and apparatus for controlling a magnetic field in a plasma chamber
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10008404B2 (en) * 2014-10-17 2018-06-26 Applied Materials, Inc. Electrostatic chuck assembly for high temperature processes
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) * 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
TWI613753B (en) * 2015-02-16 2018-02-01 Improved seal for electrostatically adsorbing the side wall of the retainer
US10008399B2 (en) 2015-05-19 2018-06-26 Applied Materials, Inc. Electrostatic puck assembly with metal bonded backing plate for high temperature processes
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
CN105299023B (en) * 2015-12-10 2017-09-29 北京中电科电子装备有限公司 A kind of vacuum ceramic sucker
KR20180112794A (en) * 2016-01-22 2018-10-12 어플라이드 머티어리얼스, 인코포레이티드 Ceramic shower head with conductive layers embedded
US10249526B2 (en) * 2016-03-04 2019-04-02 Applied Materials, Inc. Substrate support assembly for high temperature processes
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11069553B2 (en) * 2016-07-07 2021-07-20 Lam Research Corporation Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
JP6778553B2 (en) * 2016-08-31 2020-11-04 株式会社日本製鋼所 Atomic layer growth device and atomic layer growth method
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US20180122679A1 (en) * 2016-10-28 2018-05-03 Applied Materials, Inc. Stress balanced electrostatic substrate carrier with contacts
JP6296189B1 (en) * 2016-10-31 2018-03-20 日新イオン機器株式会社 Heating equipment, semiconductor manufacturing equipment
US11621180B2 (en) 2016-10-31 2023-04-04 Nissin Ion Equipment Co., Ltd. Heating device
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US9947517B1 (en) * 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10910195B2 (en) 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
JP6960737B2 (en) * 2017-01-23 2021-11-05 株式会社日立ハイテク Vacuum processing equipment
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US10654147B2 (en) * 2017-10-17 2020-05-19 Applied Materials, Inc. Polishing of electrostatic substrate support geometries
CN107808848A (en) * 2017-11-28 2018-03-16 北京北方华创微电子装备有限公司 Electrostatic chuck and semiconductor equipment
US11149345B2 (en) * 2017-12-11 2021-10-19 Applied Materials, Inc. Cryogenically cooled rotatable electrostatic chuck
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11915850B2 (en) 2017-12-20 2024-02-27 Applied Materials, Inc. Two channel cosine-theta coil assembly
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10766057B2 (en) * 2017-12-28 2020-09-08 Micron Technology, Inc. Components and systems for cleaning a tool for forming a semiconductor device, and related methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (en) 2018-02-28 2022-06-01 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US11353368B2 (en) * 2018-05-22 2022-06-07 Watlow Electric Manufacturing Company Fiber optic probe with dual sealing and compression element
US10790123B2 (en) 2018-05-28 2020-09-29 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10411448B1 (en) * 2018-08-20 2019-09-10 Siemens Industry, Inc. Ring assembly of radially-concentric rings with quick fastening mechanism to detachably connect such rings to one another
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11293551B2 (en) 2018-09-30 2022-04-05 ColdQuanta, Inc. Break-seal system with breakable-membrane bridging rings
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11101115B2 (en) 2019-04-19 2021-08-24 Applied Materials, Inc. Ring removal from processing chamber
US11887878B2 (en) 2019-06-28 2024-01-30 Applied Materials, Inc. Detachable biasable electrostatic chuck for high temperature applications
USD893441S1 (en) 2019-06-28 2020-08-18 Applied Materials, Inc. Base plate for a processing chamber substrate support
US20210035767A1 (en) * 2019-07-29 2021-02-04 Applied Materials, Inc. Methods for repairing a recess of a chamber component
WO2021025809A1 (en) * 2019-08-02 2021-02-11 Applied Materials, Inc. Pedestal with multi-zone heating
CN110767578A (en) * 2019-10-31 2020-02-07 上海华力集成电路制造有限公司 Method and tool for preventing maintenance of semiconductor etching equipment
JP7341043B2 (en) * 2019-12-06 2023-09-08 東京エレクトロン株式会社 Substrate processing method and substrate processing apparatus
CN111128845B (en) * 2019-12-16 2022-10-21 北京北方华创微电子装备有限公司 Tray applied to thin film deposition device
JP2021118249A (en) * 2020-01-24 2021-08-10 東京エレクトロン株式会社 Plasma processing apparatus
KR20230004790A (en) 2020-04-29 2023-01-06 어플라이드 머티어리얼스, 인코포레이티드 Heater cover plate for improved uniformity
JP2022068644A (en) * 2020-10-22 2022-05-10 東京エレクトロン株式会社 Contact position adjustment method for lift pin, contact position detection method for lift pin, and substrate mounting mechanism
USD947914S1 (en) 2020-11-23 2022-04-05 Applied Materials, Inc. Base plate for a processing chamber substrate support
CN115241114B (en) * 2022-08-17 2023-10-10 常熟市兆恒众力精密机械有限公司 Crystal disc clamp

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5625526A (en) * 1993-06-01 1997-04-29 Tokyo Electron Limited Electrostatic chuck
EP0790641A1 (en) * 1996-02-16 1997-08-20 Novellus Systems, Inc. Wafer cooling device
US20020075624A1 (en) * 1999-05-07 2002-06-20 Applied Materials, Inc. Electrostatic chuck bonded to base with a bond layer and method
US20060002053A1 (en) * 2004-03-31 2006-01-05 Applied Materials, Inc. Detachable electrostatic chuck for supporting a substrate in a process chamber

Family Cites Families (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3586343A (en) * 1969-04-21 1971-06-22 Giddings & Lewis Tool-orienting arrangement
US4180723A (en) * 1977-03-28 1979-12-25 Corning Glass Works Electrical contacts for electrically conductive carbon glasses
US4184188A (en) * 1978-01-16 1980-01-15 Veeco Instruments Inc. Substrate clamping technique in IC fabrication processes
US4384918A (en) * 1980-09-30 1983-05-24 Fujitsu Limited Method and apparatus for dry etching and electrostatic chucking device used therein
AU570439B2 (en) 1983-03-28 1988-03-17 Compression Labs, Inc. A combined intraframe and interframe transform coding system
JPS6131636U (en) * 1984-07-31 1986-02-26 株式会社 徳田製作所 electrostatic chuck
DE3744589C1 (en) * 1987-12-31 1988-12-29 Albrecht Josef Bohrfutter Retensioning chuck
EP0493089B1 (en) * 1990-12-25 1998-09-16 Ngk Insulators, Ltd. Wafer heating apparatus and method for producing the same
JPH04238882A (en) 1991-01-10 1992-08-26 Denki Kagaku Kogyo Kk High-temperature insulated article
US5155652A (en) * 1991-05-02 1992-10-13 International Business Machines Corporation Temperature cycling ceramic electrostatic chuck
US5191506A (en) * 1991-05-02 1993-03-02 International Business Machines Corporation Ceramic electrostatic chuck
US6074512A (en) * 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US5213349A (en) * 1991-12-18 1993-05-25 Elliott Joe C Electrostatic chuck
US5376213A (en) * 1992-07-28 1994-12-27 Tokyo Electron Limited Plasma processing apparatus
US5600530A (en) * 1992-08-04 1997-02-04 The Morgan Crucible Company Plc Electrostatic chuck
US5460684A (en) * 1992-12-04 1995-10-24 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
US5478429A (en) * 1993-01-20 1995-12-26 Tokyo Electron Limited Plasma process apparatus
JP2749759B2 (en) * 1993-06-23 1998-05-13 信越化学工業株式会社 Ceramic heater with electrostatic chuck
US5700342A (en) * 1993-06-30 1997-12-23 Simmonds Precision Products Inc. Composite enclosure for electronic hardware
TW277139B (en) * 1993-09-16 1996-06-01 Hitachi Seisakusyo Kk
KR100264445B1 (en) * 1993-10-04 2000-11-01 히가시 데쓰로 Plasma treatment equipment
US5527584A (en) * 1993-10-19 1996-06-18 Hexcel Corporation High thermal conductivity triaxial non-metallic honeycomb
JPH07307377A (en) * 1993-12-27 1995-11-21 Shin Etsu Chem Co Ltd Ceramic heater with electrostatic chuck
WO1995020838A1 (en) * 1994-01-31 1995-08-03 Applied Materials, Inc. Electrostatic chuck with conformal insulator film
US5822171A (en) * 1994-02-22 1998-10-13 Applied Materials, Inc. Electrostatic chuck with improved erosion resistance
US5729423A (en) * 1994-01-31 1998-03-17 Applied Materials, Inc. Puncture resistant electrostatic chuck
US5581874A (en) * 1994-03-28 1996-12-10 Tokyo Electron Limited Method of forming a bonding portion
JP2720420B2 (en) * 1994-04-06 1998-03-04 キヤノン販売株式会社 Film formation / etching equipment
US5671116A (en) * 1995-03-10 1997-09-23 Lam Research Corporation Multilayered electrostatic chuck and method of manufacture thereof
JP3485390B2 (en) 1995-07-28 2004-01-13 京セラ株式会社 Electrostatic chuck
US5886863A (en) * 1995-05-09 1999-03-23 Kyocera Corporation Wafer support member
US5870100A (en) * 1995-11-22 1999-02-09 Compaq Computer Corporation Filling of graphical regions
US5796074A (en) * 1995-11-28 1998-08-18 Applied Materials, Inc. Wafer heater assembly
US6108189A (en) * 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US5720818A (en) * 1996-04-26 1998-02-24 Applied Materials, Inc. Conduits for flow of heat transfer fluid to the surface of an electrostatic chuck
US5958813A (en) * 1996-11-26 1999-09-28 Kyocera Corporation Semi-insulating aluminum nitride sintered body
US5886864A (en) * 1996-12-02 1999-03-23 Applied Materials, Inc. Substrate support member for uniform heating of a substrate
US5879100A (en) * 1996-12-11 1999-03-09 Winkler; John Coupling element for hand lever mechanism
GB2325939B (en) * 1997-01-02 2001-12-19 Cvc Products Inc Thermally conductive chuck for vacuum processor
US5818693A (en) * 1997-01-09 1998-10-06 Thermal Corp. Heat dissipating computer case having oriented fibers and heat pipe
JP4022954B2 (en) * 1997-01-29 2007-12-19 ソニー株式会社 COMPOSITE MATERIAL AND ITS MANUFACTURING METHOD, SUBSTRATE TREATING APPARATUS AND ITS MANUFACTURING METHOD, SUBSTRATE MOUNTING STAGE AND ITS MANUFACTURING METHOD, AND SUBSTRATE TREATING METHOD
US5737178A (en) * 1997-03-06 1998-04-07 Applied Materials, Inc. Monocrystalline ceramic coating having integral bonding interconnects for electrostatic chucks
JPH10270540A (en) 1997-03-26 1998-10-09 Nippon Cement Co Ltd Electrostatic chuck device and electrostatic chuck base
US5994662A (en) * 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
US5978202A (en) * 1997-06-27 1999-11-02 Applied Materials, Inc. Electrostatic chuck having a thermal transfer regulator pad
US6034863A (en) * 1997-11-12 2000-03-07 Applied Materials, Inc. Apparatus for retaining a workpiece in a process chamber within a semiconductor wafer processing system
JPH11163109A (en) 1997-12-01 1999-06-18 Kyocera Corp Wafer holding device
JPH11176919A (en) 1997-12-08 1999-07-02 Sony Corp Electrostatic chuck
US5968747A (en) * 1997-12-12 1999-10-19 Incyte Pharmaceuticals, Inc. Ubiquitin-like conjugating protein
EP0948042A1 (en) * 1998-03-06 1999-10-06 VenTec Gesellschaft für Venturekapital und Unternehmensberatung Electrostatic device for clamping wafers and other parts
US6081414A (en) * 1998-05-01 2000-06-27 Applied Materials, Inc. Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system
US6072685A (en) * 1998-05-22 2000-06-06 Applied Materials, Inc. Electrostatic chuck having an electrical connector with housing
JPH11354504A (en) * 1998-06-08 1999-12-24 Sony Corp Glass substrate processor
US6159055A (en) * 1998-07-31 2000-12-12 Applied Materials, Inc. RF electrode contact assembly for a detachable electrostatic chuck
US5949650A (en) * 1998-09-02 1999-09-07 Hughes Electronics Corporation Composite heat sink/support structure
US5986747A (en) 1998-09-24 1999-11-16 Applied Materials, Inc. Apparatus and method for endpoint detection in non-ionizing gaseous reactor environments
US6219219B1 (en) * 1998-09-30 2001-04-17 Applied Materials, Inc. Cathode assembly containing an electrostatic chuck for retaining a wafer in a semiconductor wafer processing system
US6228173B1 (en) * 1998-10-12 2001-05-08 Tokyo Electron Limited Single-substrate-heat-treating apparatus for semiconductor process system
US6181049B1 (en) * 1999-02-12 2001-01-30 General Atomics Multiple cell thermionic converter having apertured tubular intercell connectors
US6094334A (en) * 1999-03-02 2000-07-25 Applied Materials, Inc. Polymer chuck with heater and method of manufacture
US20020036881A1 (en) * 1999-05-07 2002-03-28 Shamouil Shamouilian Electrostatic chuck having composite base and method
US6310755B1 (en) * 1999-05-07 2001-10-30 Applied Materials, Inc. Electrostatic chuck having gas cavity and method
US6462928B1 (en) * 1999-05-07 2002-10-08 Applied Materials, Inc. Electrostatic chuck having improved electrical connector and method
US6503572B1 (en) * 1999-07-23 2003-01-07 M Cubed Technologies, Inc. Silicon carbide composites and methods for making same
US6740853B1 (en) * 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
US6490144B1 (en) * 1999-11-29 2002-12-03 Applied Materials, Inc. Support for supporting a substrate in a process chamber
KR20010111058A (en) * 2000-06-09 2001-12-15 조셉 제이. 스위니 Full area temperature controlled electrostatic chuck and method of fabricating same
WO2002009155A2 (en) * 2000-07-10 2002-01-31 Temptronic Corporation Wafer chuck having with interleaved heating and cooling elements
US6563686B2 (en) * 2001-03-19 2003-05-13 Applied Materials, Inc. Pedestal assembly with enhanced thermal conductivity
US6506291B2 (en) * 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
US6503131B1 (en) * 2001-08-16 2003-01-07 Applied Materials, Inc. Integrated platen assembly for a chemical mechanical planarization system
US6538872B1 (en) * 2001-11-05 2003-03-25 Applied Materials, Inc. Electrostatic chuck having heater and method
JP3989254B2 (en) * 2002-01-25 2007-10-10 日本碍子株式会社 Dissimilar material joined body and manufacturing method thereof
US6646233B2 (en) * 2002-03-05 2003-11-11 Hitachi High-Technologies Corporation Wafer stage for wafer processing apparatus and wafer processing method
US7029529B2 (en) * 2002-09-19 2006-04-18 Applied Materials, Inc. Method and apparatus for metallization of large area substrates
TW200612512A (en) * 2004-06-28 2006-04-16 Ngk Insulators Ltd Substrate heating sapparatus
US7589950B2 (en) 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5625526A (en) * 1993-06-01 1997-04-29 Tokyo Electron Limited Electrostatic chuck
EP0790641A1 (en) * 1996-02-16 1997-08-20 Novellus Systems, Inc. Wafer cooling device
US20020075624A1 (en) * 1999-05-07 2002-06-20 Applied Materials, Inc. Electrostatic chuck bonded to base with a bond layer and method
US20060002053A1 (en) * 2004-03-31 2006-01-05 Applied Materials, Inc. Detachable electrostatic chuck for supporting a substrate in a process chamber

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7697260B2 (en) 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
US7907384B2 (en) 2004-03-31 2011-03-15 Applied Materials, Inc. Detachable electrostatic chuck for supporting a substrate in a process chamber
US7589950B2 (en) 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
JP2010012591A (en) * 2008-06-30 2010-01-21 Semes Co Ltd Substrate support unit, single substrate polishing apparatus utilizing the unit, and substrate polishing method utilizing the apparatus
US8113918B2 (en) 2008-06-30 2012-02-14 Semes Co., Ltd. Substrate supporting unit and single type substrate polishing apparatus using the same
RU2486631C2 (en) * 2008-12-25 2013-06-27 Улвак, Инк. Method for manufacturing wafer holder to use it in electrostatic wafer chuck
JP2010219354A (en) * 2009-03-17 2010-09-30 Tokyo Electron Ltd Mounting stand structure and plasma film forming device
US8592712B2 (en) 2009-03-17 2013-11-26 Tokyo Electron Limited Mounting table structure and plasma film forming apparatus
US9969022B2 (en) 2010-09-28 2018-05-15 Applied Materials, Inc. Vacuum process chamber component and methods of making
US11384838B2 (en) 2016-09-09 2022-07-12 Applied Materials, Inc. Seal member
WO2018097888A1 (en) * 2016-11-25 2018-05-31 Applied Materials, Inc. Ceramic electrostatic chuck having a v-shaped seal band
US10943808B2 (en) 2016-11-25 2021-03-09 Applied Materials, Inc. Ceramic electrostatic chuck having a V-shape seal band
EP3566858A1 (en) * 2018-05-07 2019-11-13 ENGEL AUSTRIA GmbH Device for handling and local fixing

Also Published As

Publication number Publication date
KR20090011307U (en) 2009-11-04
US7589950B2 (en) 2009-09-15
JP3159306U (en) 2010-05-20
US20080089001A1 (en) 2008-04-17
TW200824031A (en) 2008-06-01
TWI373092B (en) 2012-09-21
CN201436680U (en) 2010-04-07

Similar Documents

Publication Publication Date Title
US7589950B2 (en) Detachable electrostatic chuck having sealing assembly
JP6689937B2 (en) Vacuum process chamber components and manufacturing method
US7480129B2 (en) Detachable electrostatic chuck for supporting a substrate in a process chamber
US6853533B2 (en) Full area temperature controlled electrostatic chuck and method of fabricating same
CN102160167B (en) Electrostatic chuck assembly
CN103081088B (en) The method of electrostatic chuck and use electrostatic chuck
CN101383317B (en) Substrate lifting assembly and substrate processing device comprising the same
KR100974130B1 (en) Substrate support having brazed plates and heater
KR100907848B1 (en) High-temperature electrostatic chuck
US9358702B2 (en) Temperature management of aluminium nitride electrostatic chuck
EP1898457A1 (en) Substrate support with a protective layer for plasma resistance
US6583980B1 (en) Substrate support tolerant to thermal expansion stresses

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200790000096.5

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 07852741

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2009600032

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 2020097000009

Country of ref document: KR

122 Ep: pct application non-entry in european phase

Ref document number: 07852741

Country of ref document: EP

Kind code of ref document: A1