WO2010019441A1 - Nonvolatile nanotube programmable logic devices and field programmable gate array - Google Patents

Nonvolatile nanotube programmable logic devices and field programmable gate array Download PDF

Info

Publication number
WO2010019441A1
WO2010019441A1 PCT/US2009/052977 US2009052977W WO2010019441A1 WO 2010019441 A1 WO2010019441 A1 WO 2010019441A1 US 2009052977 W US2009052977 W US 2009052977W WO 2010019441 A1 WO2010019441 A1 WO 2010019441A1
Authority
WO
WIPO (PCT)
Prior art keywords
terminal
nonvolatile nanotube
nanotube
nonvolatile
switch
Prior art date
Application number
PCT/US2009/052977
Other languages
French (fr)
Inventor
Claude L. Bertin
Brent M. Segal
Original Assignee
Nantero, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nantero, Inc. filed Critical Nantero, Inc.
Publication of WO2010019441A1 publication Critical patent/WO2010019441A1/en

Links

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0021Auxiliary circuits
    • G11C13/0069Writing or programming circuits or methods
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0004Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements comprising amorphous/crystalline phase transition cells
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0007Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements comprising metal oxide memory material, e.g. perovskites
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0021Auxiliary circuits
    • G11C13/004Reading or sensing circuits or methods
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0021Auxiliary circuits
    • G11C13/0061Timing circuits or methods
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/02Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using elements whose operation depends upon chemical change
    • G11C13/025Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using elements whose operation depends upon chemical change using fullerenes, e.g. C60, or nanotubes, e.g. carbon or silicon nanotubes
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C23/00Digital stores characterised by movement of mechanical parts to effect storage, e.g. using balls; Storage elements therefor
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/02Detection or location of defective auxiliary circuits, e.g. defective refresh counters
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/02Detection or location of defective auxiliary circuits, e.g. defective refresh counters
    • G11C29/021Detection or location of defective auxiliary circuits, e.g. defective refresh counters in voltage or current generators
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/02Detection or location of defective auxiliary circuits, e.g. defective refresh counters
    • G11C29/023Detection or location of defective auxiliary circuits, e.g. defective refresh counters in clock generator or timing circuitry
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/02Detection or location of defective auxiliary circuits, e.g. defective refresh counters
    • G11C29/028Detection or location of defective auxiliary circuits, e.g. defective refresh counters with adaption or trimming of parameters
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/02Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components
    • H03K19/173Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components
    • H03K19/177Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components arranged in matrix form
    • H03K19/17724Structural details of logic blocks
    • H03K19/17728Reconfigurable logic blocks, e.g. lookup tables
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/02Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components
    • H03K19/173Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components
    • H03K19/177Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components arranged in matrix form
    • H03K19/17736Structural details of routing resources
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/02Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components
    • H03K19/173Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components
    • H03K19/177Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components arranged in matrix form
    • H03K19/17748Structural details of configuration resources
    • H03K19/1776Structural details of configuration resources for memories
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/02Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components
    • H03K19/173Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components
    • H03K19/177Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components arranged in matrix form
    • H03K19/1778Structural details for adapting physical parameters
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/02Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components
    • H03K19/173Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components
    • H03K19/177Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components arranged in matrix form
    • H03K19/1778Structural details for adapting physical parameters
    • H03K19/17796Structural details for adapting physical parameters for physical disposition of blocks
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0021Auxiliary circuits
    • G11C13/004Reading or sensing circuits or methods
    • G11C2013/0042Read using differential sensing, e.g. bit line [BL] and bit line bar [BLB]
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0021Auxiliary circuits
    • G11C13/004Reading or sensing circuits or methods
    • G11C2013/0054Read is performed on a reference element, e.g. cell, and the reference sensed value is used to compare the sensed value of the selected cell
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2213/00Indexing scheme relating to G11C13/00 for features not covered by this group
    • G11C2213/30Resistive cell, memory material aspects
    • G11C2213/35Material including carbon, e.g. graphite, grapheme
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2213/00Indexing scheme relating to G11C13/00 for features not covered by this group
    • G11C2213/70Resistive array aspects
    • G11C2213/82Array having, for accessing a cell, a word line, a bit line and a plate or source line receiving different potentials
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/902Specified use of nanostructure
    • Y10S977/932Specified use of nanostructure for electronic or optoelectronic application
    • Y10S977/94Specified use of nanostructure for electronic or optoelectronic application in a logic circuit

Definitions

  • the present application is generally related to the field of field programmable devices (FPDs), also referred to as programmable logic devices (PLDs), and, more specifically, to logic circuits formed from nanotube devices.
  • FPDs field programmable devices
  • PLDs programmable logic devices
  • Field programmable devices have grown rapidly because integrated circuits for a wide variety of product applications in a competitive environment require fast time-to-market for new designs and low (or zero) non-recurring engineering cost (NRE) and low fabrication cost. Low power is a requirement for most applications as is portability so conservation of battery power is a requirement and nonvolatile operation is advantageous. Also, integration levels (more function) are increasing rapidly as is the requirement for high performance chips with large logic capacity and field programmability that are in-circuit programmable (in-place in the package without requiring sockets).
  • Field programmable devices are also sometimes referred to as programmable logic devices (PLDs) and the terms FPD and PLD are used interchangeably throughout the application.
  • Block diagram 100 illustrated in Figure 1 shows simple programmable logic devices (SPLDs) with a smaller number of equivalent logic gates with thousands or tens of thousands of equivalent logic gates; complex programmable logic devices (CPLDs) that combine multiple SPLDs with programmable wiring (routing) for a higher number of equivalent logic gates such as tens to hundreds of thousands of equivalent logic gates; and field programmable gate arrays (FPGAs) with a large number of equivalent logic gates in the range of millions to tens of million of equivalent logic gates for example and into the hundreds of millions of equivalent logic gates for denser scaled future FPGA chips.
  • SPLDs Simple Programmable Logic Devices
  • PROMs Programmable read-only memories
  • Such chips were used to store code for system startup (BIOS), algorithms, and other functions for example.
  • Simple logic functions can also be performed using PROMs in which address lines can be used as logic circuit inputs and data lines as outputs.
  • logic functions typically do not require many product terms but a PROM contains a full decoder for its address inputs.
  • PROMs are an inefficient architecture for programmable logic function and are rarely used for this purpose and are therefore not included in block diagram 100.
  • the first SPLD device developed for implementing a field-programmable logic array (FPLA) or PLA for short consisted of two arrays for storing two levels of equivalent logic gates.
  • a first AND array (or AND-plane) is structured such that any of the AND array inputs or complements of the inputs can be AND'ed together and each AND-array output corresponds to any product term of inputs to the AND array. These product term outputs of the AND array become inputs to a second OR array.
  • OR array outputs can be configured to produce any logical sum of any of the product terms (AND-array outputs) and implements logic functions in sum-of-products form.
  • the PLA architecture is far better for generating logic functions than a PROM because both the AND and OR array terms can have many inputs.
  • Figure 2 illustrates a schematic of PLA 200 including programmable AND array 210 and programmable OR array 220.
  • Inputs 225 to input drivers 230 result in logic functions A, B-C, ....,Dc logic inputs to programmable AND array 210.
  • Programmable AND array 210 forms product terms based on the inputs and on the state of nonvolatile bits at the intersection of input lines A, B-C, ...., Dc and provides product terms PTi, PT 2 , ...., PT M as inputs to Programmable OR array 220.
  • Programmable OR array 220 forms sum-of-products (or product terms) outputs O 1 , O 2 , ..., O N based on product terms inputs and the state of nonvolatile bits at the intersection of product terms PTi, PT 2 , ...., PT M and OR array output lines O 1 , O 2 ,..., O N , which are sent to output drivers 240.
  • Output drivers 240 may be conventional drivers, or may include additional logic function such as XOR and may also include flip flops such as D-flip flops for example.
  • Output drivers 240 drive outputs 245 which is the logic response to inputs 225 based on the ON or OFF bit states of individual nonvolatile bits in the AND and OR arrays.
  • output driver 240 drives feedback loop 250 which supplies output logic response to input drivers 230.
  • output lines 245 may be included in feedback loop 250.
  • inputs 225 of PLA 200 result in logic outputs 245 based on the ON and OFF states of devices, such as EPROMs for example, located at the intersection of input lines such as A, B-C, ...., Dc and product term lines PTi, PT 2 , ...., PT M in electrically programmable AND array 210 and the intersection of PTi, PT 2 , ...., PT M and outputs Oi , O 2 , ...., O N in programmable OR array 220.
  • devices such as EPROMs for example, located at the intersection of input lines such as A, B-C, ...., Dc and product term lines PTi, PT 2 , ...., PT M in electrically programmable AND array 210 and the intersection of PTi, PT 2 , ...., PT M and outputs Oi , O 2 , ....
  • PLAs such as PLA 200 described further above are the earliest examples of simple SPLDs introduced in the early 1970's.
  • PLAs using mask programmable AND arrays, OR arrays, and feedback loops in a fabricator were successfully used by IBM in many applications for over a decade.
  • field programmable PLAs with two memory arrays memory planes
  • field programmable PLAs were difficult to manufacture and introduced significant propagation delays.
  • PAL programmable array logic
  • Figure 3 illustrates PAL 300 schematic implementation with an electrically programmable AND array 310 that includes nonvolatile nodes 320 and 325 programmed to an ON state, wherein essentially orthogonal programmable AND array lines are electrically coupled, or fused, together (said electrical coupling indicated by an open circle). Intersections of essentially orthogonal programmable AND array lines without circles are in a nonvolatile OFF state, wherein said lines are electrically isolated.
  • Programmable AND array 310 may be formed using one-time-programmable EPROM devices for example. Programmable AND array 310 may be programmed once in the field. If the logic function needs to be changed, a new PAL chip is programmed in the field.
  • PAL 300 inputs A and B form column logic inputs A, Ac, B, and Bc to programmable AND array 310, where Ac indicates the complement of logic variable A and Bc indicates the complement of logic variable B.
  • the complement of a logic variable such as logic variable A may be indicated symbolically by Ac or A'. Both symbolical representations for the complement of a logic variable are used interchangeably throughout the specification.
  • Feedback loop 330 provides inputs C and D which form programmable AND array column logic inputs C, Cc, D, and Dc.
  • Product terms 335-1 and 335-2 form two outputs of programmable AND array 310 and provide inputs to OR logic gate 340.
  • the OR logic gates are not programmable.
  • Product terms 335-3 and 335-4 form another two outputs of programmable AND array 310 and provide inputs to OR logic gate 345.
  • OR-gate 340 provides a sum-of-products (or sum-of-product- terms) output to the input of D-flip flop 350 and OR-gate 345 provides a sum-of-products output to the input of D-flip flop 355.
  • D-flip flop 350 provides output Oi which is connected to input C by feedback loop 330 and D-flip flop 355 provides output O 2 which is connected to input D by feedback loop 330.
  • inputs A and B to PAL 300 result in logic outputs Oi and O 2 based on the ON and OFF states of devices, such as EPROMs for example, located at the intersection of input lines and product term lines in electrically programmable AND array 310.
  • devices such as EPROMs for example, located at the intersection of input lines and product term lines in electrically programmable AND array 310. Details of PAL operation are well known in the literature and are available in product specifications.
  • CPLDs Complex Programmable Logic Devices
  • CPLDs consist of multiple SPLD-like blocks interconnected on a single chip, typically by a programmable global interconnect matrix resulting in a field programmable logic function that is substantially more powerful than is possible with even large individual SPLD functions and represents a category of programmable logic devices (PLDs) as shown in Figure 1.
  • PLDs programmable logic devices
  • the difficulty of increasing capacity of a single SPLD architecture is that the array size of the programmable logic-arrays are driven to large dimensions as the number of inputs increase. Therefore as technologies are scaled to smaller dimensions and the number of transistors available on chips increases, it becomes more efficient to limit the size of SPLDs and to interconnect multiple SPLDs with a programmable global interconnect matrix.
  • Figure 4 illustrates a schematic of CPLD 400 architecture formed using four SPLD functions, SPLD 410, SPLD 420, SPLD 430, and SPLD 440.
  • electronically programmable SPLD functions may be formed using electronically programmable PALs similar to PAL 300 illustrated in Figure 3. While four interconnected electronically programmable SPLD functions are illustrated in Figure 4, dozens of interconnected SPLDs may be used to form a large flexible in- circuit programmable logic function. All connections between SPLDs, in this example PALs similar to PAL 300 described further above with respect to Figure 3, are routed (wired) through global interconnect matrix 450.
  • all communication between SPLD 410 and all other SPLDs used to form CPLD 400 are routed to global interconnect matrix 450 using wire(s) 410-1 and received from global interconnect matrix 450 using wire(s) 410-2.
  • All communication between SPLD 420 and all other SPLDs used to form CPLD 400 flow are routed to global interconnect matrix 450 using wire(s) 420-1 and received from global interconnect matrix 450 using wire(s) 420-2.
  • All communication between SPLD 430 and all other SPLDs used to form CPLD 400 flow are routed to global interconnect matrix 450 using wire(s) 430-1 and received from global interconnect matrix 450 using wire(s) 430-2.
  • FPGAs were invented by Ross Freeman, co founder of the Xilinx Corporation, in 1984 to overcome the limitations of CPLDs.
  • the primary differences between CPLDs and FPGAs are due to differences in chip architecture.
  • CPLD architecture consists primarily of programmable sum-of-products logic arrays with a relatively small number of clocked registers (D-flip flops for example) interconnected by a global interconnect matrix as illustrated further above by CPLD 400 shown in Figure 4.
  • CPLDs typically have relatively high logic-to-interconnect ratios. The result is less architectural flexibility and smaller logic functions (typically limited to tens to hundreds of thousands of equivalent logic gates) but more predictable timing delays and greater ease of programming.
  • FPGA architectures are dominated by interconnects. FPGAs are therefore much more flexible in terms of the range of designs that can be implemented and logic functions in the millions and tens of millions and eventually in the hundreds of millions of equivalent logic gates may be realized. In addition, the added flexibility enables inclusion of higher-level embedded functions such adders, multipliers, CPUs, and memory.
  • the added interconnect (routing) flexibility of FPGAs also enables partial reconfiguration such that one portion of an FPGA chip may be reprogrammed while other portions are running. FPGAs that can be reprogrammed while running may enable reconfigurable computing (reconfigurable systems) that reconfigure chip architecture to better implement logic tasks.
  • FPGA architecture is shown in Figure 1 alongside SPLD and CPLD as a stand-alone category of programmable logic device architecture.
  • FPGA architecture and circuit implementations are described in US Patent Re. 34,363 to Freeman, filed on Jun. 24, 1991, and SRAM memory controlled routing switch circuit implementations are described in US Patent 4,670,749 to Freeman, filed on Apr. 13, 1984, the contents of which are incorporated herein by reference in their entirety.
  • FPGA 500 (as shown in Figure 5) schematically illustrates basic concepts taught by Freeman in the above referenced patents by Freeman.
  • FPGA 500 includes an array of configurable (programmable) logic blocks (CLBs) such as CLB 510 and programmable switch matrices (PSMs) such as PSM 520. Interconnections between CLBs and PSMs may be relatively short to provide local wiring (such as interconnect 530) or relatively long to provide global wiring (not shown).
  • a programmable switch (routing) matrix PSMl interconnecting four CLB blocks CLBl, CLB2, CLB3, and CLB4 is illustrated in Figure 5.
  • switch 540 one of the switches in PSMl, may be used to interconnect CLBl, CLB2, CLB3, and CLB4 in any combination.
  • CLBs are typically formed by combining look up tables (LUTs) with flip flops and multiplexers as illustrated schematically by CLB 600 in Figure 6.
  • CLBs may be formed by combining combinatorial logic with flip flops and multiplexers as illustrated by CLB 700 in Figure 7.
  • CLB 600 comprises LUT 610 with inputs I 1 , 1 2 , ..., I N - LUT 610 may be a random access memory (RAM) such as an SRAM, an EPROM, an EEPROM, or a flash memory.
  • RAM random access memory
  • a typical LUT configuration may be a RAM organized in a 4x4x1 configuration with four inputs and one output.
  • the LUT 610 output drives the input of clocked D-flip flop 620 which in turn drives an input of multiplexer (MUX) 630.
  • MUX multiplexer
  • the LUT 610 output may also drive an input of MUX 630 directly.
  • MUX 630 drives (provides) CLB 600 output to terminal O.
  • CLB 700 includes configurable combinatorial logic function 710 with inputs I 1 , 1 2 , ..., I N - Configurable combinatorial logic function 710 may be formed using cascaded transfer devices or random logic blocks such as NAND and NOR functions for example. Configurable combinatorial logic function 710 formed using NanoLogicTM functions may also be used as described further below in Figures 12 and 14. Typical configurable combinatorial logic function 710 may be formed using cascaded transfer devices and configuration control bits or random logic blocks and configuration control bits. In this example, the configurable combinatorial logic function 710 output drives the input of clocked D-flip flop 720 which in turn drives an input of MUX 730.
  • the configurable combinatorial logic function 710 output may also drive an input of MUX 730 directly.
  • MUX 730 drives (provides) CLB 700 output to terminal O.
  • FIG 8 illustrates FPGA 800 and shows an example of a static ram (SRAM) controlled routing of signals between various CLBs enabling an in-circuit programmable logic function.
  • CLB 810 includes an AND gate with inputs Ii and I 2 and an output Oi which is provided to PSM 812 which includes FET 815 whose ON or OFF states are controlled by SRAM 820.
  • FET 815 terminal 1 is connected to output Oi
  • gate terminal 2 is connected to SRAM 820
  • terminal 3 is connected to wire 825.
  • Wire 825 is in turn connected to PSM 828 which includes FET 830 whose ON and OFF states are controlled by SRAM 820.
  • FET 830 terminal 4 is connected to wire 825
  • gate terminal 5 is connected to SRAM 820
  • terminal 6 is connected to wiring 835.
  • Wiring 835 is also connected to an input of MUX 840 which is controlled by SRAM 820.
  • Output O 2 of MUX 840 is connected to wire 850 which is connected to an input of an AND gate in CLB 855 providing an output O 3 .
  • a global wire 860 is shown which is not part of local wiring.
  • output Oi is applied to terminal 1 of FET 815 with the logic state (high or low voltage) of gate terminal 2 controlled by SRAM 820. IfFET 815 is OFF, low gate voltage in this example, then Oi does not propagate along wire 825. If however, FET 815 is ON, high gate voltage (typically 2.5 volts) in this example, then Oi propagates through the channel region of FET 815 to terminal 3, and then along wire 825 to terminal 4 of FET 830 which is also controlled by SRAM 820. IfFET 830 is in an OFF state, then Oi does not propagate to terminal 5. However, if FET 830 is in an ON state, then Oi propagates along wire 835 to an input terminal of MUX 840.
  • a very small switch such as a cross point antifuse may also be used for wiring. Such a small switch results in a different architecture and can reduce chip size by approximately 1OX relative to an SRAM-based FPGA implementation.
  • a cross point antifuse is nonvolatile, has very low capacitance (1 fF per node for example), is radiation hard, and does not require external loading of bits to operate.
  • programming such antifuse based FPGA devices (such as is depicted in Figure 9) requires relatively high voltages such as 5 to 10 volts to ensure breakdown and currents in the 5 to 10 mA range. Further such devices are one-time-programmable (OTP) and are difficult to in-circuit program.
  • FIG. 9 illustrates a schematic of FPGA 900 which includes logic cells such as logic cell 910, vertical wiring 920, horizontal wiring 930, and antifuses such as antifuse 940 at each intersection of vertical and horizontal wires.
  • logic cells such as logic cell 910, vertical wiring 920, horizontal wiring 930, and antifuses such as antifuse 940 at each intersection of vertical and horizontal wires.
  • antifuses are typically formed using ONO dielectric-based antifuses or metal-to-metal antifuses. While wiring is showed in channel regions between logic cells, wiring over logic cells (not shown) may be used to further increase density.
  • I/O circuits such as I/O 950 interface internal to FPGA 900 circuits and with output connections on the chip.
  • FPGA 900 with dense wiring is somewhat similar to ASIC-type layouts although antifuse ON resistance may be in range of 25 ohms to several hundred ohms depending on antifuses used.
  • high voltage circuits (not shown) are included to switch selected cross point antifuse switches from an OFF
  • a nonvolatile nanotube programmable devices and the nonvolatile nanotube field programmable gate array (NFPGA) is provided.
  • one or more nonvolatile nanotube (NV NT) select circuits are used to store (in a first operation) and later provide (in a second operation) one or more control bits to a conventional configurable logic block (CLB) circuit.
  • Said NV NT select circuits comprise a pair of nanotube switches and a field effect transistor (FET). One terminal of each nanotube switch and one terminal of the FET are joined together to form a common node, providing a four terminal device.
  • the resistance of each nanotube switch can be set to provide means for nonvolatile storage of a single control bit.
  • control bits stored as corresponding nonvolatile high or low resistance states within each NV NT select circuit can be readily accessed and used to configure the CLB circuit.
  • This nonvolatile nanotube based CLB system is referred to as an NCLB.
  • one or more NV NT select circuits are used to store (in a first operation) and later provide (in a second operation) one or more control bits to a conventional programmable switch matrix (PSM) circuit.
  • PSM programmable switch matrix
  • the control bits stored as corresponding nonvolatile high or low resistance states within each NV NT select circuit can be readily accessed and used to configure the PSM circuit.
  • This nonvolatile nanotube based PSM system is referred to as an NPSM.
  • a NV NT select circuit is used to store (in a first operation) and later provide (in a second operation) a control bit to a conventional programmable bidirectional buffer circuit.
  • the control bit stored as corresponding nonvolatile high or low resistance states within the NV NT select circuit can be readily accessed and used to configure the direction of the buffer circuit.
  • Additional NV NT select circuits can also be used to either enable or disable bypass paths around inverter stages within said conventional programmable bidirectional buffer circuit, providing means for signal inversion through the buffer circuit.
  • additional NV NT select circuits (the nanotube switches of which are configured with nonvolatile resistance values such as to provide a specific resistor divider ratio) can be used to provide programmable supply voltages within the buffer circuit, providing means for voltage level translation through the buffer circuit.
  • This nonvolatile nanotube based programmable bidirectional buffer system is referred to as an NT BiDi buffer circuit .
  • a plurality of nonvolatile NRAMTM cells are combined to form an NRAMTM array, providing means for nonvolatile storage of a plurality of data bits, each data bit corresponding to a unique combination of inputs (address) to the array.
  • This NRAMTM array is then used in place of a conventional (volatile) SRAM array to form a conventional look up table (LUT) circuit.
  • Said NRAMTM cells are comprised of a single nanotube switch wired in series with a FET, providing a three terminal device which can be used to store (in a first operation) and later recall (in a second operation) a single bit of data.
  • the data bits stored within the NRAM array can be readily accessed and provided to an output stage.
  • a plurality of nonvolatile NRAMTM cells are combined to form an NRAMTM array, providing means for nonvolatile storage of a plurality of control bits.
  • This NRAMTM array is then used to store (in a first operation) and later provide (in a second operation) a plurality of control bits to a conventional CLB circuit.
  • the control bits stored within said NRAMTM array can be readily accessed and used to configure the CLB circuit.
  • This nonvolatile nanotube based CLB system is referred to as an NCLB .
  • a plurality of nonvolatile NRAMTM cells are combined to form an NRAMTM array, providing means for nonvolatile storage of a plurality of control bits.
  • This NRAMTM array is then used to store (in a first operation) and later provide (in a second operation) a plurality of control bits to a conventional PSM circuit.
  • the control bits stored within said NRAMTM array can be readily accessed and used to configure the PSM circuit.
  • This nonvolatile nanotube based PSM system is referred to as an NPSM .
  • a pair of nonvolatile NRAMTM cells is used to provide nonvolatile backup storage means within a conventional (volatile) SRAM cell.
  • a store operation is performed which encodes the bit value stored in the volatile SRAM cell within each of the NRAMTM cells (a first NRAMTM cell encodes the true bit value, while a second NRAMTM cell encodes the compliment value).
  • a recall operation is used to load the SRAM cell with the bit value stored within the nonvolatile NRAMTM cells.
  • a plurality of these nanotube supported (or “shadowed") memory devices can be combined to form a nonvolatile nanotube configuration control register (NCCR).
  • NCR nonvolatile nanotube configuration control register
  • Such a device can be used to store (in a first operation) and later provide (in a second operation) a plurality of control bits to either a conventional CLB or a conventional PSM circuit, forming a NCLB or a NPSM, respectively.
  • a plurality of control bits within a nonvolatile nanotube based programmable logic element are altered in response to a security event.
  • said control bits supplied by an NV NT select circuit, NRAMTM, NS/R, or some combination or subcombination of the three are altered in response to a security event.
  • said configuration of said programmable logic elements is protected from unauthorized access in, for example, an attempt at reverse engineering a device employing nonvolatile nanotube based programmable logic elements.
  • programmable supply voltages are set to provide precise signal delay values through one or more nonvolatile nanotube based programmable logic elements.
  • signal rise time through a CMOS circuit for example, is directly proportional to supply (or "rail") voltage
  • precisely selecting a supply voltage for each circuit element provides means for precisely selecting a delay value through said element.
  • additional inverter stages may be used to restore signal levels to predetermined high and low voltages.
  • programmable supply voltages (programmed using NV NT select circuits as described further above) provide means to regulate power consumption within a large system of nonvolatile nanotube programmable logic elements, such as, but not limited to, an FPGA.
  • the nonvolatile nanotube based programmable logic elements can be used together to realize a nonvolatile, rapidly reconfigurable nanotube based FPGA (NFPGA).
  • NFPGA nonvolatile, rapidly reconfigurable nanotube based FPGA
  • Said NFPGA is advantageous because a device can be realized in significantly smaller physical dimensions compared to conventional SRAM based FPGAs of comparable logic density.
  • Said NFPGA is further advantageous because it can be readily programmed and reprogrammed in-circuit, in contrast to one-time-programmable (OTP) antifuse or
  • EPROM based FPGAs are also advantageous because such a device can be rapidly reconfigured, in whole or in part, during operation (in some cases within a single clock cycle).
  • a nonvolatile nanotube based configurable logic block (NCLB) is disclosed below. It comprises one or more nonvolatile nanotube (NV NT) select circuits and a conventional CLB circuit, wherein said NV NT select circuits are used to store and provide one or more configuration control bits to said conventional CLB circuit.
  • NV NT nonvolatile nanotube
  • NCLB nonvolatile nanotube based configurable logic block
  • NRAM array is also provided.
  • the array comprises a plurality of NRAM cells, and a conventional CLB circuit, wherein said NRAM array is used to store and provide one or more configuration control bits to said conventional CLB circuit.
  • a nonvolatile nanotube based programmable switch matrix (NPSM) is provided. It comprises one or more NV NT select circuits and a conventional PSM circuit, wherein said NV NT Select Circuits are used to store and provide one or more configuration control bits to said conventional PSM circuit.
  • a nonvolatile nanotube based programmable switch matrix (NPSM) is provided. It comprises an NRAM array, said array comprising a plurality of NRAM cells, and a conventional PSM circuit, wherein said NRAM array is used to store and provide one or more configuration control bits to said conventional PSM circuit.
  • a nonvolatile nanotube based programmable bidirectional (NT BiDi) buffer circuit comprises a NV NT switch circuit and a conventional bidirectional buffer circuit, wherein said NV NT switch is used to store and provide a control bit to said conventional bidirectional buffer circuit.
  • a means within said NT BiDi buffer circuit (through the use of one or more additional NV NT switch circuits) is provided to invert a data signal passing through said buffer circuit.
  • a means within said NT BiDi buffer circuit (through the use of one or more additional NV NT switch circuits) is provided to level translation of a data signal passing through said buffer circuit.
  • Nonvolatile nanotube based programmable look up table comprising an NRAM array, said array comprising a plurality of NRAM cells, and an output stage, wherein said NRAM array, responsive to a plurality of input signals, provides a previously stored value for each unique combination of input values (address) to said output stage.
  • NRAM nanotube configuration control register
  • N/Rs nonvolatile nanotube shift registers
  • a security event an unauthorized attempt to reverse engineer a device, for example
  • NFPGA nonvolatile nanotube based FPGA
  • the present disclosure relates to a programmable nonvolatile nanotube select circuit that can include a first two-terminal nonvolatile nanotube switch and a second two-terminal nonvolatile nanotube switch.
  • Each of the first and second two- terminal nonvolatile nanotube switches can include a first terminal and a second terminal, wherein the first and second terminals of the first nonvolatile nanotube switch are in contact with opposite ends of a first nanotube element and the first and second terminals of the second nonvolatile nanotube switch are in contact with opposite ends of a second nanotube element.
  • the second terminal of the first nonvolatile nanotube switch and the second terminal of the second nonvolatile nanotube switch can share a common node.
  • the programmable nonvolatile nanotube select circuit can also include a field effect transistor (FET) having a drain region, a source region, a channel region positioned between the drain and source regions, and a gate node in proximity to the channel region, wherein the gate node modulates the conductivity of the channel region and wherein the drain region of the FET is electrically coupled to the common node.
  • FET field effect transistor
  • the field effect transistor of the programmable nonvolatile nanotube select circuit is a nanotube field effect transistor.
  • the present disclosure relates to a programmable nanotube logic circuit that can include a programmable nonvolatile nanotube select circuit.
  • the programmable nonvolatile nanotube select circuit can include a first two-terminal nonvolatile nanotube switch and a second two-terminal nonvolatile nanotube switch.
  • Each of the first and second two-terminal nonvolatile nanotube switches can include a first terminal and a second terminal, wherein the first and second terminals of the first nonvolatile nanotube switch are in contact with opposite ends of a first nanotube element and the first and second terminals of the second nonvolatile nanotube switch are in contact with opposite ends of a second nanotube element.
  • the second terminal of the first nonvolatile nanotube switch and the second terminal of the second nonvolatile nanotube switch can share a common node.
  • the programmable nonvolatile nanotube select circuit can also include a field effect transistor (FET) having a drain region, a source region, a channel region positioned between the drain and source regions, and a gate node in proximity to the channel region, wherein the gate node modulates the conductivity of the channel region and wherein the drain region of the FET is electrically coupled to the common node.
  • FET field effect transistor
  • the programmable nanotube logic circuit can also include a Boolean logic circuit that can include at least one input and an output wherein a first input of the at least one inputs is electrically coupled to the common node of the programmable nonvolatile nanotube select circuit.
  • the present disclosure relates to a programmable nanotube circuit that can include a programmable nonvolatile nanotube select circuit.
  • the programmable nonvolatile nanotube select circuit can include a first two-terminal nonvolatile nanotube switch and a second two-terminal nonvolatile nanotube switch.
  • Each of the first and second two-terminal nonvolatile nanotube switches can include a first terminal and a second terminal, wherein the first and second terminals of the first nonvolatile nanotube switch are in contact with opposite ends of a first nanotube element and the first and second terminals of the second nonvolatile nanotube switch are in contact with opposite ends of a second nanotube element.
  • the second terminal of the first nonvolatile nanotube switch and the second terminal of the second nonvolatile nanotube switch can share a common node.
  • the programmable nonvolatile nanotube select circuit can also include a field effect transistor (FET) having a drain region, a source region, a channel region positioned between the drain and source regions, and a gate node in proximity to the channel region, wherein the gate node modulates the conductivity of the channel region and wherein the drain region of the FET is electrically coupled to the common node.
  • FET field effect transistor
  • the programmable nanotube circuit can also include a transfer device that can include an input, an output, and a control terminal wherein the control terminal is electrically coupled to the common node of the programmable nonvolatile nanotube select circuit to enable transfer of a signal at the input of the transfer device to the output of the transfer device.
  • a nonvolatile nanotube configurable logic circuit that can include a first, second and third plurality of input terminals and at least an output terminal, a plurality of programmable nonvolatile nanotube select circuits.
  • Each programmable nonvolatile nanotube select circuit can include a first two-terminal nonvolatile nanotube switch and a second two-terminal nonvolatile nanotube switch.
  • Each of the first and second two-terminal nonvolatile nanotube switches can include a first terminal and a second terminal, wherein the first and second terminals of the first nonvolatile nanotube switch are in contact with opposite ends of a first nanotube element and the first and second terminals of the second nonvolatile nanotube switch are in contact with opposite ends of a second nanotube element.
  • the second terminal of the first nonvolatile nanotube switch and the second terminal of the second nonvolatile nanotube switch can share a common node.
  • the programmable nonvolatile nanotube select circuit can also include a field effect transistor (FET) having a drain region, a source region, a channel region positioned between the drain and source regions, and a gate node in proximity to the channel region, wherein the gate node modulates the conductivity of the channel region and wherein the drain region of the FET is electrically coupled to the common node.
  • FET field effect transistor
  • the first plurality of input terminals can be electrically coupled to the first terminals of the nonvolatile nanotube switches and the second plurality of terminals can be electrically coupled to the gate regions of the nonvolatile nanotube switches.
  • a first plurality of transfer devices can be electrically coupled to the signals on the third plurality of input terminals.
  • the first plurality of transfer devices can also be electrically coupled to the signals on the common nodes of the nonvolatile nanotube switches.
  • a second plurality of transfer devices can be electrically coupled to the complementary signals on the third plurality of input terminals, the second plurality of transfer devices can also be electrically coupled to the complementary signals on the common nodes of the nonvolatile nanotube switches, and wherein the signals on the first plurality of input terminals can be able to configure the first plurality of transfer devices and the second plurality of transfer devices to implement a plurality of Boolean logic functions at the output terminal.
  • the present disclosure relates to a nonvolatile nanotube programmable switch matrix that can include a first, second and third plurality of terminals, a plurality of programmable nonvolatile nanotube select circuits.
  • Each programmable nonvolatile nanotube select circuit can include a first two-terminal nonvolatile nanotube switch and a second two-terminal nonvolatile nanotube switch.
  • Each of the first and second two-terminal nonvolatile nanotube switches can include a first terminal and a second terminal, wherein the first and second terminals of the first nonvolatile nanotube switch are in contact with opposite ends of a first nanotube element and the first and second terminals of the second nonvolatile nanotube switch are in contact with opposite ends of a second nanotube element.
  • the second terminal of the first nonvolatile nanotube switch and the second terminal of the second nonvolatile nanotube switch can share a common node.
  • the programmable nonvolatile nanotube select circuit can also include a field effect transistor (FET) having a drain region, a source region, a channel region positioned between the drain and source regions, and a gate node in proximity to the channel region, wherein the gate node modulates the conductivity of the channel region and wherein the drain region of the FET is electrically coupled to the common node.
  • FET field effect transistor
  • the first plurality of terminals can be electrically coupled to the first terminals of the nonvolatile nanotube switches and the second plurality of terminals can be electrically coupled to the gate regions of the nonvolatile nanotube switches, and a plurality of transfer devices can be electrically coupled to the common nodes of the programmable nonvolatile nanotube select circuits, the plurality of transfer devices can also be electrically coupled to the third plurality of terminals so as to provide routing between any two terminals of the third plurality of terminals.
  • the present disclosure relates to a nanotube bi-directional buffer circuit that can include a first and second bi-directional terminals, a first and second buffers, each buffer can include an input, an output, and a transfer device.
  • the nanotube bi-directional buffer circuit can also include a programmable nonvolatile select circuit that can include a first two-terminal nonvolatile nanotube switch and a second two-terminal nonvolatile nanotube switch, wherein each of the first and second two-terminal nonvolatile nanotube switches that can include a first terminal and a second terminal, wherein the first and second terminals of the first nonvolatile nanotube switch are in contact with opposite ends of a first nanotube element and the first and second terminals of the second nonvolatile nanotube switch are in contact with opposite ends of a second nanotube element, and the second terminal of the first nonvolatile nanotube switch and the second terminal of the second nonvolatile nanotube switch share a common node, and a field effect transistor (FET)
  • FET field
  • the first bidirectional terminal can be electrically coupled to the input of the first buffer and the output of the second buffer
  • the second bi-directional terminal can be electrically coupled to the input of the second buffer and the output of the first buffer
  • the signal on the common node of the programmable nonvolatile nanotube select circuit can be electrically coupled to the transfer device of the first buffer to enable signal flow from the second bi-directional terminal to the first bi-directional terminal
  • the complementary signal on the common node of the programmable nonvolatile nanotube select circuit can be electrically coupled to the transfer device of the second buffer to enable signal flow from the first bi-directional terminal to the second bi-directional terminal.
  • FIG 1 is an overview of field programmable devices (FPDs), sometimes referred to as programmable logic devices (PLDs);
  • FPDs field programmable devices
  • PLDs programmable logic devices
  • FIG. 2 is a block diagram of a programmable logic array (PLA);
  • Figure 3 is a schematic of a programmable array logic (PALTM) device.
  • PALTM programmable array logic
  • PALTM is a trademark of AMD
  • Figure 4 is a block diagram of a complex programmable logic device
  • FIG. 5 is a block diagram of a field programmable gate array (FPGA) including a plurality of configurable logic blocks (CLBs);
  • FPGA field programmable gate array
  • CLBs configurable logic blocks
  • FIG. 6 is a block diagram of a configurable logic block (CLB) including a look-up table (LUT), a D-flip flop, and a multiplexer;
  • CLB configurable logic block
  • FIG. 7 is a block diagram of a configurable logic block (CLB) including a combinational logic function, a D-flip flop, and a multiplexer;
  • CLB configurable logic block
  • FIG. 8 is a block diagram of a field programmable gate array (FPGA) including an SRAM-configured programmable switch matrix;
  • FPGA field programmable gate array
  • FIG. 9 is a block diagram of a field programmable gate array (FPGA) including antifuse-based programmable wiring;
  • FPGA field programmable gate array
  • Figure 1OA illustrates a schematic of a nonvolatile nanotube switch (NV NT switch).
  • Figures 1OB and 1OC show a nonvolatile nanotube switch (NV NT switch) in
  • Figure 1OD shows a perspective drawing of a nonvolatile nanotube block switch
  • Figure 1OE shows a perspective drawing of a cross point switch formed using nonvolatile nanotube blocks described in Figure 1OD;
  • Figure 1OF shows a cross section of a nonvolatile nanotube block in series with steering (select) diodes shown in schematic form, with a first diode having a cathode in contact with a terminal of the nonvolatile nanotube block, or a second diode having an anode in contact with a terminal of the nonvolatile nanotube block;
  • Figure 1OG shows a perspective drawing of four memory cells (or four cross point switch cells) formed using the memory cell shown in Figure 1OF;
  • Figure HA shows a schematic representation of an NRAMTM cell
  • Figure HB shows a schematic representation of a nonvolatile nanotube select circuit
  • Figure 12A shows the nonvolatile nanotube select circuit of Figure 1 IB wired as one cell in an X-Y array configuration
  • Figure 12B shows the nonvolatile nanotube select circuit shown of Figure 12A used to control the ON/OFF state of a transfer device
  • Figure 12C shows the nonvolatile nanotube select circuit of Figure 12A used as an input that controls a NAND logic circuit operation
  • Figure 12D shows the nonvolatile nanotube select circuit of Figure 12A used as an input that controls a NOR logic function operation
  • Figure 13 shows a nonvolatile nanotube field programmable gate array
  • NFPGA NFPGA with configurable logic blocks and programmable switch matrices controlled by nonvolatile nanotube select circuits
  • Figure 14A shows a nonvolatile nanotube configurable logic block (NCLB) formed using transfer gates, NAND, NOR, and tristate circuits whose logic function is determined by logic states provided nonvolatile nanotube select circuits;
  • NCLB nonvolatile nanotube configurable logic block
  • Figure 14B shows various logic circuit configurations formed by the nonvolatile nanotube configuration logic block of Figure 14A based on the logic state of nonvolatile nanotube select circuits that provide nonvolatile configuration control states
  • Figure 15 shows various equivalent logic circuits corresponding to the various logic configurations formed by the nonvolatile nanotube configuration logic block as shown in Figure 14B;
  • Figure 16 shows a nonvolatile nanotube programmable switch matrix with various signal routing configurations controlled by nonvolatile nanotube select circuits;
  • Figure 17A shows a nonvolatile nanotube bidirectional buffer in which the direction of signal flow is controlled by a nonvolatile nanotube select circuit;
  • Figure 17B shows a nonvolatile nanotube enhanced bidirectional buffer in which the direction, polarity, and amplitude of signal flow is controlled by a nonvolatile nanotube select circuit;
  • Figure 18 shows a nonvolatile nanotube programmable voltage generator that generates a programmed on-chip voltage determined by a nonvolatile nanotube select circuit
  • Figure 19 shows a sixteen bit NRAMTM memory array
  • Figure 20 shows a nonvolatile nanotube configurable logic block with a lookup table formed using a 16 bit NRAM memory, a D-flip flop, and a multiplexer
  • Figure 21 shows a nonvolatile nanotube field programmable gate array (NFPGA) with configurable logic blocks and programmable switch matrices controlled by nonvolatile NRAMTM memory outputs;
  • NFPGA nanotube field programmable gate array
  • Figure 22 A shows a 1x6 nonvolatile NRAMTM memory architecture used as an NRAMTM-based nonvolatile control bit generator that provides true and complement control bit outputs;
  • Figure 22B shows a Kx6 nonvolatile NRAMTM memory architecture used as an NRAMTM-based nonvolatile control bit generator that provides true and complement control bit outputs;
  • Figure 23 shows a nonvolatile nanotube configurable logic block (NCLB) formed using transfer gates, NAND, NOR, and tristate circuits whose logic function is determined by nonvolatile true and complement control bits generated by a nonvolatile NRAMTM memory such as described with respect to Figure 22A or Figure 22B;
  • Figure 24 shows a nonvolatile nanotube programmable switch matrix with various signal routing configurations controlled by nonvolatile true and complement control bits generated by a nonvolatile NRAMTM memory such as described with respect to Figure 22 A or Figure 22B;
  • Figure 25 shows a nonvolatile nanotube static random access memory
  • NRAM nonvolatile nanotube switching elements
  • Figure 26 shows another nonvolatile nanotube static random access memory
  • Figure 25 are replaced by a pair of NRAMTM cells with mode control transistors
  • Figure 27 shows two stages of a configuration control register that provides configuration control bits
  • Figure 28 A shows two stages of a nonvolatile nanotube configuration control register that provides nonvolatile configuration control bits.
  • the logic state of the nanotube configuration control register may be stored in, and recalled from, nonvolatile high or low resistance states in nonvolatile nanotube switches. Both nonvolatile nanotube switches (one per register stage) are shown in a low resistance (ON) state;
  • Figure 28B shows the nonvolatile nanotube configuration control register of
  • Figure 28C shows the nonvolatile nanotube configuration control register of
  • Figure 28A with one nonvolatile nanotube switch is in a high resistance (OFF) state and another nonvolatile nanotube switch is in a low resistance (ON) state;
  • Figure 29 shows a nonvolatile nanotube configurable logic block (NCLB) formed using transfer gates, NAND, NOR, and tristate circuits whose logic function is determined by nonvolatile true and complement configuration control bits provided by the nonvolatile nanotube configuration control register shown in Figure 28; and
  • NCLB nonvolatile nanotube configurable logic block
  • Figure 30 shows a nonvolatile nanotube programmable switch matrix with various signal routing configurations controlled by nonvolatile true and complement control bits provided by the nonvolatile nanotube configuration control register shown in
  • Integrated circuits for a wide variety of product applications in a competitive environment require fast time-to-market for new designs and low (or zero) non-recurring engineering cost (NRE) and low fabrication cost.
  • NRE non-recurring engineering cost
  • FPDs field programmable devices
  • the present disclosure provides field programmable device (FPD) chips with large logic capacity and field programmability that are in-circuit programmable (in-place in the package without requiring sockets). They use small versatile nonvolatile nanotube switches that enable efficient architectures for dense low power and high performance chip implementations and are compatible with low cost CMOS technologies and simple to integrate (low additional mask count and few additional process steps).
  • Field programmable devices (FPDs) are also sometimes referred to as programmable logic devices (PLDs) and the terms FPD and PLD are used interchangeably throughout the application.
  • Table 1 is a summary of various switch types, their properties, programming and operating voltages, and underlying technologies. In some cases, more that one switch type may be used.
  • SRAMs used to control switches have the advantage of CMOS technology compatibility with the latest CMOS technology generation and compatibility with the standard (std.) technology operating voltages because no programming is required.
  • CMOS technology compatibility with the latest CMOS technology generation and compatibility with the standard (std.) technology operating voltages because no programming is required.
  • antifuses have the advantage of small area size but are not reprogrammable because antifuses are OTP so the chip architecture cannot be modified once it is programmed.
  • Antifuses typically require 5- 10 volts and relatively high current in the 1-10 milliampere range.
  • Antifuses have relatively high radiation tolerances and designs cannot easily be copied because "reverse engineering" is difficult.
  • EPROMs with UV erase are typically limited to development prototypes.
  • EEPROMs can be reprogrammed in-circuit but are larger than antifuses and require high programming voltage and require higher than standard operating voltages. Flash has high programming voltages but standard operating voltages. Flash devices are small in size but larger than antifuses and may be more sensitive to radiation than antifuses.
  • Nonvolatile nanotube (NV NT) switches such as those described in US Patent Application No. 11/280786, filed on Nov. 15, 2005, entitled “Two-Terminal Nanotube Devices and Systems and Methods of Making," US Patent No. 7,394,687 and US Patent Application No. 12/165007, filed on Jun. 30, 2008, entitled “Non- Volatile Shadow Latch Using a Nanotube Switch," US Patent Application No. 11/835583, filed on Aug. 8, 2007, entitled “Latch Circuits and Operation Circuits Having Scalable Nonvolatile Nanotube Switches as Electronic Fuse Replacement Elements," US Patent Application No. 11/835612, filed on Aug.
  • Nonvolatile Nanotube Blocks may be used to form nonvolatile cross point switches that are as small as antifuses but can be programmed, erased, and reprogrammed multiple times. Such switches have a high tolerance to harsh environments such as high temperature and high radiation levels. NV NT switches may be combined with FETs to form nonvolatile NRAMTM memories with nonvolatile cells smaller than those of SRAMs as described in US Patent Application No. 11/274967, filed on Nov.
  • NV NT switches may be combined with FETs to form NanoLogicTM circuits as described in US Patent Application No. 11/835583, filed on Aug. 8, 2007, entitled “Latch Circuits and Operation Circuits Having Scalable Nonvolatile Nanotube Switches as Electronic Fuse Replacement Elements," US Patent Application No. 11/835612, filed on Aug. 8, 2007, entitled “Nonvolatile Resistive Memories Having Scalable Two-Terminal Nanotube Switches,” and US Patent Application No. 61/039204, filed on Mar.
  • NV NT switches which are compatible with CMOS technology and use programming voltages in the 3-7 volt range and corresponding programming currents in the tens of microamperes or less, are smaller than those of competing nonvolatile in-circuit reprogrammable technologies and operate at standard CMOS operating voltages and enable new more efficient CPLD and FPGA architectures.
  • NV NT switch scaling of dimensions may be used to reduce programming voltages in future generations.
  • Nanotube switches fabricated as 2-D NV NT switches with a horizontal orientation or as 3-D NV NT block switches with a vertical orientation are illustrated in Figures 10A- 1OD and described in the above referenced patents.
  • Other types of hybrid NanoLogicTM circuits may be fabricated as shown in US Patent Nos. 7,115,901 and 7,268,044 and US Patent Application No. 11/731946, each entitled “Non- Volatile Electromechanical Field Effect Devices and Circuits Using Same and Methods of Forming Same," US Patent No. 6,982,903, entitled “Field Effect Devices Having a Source Controlled via a Nanotube Switching Element," US Patent No.
  • Nanotube-only type of NanoLogicTM circuits are described in US Patent No. 7,115,960 and US Patent Application No. 11/542524, filed on Oct. 3, 2006, each entitled “Nanotube-Based Switching Elements,” US Patent Nos. 6,990,009, 7,339,401 and US Patent Application No. 11/971476, filed on Jan. 9, 2008, each entitled “Nanotube-Based Switching Elements with Multiple Controls,” US Patent No. 7,228,970 and US Patent Application No. 11/929076, filed on Oct. 30, 2007, each entitled “Integrated Nanotube and Field Effect Switching Device," US Patent No. 7,329,931 and US Patent Application No. 12/029118, filed on Feb.
  • Nonvolatile Programmable Switch Technologies using Nanotube Switches, Nanotube Cross Point Switches, NRAM Memories, and NanoLogicTM Circuits
  • nonvolatile nanotube-based user- programmable switches for use in nanotube FPGA (NFPGA) Logic, nanotube SPLD (NSPLD) logic, and nanotube CPLD (NCPLD) logic are described further below in Figures 10A- 1OG and 11, 12. These include two-dimensional and three-dimensional nonvolatile nanotube switches and dense multi-cycle bidirectional cross point switches, dense directional cross point switches, nonvolatile NRAMTM memory cells, and nonvolatile NanoLogicTM circuits.
  • NFPGA nanotube FPGA
  • NPLD nanotube SPLD
  • NCPLD nanotube CPLD
  • Nonvolatile nanotube (NV NT) switch 1000 illustrated in Figure 1OA is a schematic illustration of a two terminal switch with terminals Tl and T2 in contact with opposite ends of a patterned nano fabric (patterned non- woven nanotube fabric) as illustrated in US Patent Nos. 6,706,402, 6,942,921 and US Patent Application Nos. 10/774682, filed on Feb. 9, 2004, 11/111582, filed on Apr. 21, 2005, each entitled “Nanotube Films and Articles," US Patent Nos. 6,835,591, 7,264,990, and 7,335,528, each entitled “Methods of Nanotube Films and Articles,” and US Patent Application No. 10/341130, filed on Jan.
  • NV NT switch 1000 may be viewed as a resistor that changes resistance value, increasing or decreasing resistance, as a function of applied currents and voltages as described in US Patent Application No. 11/280786, filed on Nov. 15, 2005, entitled “Two-Terminal Nanotube Devices and Systems and Methods of Making," and US Patent Application No. 11/835583, filed on Aug. 8, 2007, entitled “Latch Circuits and Operation Circuits Having Scalable Nonvolatile Nanotube Switches as Electronic Fuse Replacement Elements," US Patent Application No. 11/835612, filed on Aug.
  • Nonvolatile Resistive Memories Having Scalable Two-Terminal Nanotube Switches Resistance values are nonvolatile and are retained (remembered) even if power is removed (switched OFF).
  • switches may be switched between ON and OFF states in which the ON state resistance may be in the 10k Ohm to IM Ohm range and the OFF state is typically IG Ohm and higher.
  • NV NT 1000 switches may be referred to as NANRISTORs. Since most of the NV NT 1000 switches as used in this application are digital (ON or OFF) the term NV NT switch is used.
  • Switch resistance values for NV NT NRAMTM cells and NanoLogicTM circuits typically range in ON values between 10 kOhm and IM Ohm. OFF resistance values are typically IG Ohm or higher. In the case of cross point switches, ON resistance values may be in the range of 50 Ohms to 500 Ohms for example because of time delay (performance) considerations. Resistance values are determined by material and geometric properties (dimensions) such as channel length and width and the density of the nanotube fabric. As illustrated above, different applications use different values of NV NT switch resistance values.
  • Figure 1OB shows a planar voltage contrast SEM image of a two terminal NV NT switch 1010 in an ON state in which nanotube channel region 1012 forms a continuous path between terminals Tl and T2.
  • Figure 1OC shows two terminal NV NT switch 1010' in an OFF state. The same physical NV NT switch is used for both Figures 1OB and 1OC. However, NV NT switch 1010' is in an OFF state; that is there no continuous path between terminals Tl and T2.
  • the nanotube channel region in Figure 1OC is electrically discontinuous with a portion of nanotube channel region 1018 A in an OFF state and another series portion of nanotube channel regions 1018B in an ON state.
  • Figures 1OB and 1 OC are described in greater detail in US Patent Application Nos. 11/835651 , 11/835759, 11/835845, 11/835852, 11/835856, 11/835865, each filed on Aug. 8, 2007, entitled “Nonvolatile Nanotube Diodes and Nonvolatile Nanotube Blocks and Systems Using Same and Methods of Making Same.”
  • NV NT block 1020 In cross point switch applications which require high density, vertically oriented nonvolatile nanotube (NV NT) blocks may be used as illustrated by a perspective view of NV NT block 1020 in Figure 10D.
  • NV NT block 1020 is formed by etching a relatively thick (30 to 40 nm for example) nano fabric layer to form nano fabric block 1022 with bottom contact 1023 and top contact 1024.
  • NV NT block 1020 switches may be in- circuit programmed between ON and OFF states multiple times. So for example, programmable antifuse 940 shown in Figure 9 (which cannot be programmed or configured in-circuit) may be replaced with in-circuit programmable NV NT block 1020 at comparable densities.
  • Figure 1OE shows a perspective drawing of bidirectional cross point switch array 1025 formed using four NV NT blocks 1030-1, 1030-2, 1030-3, and 1030-4 corresponding to NV NT block 1020 in Figure 10D.
  • Traces 1032-1 and 1032-2 correspond to vertical wires 920 and traces 1034-1 and 1034-2 correspond to horizontal wires 930 in FPGA 900 schematic drawing illustrated in Figure 9.
  • These orthogonal pairs of traces in contact with bottom and top surfaces of NV NT blocks provide FPGA wiring and contacts to the four NV NT blocks thereby forming bidirectional cross point switch array 1025 that supports in-circuit programming (routing).
  • Trace 1032-1 forms array wiring and the bottom contact of NV NT blocks 1030-1 and 1030-3 and trace 1032-2 forms array wiring and the bottom contact of NV NT blocks 1030-2 and 1030-4.
  • Trace 1034-1 forms array wiring and the top contact of NV NT blocks 1030-1 and 1030-2 and trace 1034-2 forms array wiring and the top contact of NV NT blocks 1030-3 and 1030-4.
  • Bidirectional cross point switch array 1025 illustrated in perspective view in Figure 1OE enables or prevent bidirectional flow of signals, currents, voltages, or power in a densely packed array of NV NT block nonvolatile in-circuit programmable switch matrix.
  • Figure 1OF illustrates a nonvolatile nanotube (NV NT) diode 1035 that includes a NV NT block 1038 corresponding to NV NT block 1020 in series with a diode and is described in further detail in US Patent Application Nos. 11/835651, 11/835759, 11/835845, 11/835852, 11/835856, 11/835865, each filed on Aug.
  • NV NT nonvolatile nanotube
  • NV NT block 1038 conducts current in any direction and is not sensitive to voltage polarity.
  • NV NT block 1038 includes NV NT block 1040, bottom contact 1042, and top contact 1044.
  • Diode 1046 illustrated schematically is oriented such that the cathode is connected to bottom contact 1042 and the anode is connected to contact 1050 enabling vertical current flow in the upward direction.
  • diode 1048 illustrated schematically is oriented such that the anode is connected to bottom contact 1042 and the cathode is connected to contact 1050 enabling vertical current flow in the downward direction.
  • Diodes 1046 and 1048 may be may be Schottky diodes, PN diodes, or diodes formed with nanotube fabric anodes as described in US Patent Application Nos. 11/835651, 11/835759, 11/835845, 11/835852, 11/835856, 11/835865, each filed on Aug. 8, 2007, entitled “Nonvolatile Nanotube Diodes and Nonvolatile Nanotube Blocks and Systems Using Same and Methods of Making Same.”
  • Unidirectional cross point switch array 1060 illustrated in perspective view in Figure 1OG enables or prevent unidirectional flow of signals, currents, voltages, or power in a densely packed array of NV NT block nonvolatile in-circuit programmable switch matrix.
  • Unidirectional cross point switch array 1060 formed using four nonvolatile nanotube (NV NT) diodes 1065-1, 1065-2, 1065-3, and 1065-4 that correspond to NV NT diode 1035 illustrated in Figure 1OF and illustrates a Schottky diode version of diode 1046 as the current steering device.
  • the NV NT diode 1065-1 structure is representative of NV NT diodes 1065-2, 1065-3, and 1065-4.
  • NV NT diode 1065-1 is formed by NV NT block 1075-1 and Schottky diode 1070-1. Note that PN diode and diodes with nanotube anodes may also be used as described in US Patent Application Nos.
  • Schottky diode 1070-1 is formed by anode 1071-1 in contact with N- poly 1072-1, in contact with N+ poly 1073-1;
  • NV NT block 1075-1 is formed by NT cube 1077-1 in contact with bottom contact 1076-1 and top contact 1078-1.
  • Traces 1080-1 and 1080-2 correspond to vertical wires 920 and traces 1082-1 and 1082-2 correspond to horizontal wires 930 in FPGA 900 schematic drawing illustrated in Figure 9.
  • Trace 1082-1 forms array wiring and the top contact of NV NT diodes 1065-1 and 1065-2 and trace 1082-2 forms array wiring and the top contact of NV NT diodes 1065-3 and 1034-4.
  • a logic application function can be identified based on the logic blocks used and wiring interconnections between the logic blocks.
  • a logic application function may be secured by reprogramming one or more programmable wiring interconnections between individual wires and logic (or logic and memory) blocks if a security event (breach) is detected.
  • a logic application function may be secured by reprogramming one or more programmable logic blocks if a security event is detected.
  • a logic application function may be secured by both reprogramming one or more programmable wiring interconnections and further reprogramming one or more programmable logic blocks if a security event is detected.
  • a security event may be detected and sufficient time exists to deploy electronic countermeasures such as the reprogramming of integrated circuit chips. If a security event is detected, the logic application functions may be changed by altering programmable wiring connections, altering programmable logic blocks, or altering both wiring connections and logic blocks as described further above.
  • this requires dense nonvolatile switches such as nonvolatile nanotube cross point switches ( Figures 1OD, 1OE, 1OF, and 10G) and other nonvolatile nanotube switch types such as the NV NT switch illustrated in Figures 10A- 1OC that can be programmed multiple times.
  • OTP One-time -programmable
  • OTP One-time -programmable
  • Additional examples of nonvolatile nanotube-based switches are illustrated further below with respect to Figures 11 and 12 for example, and various FPGA logic examples also illustrated further below.
  • logic application functions may be altered in many ways, such as, but not limited to, reprogramming said logic application functions in a random manner or setting a plurality of programmable interconnections to an open state. Alternatively, the logic application function may be altered such that a different logic application function is formed to deliberately mislead.
  • a security event may take place which is undetected or in which there is insufficient time to deploy electronic countermeasures.
  • an integrated circuit chip that includes high application function security logic may be stolen.
  • chip designs that make the application of advanced reverse engineering (failure analysis) techniques difficult may be used to protect high application function security chips.
  • a high application security function may include extra circuits, devices, and interconnections for the purpose of complicating reverse engineering of integrated circuit chips.
  • interconnections and contact regions may be traced (that is, interconnections between circuit elements detected using electronic equipment such as scanning electron microscopes) at high magnification to identify logic functions.
  • the patterned nanofabric cross point switch area is about 90% void or filled with non-carbon nanotube material. Cross sectioning such a cross point switch tends to destroy it completely. Even if the switch is not destroyed completely, it is likely to be damaged so that the state of the switch (low or high resistance) cannot be reliably determined.
  • nanofabric patterned nanofabric
  • patterned nanofabric contacts are (or can be made) normally as conducting nonvolatile nanotube crosspoint switches as-fabricated.
  • the switching voltage of nonvolatile nanotube cross point switches may be increased to well above that the logic voltage swings as needed as to ensure that patterned nanofabric contacts remain conductive, as described in US Patent Nos. 6,706,402, 6,942,921 and US Patent Application Nos. 10/774682, filed on Feb. 9, 2004, 11/111582, filed on Apr. 21, 2005, each entitled "Nanotube Films and Articles.”
  • FIG. 1 IA illustrates NRAMTM cell 1100 comprising NV NT switch 1110 and FET 1120 in series.
  • Nonvolatile NRAMTM memories are formed with multiple NRAM cells similar to NRAM cell 1100 as described in US Patent Application No. 11/280786, filed on Nov. 15, 2005, entitled “Two-Terminal Nanotube Devices and Systems and Methods of Making," and US Patent Application No. 11/274967, filed on Nov. 15, 2005, entitled “Memory Arrays Using Nanotube Articles with Reprogrammable Resistance,” and with respect to Figure 19 further below, with nonvolatile cells smaller in area than volatile 6 FET SRAM cells.
  • Multiple word lines are arranged horizontally and in contact with gates of FETs corresponding to gate G of FET 1120; bit lines (not shown) essentially orthogonal to word lines are arranged vertically and contact a diffusion terminal corresponding to terminal Tl in Figure 1 IA.
  • One side of the NV NT switches corresponding to NV NT switch 1110 is in contact with a terminal of FETs such as FET 1120 and the other side is in contact with common reference lines (not shown) in contact with a terminal of NV NT switches such as NV NT switch 1110 .
  • Such contacts may be formed with reference lines that may be parallel to word or bit lines, or may be formed by a reference plane (not shown), with reference voltage held at a reference voltage such as ground.
  • NRAMTM cell 1100 may be programmed to a high resistance state such as IG Ohm or higher for example, and a low resistance state in the 100k Ohm to IM Ohm range for example. Voltages in the range of 3-7 volts are applied for write 1 (program) and write 0 (erase) operations with readout voltages in the 1.5 to 2.5 volt range. Operating conditions are a function of the NV NT switch material and geometrical characteristics such as distance between terminal contacts to the patterned nanofabric material. Waveform examples are illustrated in US Patent Application No. 11/280786, filed on Nov. 15, 2005, entitled “Two-Terminal Nanotube Devices and Systems and Methods of Making," US Patent Application No. 11/274967, filed on Nov.
  • NanoLogicTM circuits with various combinations of nonvolatile switches and FETs may be formed. NanoLogicTM circuits may be used in FPGAs, SPLDs, CPLDs, and in other digital circuit applications as well as analog applications. FPGA, SPLD, and CPLD programmable logic functions configured using nanotube-based NanoLogic and memory functions such as NRAM may be referred to as NFPGA, NSPLD, and NCPLD logic functions, respectively.
  • Figure 1 IB illustrates an embodiment of NanoLogicTM configurable (programmable) NV NT select circuit 1150 formed using NV NT switch 1155 (SWl) and NV NT switch 1160 (SW2) sharing a common node referred to as select node 1170. Terminals Tl and T2 are connected to a second terminal of NV NT switches 1155 and 1160, respectively.
  • FET 1165 has a diffusion connected to common select node 1170 and the other diffusion connected to a reference such as ground as described in US Patent Application No. 61/039204, filed on Mar. 25, 2008, entitled “Carbon Nanotube-Based Neural Networks and Methods of Making and Using Same.”
  • FET 1165 is activated (ON) during program (write 1) or erase (write 0) operations by applying a high voltage to gate G of FET 1165 which connects select node 1170 to ground and provides a current path between terminal Tl and ground and terminal T2 and ground through NV NT switches 1155 and 1160, respectively. Combinations of erase and program operations are used to set resistance states (values) of NV NT switches 1155 and 1160. Erase and program operations are described further above with respect to Figure 1 IA and in more detail in US Patent Application No. 11/280786, filed on Nov. 15, 2005, entitled “Two- Terminal Nanotube Devices and Systems and Methods of Making," US Patent Application No.
  • NV NT switches 1155 and 1160 may be viewed as NANRISTORS. These resistance states (values) remain nonvolatile even after power is removed or lost.
  • FET 1165 is in an (OFF) state by applying a low voltage such as ground to gate G of FET 1165 and select node 1170 is disconnected from ground.
  • NV NT select circuit 1150 is now ready to provide a configured (programmed) logic block function operating at 2.5 volts for example.
  • NanoLogicTM circuits are designed to be in-circuit programmed, this does not preclude programming in sockets for example as is done in some older technologies. In fact, NanoLogicTM circuits may be embedded and operate in chips with older programmable technologies and with CMOS digital and analog circuits. Note that the terms configurable and programmable are used interchangeably.
  • NV NT switches 1155 and 1160 operating voltages are kept sufficiently low, less than 3 volts for example, so that the resistance states (values) of NV NT switches 1155 and 1160 are not changed (disturbed) under NFPGA, NCPLD, NSPLD, and other programmable logic function operation.
  • Figures 12A-12D illustrate various embodiments of NanoLogicTM nonvolatile nanotube configurable logic blocks (circuits, functions, cells) with inputs Xl and X2 to NV NT switches and a mode control input Y connected to the gate of a mode control (program/erase or operate) FET.
  • Inputs Xl and X2 and mode control input Y may be shared by multiple NanoLogicTM circuits as illustrated further below in Figure 13.
  • the NanoLogicTM circuits illustrated in Figure 12 correspond to combinatorial logic function 710 illustrated in Figure 7.
  • Flip flops and multiplexers often included as part of configurable logic blocks, as illustrated in Figure 7, are not shown in Figure 12 but may be included as needed.
  • NanoLogicTM programmable NV NT select circuit 1200 illustrated in Figure 12A corresponds to programmable NV NT select circuit 1150 illustrated in Figure 1 IB.
  • Inputs Xl and X2 correspond to inputs Tl and T2, respectively; switches 1205 and 1210 correspond to switches 1155 and 1160, respectively; FET 1215 corresponds to FET 1165.
  • Mode control input Y is connected to the gate of FET 1215 which corresponds to gate G of FET 1165.
  • Select node 1220 corresponds to select node 1170.
  • Programmable NV NT select circuit 1200 operation corresponds to the operation of programmable NV NT select circuit 1150 described further above with respect to Figure 1 IB.
  • Figure 12B illustrates programmable NanoLogicTM circuit 1240 in which programmable NV NT select circuit 1200-1 with select node 1225-1 corresponds to programmable NV NT select circuit 1200, and controls the gate voltage of FET 1230 transfer device.
  • the logic function of programmable NV NT select circuit 1200-1 is determined as described further above with respect to programmable NV NT select circuits 1200 and 1150 and retains the programmed logic function even if power is removed or is lost.
  • select node 1225-1 turns FET 1230 ON if it is at a high voltage such as 2.5 volts and turns FET 1230 OFF if is at a low voltage such as ground.
  • FET 1230 is ON, signal flow, voltage distribution, current distribution, and power distribution are enabled; and when FET 1230 is in an OFF state, then transmission of these functions is disabled.
  • NanoLogicTM circuit 1240 may be used to control switches that route signals as illustrated further below with respect to Figures 13, 16, 17A, and 17B. Also, multiple NanoLogicTM circuits 1240 may be combined to generate larger nonvolatile nanotube logic blocks (circuits, functions, cells) as illustrated in Figure 14, and corresponding Figure 15 and Figure 14B.
  • Figure 12C illustrates programmable NanoLogicTM circuit 1250 in which programmable NV NT select circuit 1200-2 with select node 1225-2 corresponds to programmable NV NT select circuit 1200, and controls an input voltage of NAND gate 1260.
  • the logic function of programmable NV NT select circuit 1200-2 is determined as described further above with respect to programmable NV NT select circuits 1200 and 1150 and retains the programmed logic function even if power is removed or is lost.
  • NAND gate 1260 In operation, if select node 1225-2 is at a high voltage state H such as 2.5 volts then NAND gate 1260 operates as a two input NAND gate with inputs A and B and the complement of A B (A-B)c as the output as illustrated in table 1265. However, when select node 1225-2 is at a low voltage state L such as zero volts, NAND gate 1260 output remains at a high voltage state H such as 2.5 volts independent of inputs A and B as illustrated in table 1265.
  • Programmable NanoLogicTM circuit 1250 may be used as a NV NT configurable (programmable) logic block as illustrated in Figure 13.
  • Figure 12D illustrates programmable NanoLogicTM circuit 1270 in which programmable NV NT select circuit 1200-3 with select node 1225-3 corresponds to programmable NV NT select circuit 1200, and controls an input voltage of NOR gate 1280.
  • the logic function of programmable NV NT select circuit 1200-3 is determined as described further above with respect to programmable NV NT select circuits 1200 and 1150 and retains the programmed logic function even if power is removed or is lost.
  • NOR gate 1280 In operation, if select node 1225-3 is at a low voltage state L such as zero volts then NOR gate 1280 operates as a two input NOR gate with inputs A and B and the complement of the sum of A plus B (A+B)c as the output as illustrated in table 1275. However, when select node 1225-3 is at a high voltage such as 2.5 volts, NOR gate 1280 output remains at a low voltage state L such as zero volts independent of inputs A and B as illustrated in table 1275.
  • Programmable NanoLogicTM circuit 1270 may be used in a NV NT configurable (programmable) logic block as illustrated in Figure 13.
  • FPGA architectures are dominated by interconnects. FPGAs are therefore much more flexible in terms of the range of designs that can be implemented and logic functions in the hundreds of thousands to millions and tens-of-millions of equivalent logic gates may be realized. In addition, the added flexibility enables inclusion of higher-level embedded function such adders, multipliers, CPUs, and memory.
  • the added interconnect (routing) flexibility of FPGAs also enables partial reconfiguration such that one portion of an FPGA chip may be reprogrammed while other portions are running. FPGAs that can be reprogrammed while running may enable reconfigurable computing (reconfigurable systems) that reconfigure chip architecture to better implement logic tasks.
  • Nonvolatile nanotube switches combined with basic building blocks of FPGAs such as configurable logic blocks (CLBs), programmable switch matrices (PSMs), bidirectional buffers (BiDi buffers) result denser, low power, and high performance nonvolatile nanotube building blocks such as NCLBs, NPSMs, N-BiDi buffers, and other functions that enable nonvolatile nanotube FPGA (NFPGA) logic operation.
  • NV NT select circuits described in US Patent Application No. 61/039204, filed on Mar.
  • NCLB and NPSM building blocks that may be integrated to form NFPGA logic as described with respect to Figures 13-18 illustrated further below.
  • NFPGAs which include NCLB, NPSM, N-BiDi, nonvolatile nanotube programmable voltage generators and other logic and memory functions may reprogrammed in case of a security event to protect high application function security integrity as described further above.
  • configuration control bits supplied by NV NT select circuits or other NanoLogicTM circuits, NRAMTM memory arrays, or nonvolatile nanotube-based shift registers can be dynamically reprogrammed thereby altering the NFPGA logic function in response to a security event (threat).
  • NCLB Nanotube Configurable Logic Blocks
  • NPSM Nanotube Programmable Switch Matrix
  • Figure 13 illustrates a block diagram of an embodiment of NFPGA 1300 formed using NanoLogicTM circuits that include nonvolatile nanotube (NV NT) select circuits (labeled NT SEL 1-5) as part of nanotube configurable logic blocks (NCLBs) such as NCLB 1320 for example and nanotube programmable switch matrices (NPSMs) such as NPSM 1340-1.
  • NFPGA 1300 also includes nanotube bidirectional buffers such as NT BiDi buffer 1375 that controls the direction of signal flow in global wire 1380.
  • Flip flops and multiplexers may be included as well.
  • NV NT select circuits share select/program/operate row lines Xl ...X8 and mode control lines Yl ... Y3.
  • the NFPGA 1300 logic function is configured by performing program or erase operations on NV NT select circuits, labeled NT SEL 1-5 in Figure 13, using rows of select/program/operate lines Xl ...X8 and columns of mode control lines Yl ... Y3 as described further above with respect to NV NT select circuits 1150 and 1200.
  • NCLBs nanotube configurable logic blocks
  • NPSMs nanotube programmable switch matrices
  • NT BiDi Buffers such as NT BiDi Buffer 1375 are configured (programmed) and define the NFPGA 1300 logic function. Then, Xl ...X8 voltages are set to a combination of high and low voltage values and Yl ... Y3 are set to a low voltage such as ground to enable NFPGA 1300 logic operation as described further above with respect to NV NT select circuit 1150 in Figure 1 IB.
  • NCLB 1320 corresponds to NanoLogicTM circuit 1250 illustrated in Figure 12C and flip flops and multiplexers (not shown) as needed such as illustrated in Figure 7;
  • NPSM 1340-1 corresponds to NanoLogicTM circuit 1240 illustrated in Figure 12B;
  • NPSM 1340-2 also corresponds to NanoLogicTM circuit 1240;
  • NCLB 1360 corresponds to NanoLogicTM circuit 1270 illustrated in Figure 12D and flip flop and multiplexers (not shown) as needed such as illustrated in Figure 7;
  • NCLB 1350 includes NT SEL4 also referred to as NV NT select circuit 1357 that corresponds to NV NT select circuit 1200 which controls the operation of multiplexer 1355 and may include flip flops and other multiplexers (not shown) as illustrated in Figure 7.
  • CLB functions such as 1305 and 1370 may include configurable logic functions such as illustrated in Figures 6 and 7 and may or may not include NanoLogicTM functions.
  • Wiring region 1310 shows horizontal wires that may be used for various interconnections (not shown).
  • NT BiDi Buffer 1375 controls the direction of signal flow on wire 1380 as explained further below with respect to Figure 17.
  • NV SELL ..NV SEL5 share control and mode select lines Xl ...X8 and Yl ... Y3, respectively. In performing erase and programming operations, it is important not to disturb other NV NT select circuits sharing the same control and mode select lines.
  • One method of implementing a configuration- setting operation is to first erase all pairs of NV NT switches to a high resistance (OFF) state such illustrated by NV NT switch 1010' in Figure 1OC which corresponds to NV NT switches 1155 and 1160 illustrated in Figure 1 IB and NV NT switches corresponding to NV NT switches 1205 and 1210 illustrated in Figure 12 A, along pairs of row lines such as Xl and X2. Then, program selected individual NV NT switches to a low resistance (programmed) state, leaving unselected NV NT switches in a high resistance (erased) state as described further below.
  • OFF high resistance
  • Another method of implementing a configuration-setting operation is to first program all pairs of NV NT switches to a low resistance (ON) state such as illustrated by NV NT switch 1010 in Figure 1OB which corresponds to NV NT switches 1155 and 1160 illustrated in Figure 1 IB and NV NT switches corresponding to NV NT switches 1205 and 1210 illustrated in Figure 12 A, along pairs of row lines such as Xl and X2. Then, program selected individual NV NT switches to a high resistance (erased) state, leaving unselected NV NT switches in a low resistance (erased) state as described further below.
  • NV NT switch 1010 in Figure 1OB which corresponds to NV NT switches 1155 and 1160 illustrated in Figure 1 IB and NV NT switches corresponding to NV NT switches 1205 and 1210 illustrated in Figure 12 A
  • pairs of row lines such as Xl and X2.
  • Still another method of implementing a configuration-setting operation is to selectively modify those NV NT switches that need to be changed from a low resistance (ON) state to a high resistance (OFF) state or from a high resistance (OFF) state to a low resistance (ON) state.
  • the configuration-setting operation examples are based on erasing all NV NT switches to a high resistance (OFF) state and then selectively programming selected NV NT switches to a low resistance (ON) state.
  • NCLB 1320 NT SELl circuit is programmed such that select node 1321 is at a high voltage enabling logic function (A-B) 0 output to wire 1323 and NPSM 1340-1 NT SEL2 circuit is programmed such that select node 1341 is at a high voltage which turns FET 1342 to an ON state so that the wire 1323 signal is transmitted to wire 1345.
  • A-B enabling logic function
  • control lines Xl, X2, X3-X8 control lines are set to zero volts and mode select lines Yl, Y2, and Y3 are set to a high voltage such as 2.5 volts to connect all select nodes, including select nodes 1321 and 1341 to ground.
  • control lines Xl and X2 may be activated using one or more erase pulses V E such that corresponding NV NT switches in NT SELl and NT SEL2 are in a high resistance state. Note that it is also possible to do a block erase of all NT SEL circuits. Erase pulses V E are typically in the 5 to 7 volt range with relatively fast rise time in nanosecond range.
  • NT SEL 1 select node 1321 is to be in a high voltage state during NFPGA 1300 logic operation.
  • NT SELl operation corresponds to NV NT select circuit 1200 operation and corresponding NV NT switch 1205 and 1210 states are set based on NFPGA 1300 operational requirements. Therefore, NV NT switch 1205 (figure 12A) is programmed to a low resistance state and NV NT switch 1210 is left in a high resistance state.
  • select node 1321 were to have a low voltage state during logic operation then NV NT switch 1205 would be left in a high resistance state and NV NT switch 1210 would be programmed to a low resistance state.
  • mode line Yl is set at a high voltage such as 2.5 volts such that select node 1321 is grounded by an FET corresponding to FET 1215 (figure 12A) prior to applying programming pulses Vp.
  • Mode lines Y2 and Y3 are set at ground so that select nodes such as select node 1341 are not held at ground by mode control FETs such as FET 1215.
  • control line X2 is held at Vp/2 and control line Xl is pulsed with programming pulses Vp which are typically in the 3 to 5 volts range with rise times in microsecond range and the NV NT switch corresponding to NV NT switch 1205 is programmed from a high to low resistance state (from IG Ohm to 100k Ohm for example).
  • Control line X2 is held at V P /2 so that the NV NT switch in NT SELl corresponding to NV NT switch 1210 is not disturbed since only Vp/2 appears across it and remains in a high resistance state such as IG Ohm for example, and also so that NV NT switches in adjacent NT SEL2 circuit corresponding to NV NT switches NV NT 1205 and 1210 are not disturbed and remain in a high resistance state.
  • mode line Y2 is set at a high voltage such as 2.5 volts such that select node 1341 is grounded by an FET corresponding to FET 1215 (figure 12A) prior to applying programming pulses Vp.
  • Mode lines Yl and Y3 are set at ground so that select nodes such as select node 1321 are not held at ground by mode control FETs such as FET 1215.
  • control line X2 is held at Vp/2 and control line Xl is pulsed with programming pulses Vp which are typically in the 3 to 5 volts range with rise times in microsecond range and the NV NT switch corresponding to NV NT switch 1205 is programmed from a high to low resistance state (from IG Ohm to 100k Ohm for example).
  • Control line X2 is held at V P /2 so that the NV NT switch in NT SEL2 corresponding to NV NT switch 1210 is not disturbed since only Vp/2 appears across it and remains in a high resistance state such as IG Ohm for example, and also so that NV NT switches in adjacent NT SELl circuit corresponding to NV NT switches NV NT 1205 and 1210 are not disturbed and remain in a high resistance state.
  • Vp - Vp/2 Vp/2 appears across two NV NT switches in series corresponding to NV NT switches 1205 and 1210 because the mode control FET corresponding to FET 1215 is OFF.
  • a voltage of Vp/2 applied to a single NV NT switch is also insufficient to cause switching. Unselected control line pairs are held at ground.
  • Erase and program operations for NT SEL3 and NT SEL4 circuits correspond to those described with respect to NT SEL 1 and SEL2 circuits except that X3 and X4 control lines are used instead of Xl and X2 control lines. Unselected control line pairs are held at ground.
  • NCLB 1360 corresponds to NanoLogicTM circuit 1250 illustrated in Figure 12D and flip flops and multiplexers (not shown) such as illustrated in Figure 7.
  • An erase operation for NanoLogicTM circuit 1360 NT SEL5 circuit is similar to the erase operations described above with respect to NT SELl and NT SEL2. However, in this example, select node 1367 output voltage is selected to be zero so that NOR gate 1365 transmits (C+I)c to output OUT of NCLB 1360 so the programming operation is different.
  • mode line Y3 is set at a high voltage such as 2.5 volts such that select node 1367 is grounded by an FET corresponding to FET 1215 ( Figure 12A) prior to applying programming pulses Vp.
  • Mode lines Yl and Y2 are set at ground so that other select nodes (not shown) are not held at ground by mode control FETs such as FET 1215.
  • control line X7 is held at Vp/2 and control line X8 is pulsed with programming pulses Vp which are typically in the 3 to 5 volts range with rise times in microsecond range and the NV NT switch corresponding to NV NT switch 1205 is programmed from a high to low resistance state (from IG Ohm to 100k Ohm for example).
  • Control line X7 is held at V P /2 so that the NV NT switch in NT SELl corresponding to NV NT switch 1210 is not disturbed since only Vp/2 appears across it and remains in a high resistance state such as IG Ohm for example, and also so that NV NT switches in adjacent NT SEL circuit (not shown) are not disturbed and remain in a high resistance state.
  • the programmed NFPGA 1300 logic function is stored in a nonvolatile state even with no voltage applied to the chip. Voltage may be applied to the entire chip or routed only to portions of the chip required for logic operation in order to reduce overall chip power dissipation.
  • a low voltage such as ground is applied to control lines Yl, Y2, and Y3 and a high voltage such as an on-chip voltage of 2.5 volts is applied to control lines Xl, X3, X5, and X7 and ground to control lines X2, X4, X6, and X8 (corresponding controller logic is not shown).
  • FET 1215 is OFF.
  • NV NT switch 1205 is programmed to a low resistance state and NV NT switch 1210 is programmed to a high resistance state, then select node 1220 will be at a high voltage state of 2.5 volts when 2.5 volts is applied to Xl and ground is applied to X2. However, if NV NT switch 1205 is in a high resistance state and NV NT switch 1210 is in a low resistance state, then when 2.5 volts is applied to Xl and ground is applied to X2, select node 1220 will be at ground. [0164] NV SEL 1 -5 circuits shown in NFPGA 1300 correspond to NV NT select circuit 1200 illustrated in Figure 12 A.
  • NV NT select circuit 1200 Programming these switches as described further above with respect to NV NT select circuit 1200 results in select nodes 1321, 1341, 1343, and 1358 at high voltage such as 2.5 volts and select node 1367 at ground.
  • (A-B)c appears on wire 1323 and since both FET 1342 and 1344 are in an ON state, then (A-B)c is propagated to wire 1345 and then wire 1349; wire 1349 is connected to the input to multiplexer 1355 which is activated because NT SEL4 NV NT select circuit 1357 has output 1358 at a high voltage of 2.5 volts.
  • (A-B)c propagates along wire 1362 to NOR gate 1365 input I. Because select node 1367 voltage is ground, NOR gate 1365 propagates ((A-B)c + C)c to NCLB 1360 output node OUT.
  • the subscript c is used to indicate the complement of a logic term or function.
  • NFPGA 1300 illustrated in Figure 13 illustrates nonvolatile configurable logic blocks and nonvolatile programmable switch matrices using relatively simple examples based on NV NT select circuits and NanoLogicTM functions illustrated in Figure 12.
  • Nonvolatile configurable logic block (NCLB) 1400 illustrates an embodiment of a larger nanotube-based logic function corresponding to combinatorial logic function 710 illustrated in Figure 7 except that flip flop function and multiplexer are not shown in this example.
  • NCLB 1400 is a configurable (programmable) two input (inputs A and B) one output (output F) logic function formed using a cascade of FET transfer devices and other logic functions such as NAND, NOR, and a tristate output.
  • NV NT select circuits 1410-1, 1410-2, ..., 1410-7 corresponding to NV NT select circuit 1150 shown in Figure 1 IB and NV NT select circuit 1200 shown in Figure 12A are used to provide nonvolatile configuration control logic states.
  • the select node logic state of each NV NT select circuit is programmed using Xl and X2 control lines and YO to Y6 mode lines as described with respect to Figure 13.
  • Each select node also includes an inverter where needed so that both true and complement configuration control values are provided for select node outputs. Select node logic states are nonvolatile and remain unchanged even if power is lost or removed from the circuit.
  • NCLB 1400 includes input A to one terminal of FET 1430-1 and input A c formed by inverter 1430-2 and applied to one terminal of FET 1430-3, with the second terminal of each of FETs 1430-1 and 1430-3 dotted and connected to wire 1430-4 which drives one input of two input NOR gate 1440.
  • Inputs A and Ac are also connected to one terminal of FET 1430-5 and one terminal of FET 1430-6, respectively, with the second terminal of each of FETs 1430-5 and 1430-6 dotted and connected to wire 1430-7 which is connected to one input of three input NAND gate 1450.
  • NV NT select circuit 1410-1 provides configuration control logic state CO on select node 1415-1 output to the gate of FET 1430-1 and C0 c formed by inverter 1420-1 to the gate of FET 1430-3.
  • NV NT select circuit 1410-2 provides configuration control logic state Cl on select node 1415-2 output to the gate of FET 1430-5 and Cl c formed by inverter 1420-2 to the gate of FET 1430-6.
  • NCLB 1400 also includes input B to one terminal of FET 1430-8 and input B c formed by inverter 1430-9 and applied to one terminal of FET 1430-10, with the second terminal of each of FETs 1430-8 and 1430-10 dotted and connected to wire 1430-11 which drives the second input of two input NOR gate 1440.
  • Inputs B and Bc are also connected to one terminal of FET 1430-12 and one terminal of FET 1430-13, respectively, with the second terminal of each of FETs 1430-12 and 1430-13 dotted and connected to wire 1430-14 which is connected to a second input of three input NAND gate 1450.
  • NV NT select circuit 1410-3 provides configuration control logic state C2 on select node 1415-3 output to the gate of FET 1430-8 and C2 C formed by inverter 1420-3 to the gate of FET 1430-10.
  • NV NT select circuit 1410-4 provides configuration control logic state C3 on select node 1415-4 output to the gate of FET 1430-12 and C3c formed by inverter 1420-4 to the gate of FET 1430-13.
  • NCLB 1400 also includes NV NT select circuit 1410-5 with select node 1415-5 providing output C4 to one input of two input NAND gate 1445.
  • the second input to NAND gate 1445 is supplied by the output of NOR gate 1440.
  • NV NT select circuit 1410-6 with select node 1415-6 provides output C5 to the third input of three input NAND gate 1450.
  • the outputs of two input NAND 1445 and three input NAND 1450 drive the two inputs of NOR gate 1455.
  • the output of two input NOR gate 1455 drives the input of tristate inverter 1460.
  • the state of tristate inverter F is controlled by C6 and C6' which are provided by NV NT select circuit 1410-7.
  • Select node 1415-7 provides C6 and inverter 1420-7 provides C6c.
  • Figure 14B illustrates eight nonvolatile circuit configurations (CKT CONFIG. #s 1-8) and the corresponding values of CO, C0 c , ... ,C5 used to generate CKT CONFIG. #s 1-8 for NCLB 1400.
  • Figure 14B gives an output F function based on inputs A and B and the configuration control logic states. Output F outputs logic values if the C6 state is a logic 1 and C6c is a logic 0. However, if the C6 state is a logic 0 state and C6c is a logic 1, then output F remains tristate with no defined value.
  • Figure 15 illustrates equivalent circuits 1500 corresponding to CKT CONFIG. 1-8.
  • NFPGA 1300 illustrated in Figure 13 illustrates configurable logic blocks and programmable switch matrices using relatively simple examples based on NV NT select circuits and NanoLogicTM functions illustrated in Figure 12.
  • Nonvolatile programmable switch matrix (NPSM) 1600 illustrates an embodiment of a larger nanotube-based routing function corresponding to NPSM 1340-1 and NPSM 1340-2 in Figure 13.
  • NPSM 1600 is a programmable switch matrix 1610 with FET transfer gates controlled by select node outputs from NV NT select circuits 1620-1, 1620-2, ..., 1620-6.
  • PSM 1610 is formed by six FET devices to route signals, voltages, currents, or power between any combination of terminals A, B, C, and D.
  • NV NT select circuits 1620-1, 1620-2,... practice1620-6 with corresponding select nodes 1630-1, 1630-2, ..., 1630-6 provide corresponding configuration control logic states Cl, C2, ...,C6 to control the OFF or ON state of each FET in PSM 1610 by providing high voltages such as 2.5 volts for an ON state and a low voltage such as ground for an OFF state.
  • PSM 1610 includes FET TS 1 with terminals connected to terminals C and D and gate controlled by configuration control logic state Cl; FET TS2 with terminals connected to terminals A and D and gate controlled by configuration control logic state C2; FET TS3 with terminals connected to terminals A and C and gate controlled by configuration control logic state C3; FET TS4 with terminals connected to terminals B and D and gate controlled by configuration control logic state C4; FET TS5 with terminals connected to terminals A and B and gate controlled by configuration control logic state C5; and FET TS6 with terminals connected to terminals B and C and gate controlled by configuration control logic state C6.
  • the nonvolatile state of select nodes 1630-1 (Cl), 1620-2 (C2), ..., 1620-6 (C6) are programmed using control lines Xl and X2 and mode lines Y1-Y6 illustrated in Figure 16. Programming methods correspond to those described further above with respect to Figure 13.
  • configuration control logic states C1-C6 at either high voltage such as 2.5 volts or low voltage such as ground are applied to the gates of the FETs in PSM 1610 and signal routing is established.
  • Configuration control logic states programmed in NPSM 1600 may be used to form various routings between terminals A, B, C, and D. Exemplary interconnections achievable with NPSM 1600 are listed in Table 2 below.
  • Nanotube programmable switch matrix NPSM 1600 may be used to route signals, voltages, currents, and power as described further above with respect to Figure 16.
  • Individual FETs included in NPSM 1600 such transfer gate FETs TS1-TS6 enable or disable pathways between terminals such as terminals A, B, C, and D.
  • transfer gates enable signal, voltage, current, and power flow in both directions, that is between source and drain or between drain and source of FET transfer devices.
  • it is desirable to control signal propagation direction for example, and bidirectional buffers may be used. Bidirectional buffers may be used in conjunction with NPSMs. The direction of signal propagation may be controlled using a control circuit.
  • NPGA 1300 illustrated in Figure 13 nanotube-based bidirectional buffers such as NT BiDi Buffer 1375 control the direction of voltage propagation (and current flow) on wires such as wire 1380. Voltage waveforms propagate left to right or right to left depending on the nonvolatile state of NT BiDi Buffer 1375 as illustrated further below with respect to Figure 17.
  • FIG. 17A illustrates an embodiment of a nanotube-controlled bidirectional buffer circuit NT BiDi Buffer 1700 which corresponds to NT BiDi Buffer 1375 shown in Figure 13.
  • the direction of signal flow in bidirectional buffer circuit BiDi Buffer 1710 is controlled by true and complement configuration control logic states C and C provided by NV NT select circuit 1715. If C is at ground and C is at a positive voltage such as 2.5 volts for example, then signal-in on wire 1720-1 and signal-out on wire 1720-2 is enabled. However, if C is at a positive and C is ground, then signal-in on wire 1720-2 and signal- out on 1720-1 is enabled.
  • BiDi Buffer 1710 includes inverter INV 1 with input connected to wire 1720- 1 and to a first terminal of FET T2.
  • the output of INVl drives the input of inverter INV2.
  • the output of INV2 is connected to a first terminal of FET Tl whose gate is controlled by configuration control logic state C supplied by select node 1725-3 of NV NT select circuit 1715 through inverter 1725-2.
  • a remaining second terminal of FET Tl is connected to wire 1720-2 and also to the input of inverter INV3.
  • the output of INV3 drives the input of inverter INV4 whose output drives a second terminal of FET T2.
  • the gate of FET T2 is controlled configuration control logic state C supplied by select node 1725-1 of NV NT select circuit 1715.
  • the first terminal of FET T2 is connected to wire 1720-1 and to the input of INVl as described further above.
  • NV NT select circuit 1715 may be used to control the direction of signal flow in BiDi Buffer 1710.
  • NV NT select circuit 1715 corresponds to NV NT select circuit 1150 shown in Figure 1 IB and may be programmed and operated as described with respect to Figure 1 IB.
  • NV NT select circuit 1715 also corresponds to NV NT select circuit 1200 shown in Figure 12A and also to the operation of NT SELl circuit as part of in NCLB 1320 shown in Figure 13.
  • Mode control Y may be set to programming mode or to an operating mode as described further above with respect to Figures 1 IB, 12, and 13 and Xl and X2 may be used to program the nonvolatile resistance states of the NV NT switches.
  • Configuration control logic state C may be at a high voltage such as 2.5 volts and configuration control logic state C (complement of C) may be at ground (zero volts).
  • configuration control logic state C may be at a low voltage such as ground and configuration control logic state C (complement of C) may be at a high voltage such as 2.5 volts.
  • NT BiDi Buffer 1700 enables signal flow from wire 1720- 1 to wire 1720-2 or from wire 1720-2 to wire 1720-1. If C is at ground and C is at a positive voltage such as 2.5 volts for example, then FET T2 is in an OFF state and FET Tl is in an ON state. A signal arriving on wire 1720-1 propagates through INVl and INV2 and FET Tl to wire 1720-2. However, a signal arriving on wire 1720-2 can only flow through Tl to the output node of INV2 and is blocked. Similarly, the signal can propagate through INV3 and INV4 but is blocked by FET T2 in an OFF state.
  • NT-BiDi Buffers similar to NT-BiDi Buffer 1700 may be found in US Patent Application No. 61/039204, filed on Mar.
  • Figure 17B illustrates an embodiment of a NT enhanced bidirectional buffer circuit NT E-BiDi Buffer 1740 that not only controls the direction of signal flow as does NT BiDi Buffer 1700, but may also be used to invert (or not invert) signal polarity and restore pulses to different (or the same) voltage amplitudes as described further below with respect to Figure 17B and also with respect to US Patent Application No. 61/039204, filed on Mar. 25, 2008, entitled “Carbon Nanotube-Based Neural Networks and Methods of Making and Using Same.”
  • Signal flow from wire 1747-1 to 1747-2 is processed independently of signal flow from wire 1747-2 to 1747-1.
  • NT E-BiDi Buffer 1740 circuit is formed by a combination of enhanced bidirectional buffer circuit E-BiDi buffer 1745, NV NT select circuits 1750-1, 1750-2 and 1750-3, on-chip voltages Vl, V2, V3, and V4 generated by on-chip programmable voltage generator 1760, and controller 1765.
  • voltages Vl, V2, V3, and V4 are supplied to inverters INVl, INV2, INV3, and INV4, respectively, by on-chip programmable voltage generator 1760.
  • the operation of on-chip programmable voltage generator 1760 is described further below with respect to Figure 18.
  • NV NT select circuits 1750-1, 1750-2 and 1750-3 correspond to the programming and operation of NV NT select circuit 1150 shown in Figure 1 IB, NV NT select circuit 1200 illustrated in Figure 12 A, the operation of NV NT select circuits 1410-1...1410-7 shown in Figure 14 A, and the operation of NV NT select circuits 1620-1...1620-6 shown in Figure 16.
  • E-BiDi Buffer 1745 includes inverter INVl with input connected to wire 1747- 1 and to a first terminal of FET T2.
  • the output of INVl drives the input of inverter INV2.
  • the output of INV2 is connected to a first terminal of FET Tl whose gate is controlled by configuration control logic state Cl ' supplied by select node 1755-2 inverter INV5 output whose input is connected to the NV NT select circuit 1750-1 output node.
  • FET T3 is connected in parallel with INV2 with a first terminal connected to the output of INVl and a second terminal connected to a first terminal of FET Tl .
  • FET T3 The gate of FET T3 is controlled by configuration control logic state C2 supplied by select node 1755-4 of NV NT select circuit 1750-3. A remaining second terminal of FET Tl is connected to wire 1747-2 and also to the input of inverter INV3.
  • the output of INV3 drives the input of inverter INV4.
  • the output of INV4 is connected to a first terminal of FET T2 whose gate is controlled by configuration control logic state Cl supplied by select node 1755-1 of NV NT select circuit 1750-1 output node.
  • FET T4 is connected in parallel with INV4 with a first terminal connected to the output of INV3 and a second terminal connected to a second terminal of FET T2.
  • FET T4 is controlled by configuration control logic state C3 supplied by select node 1755-3 of NV NT select circuit 1750-2.
  • a remaining second terminal of FET T2 is connected to wire 1747-1 and also to the input of inverter INVl.
  • On-chip voltages Vl, V2, V3, and V4 are supplied to E-BiDi Buffer 1745 by on-chip nonvolatile nanotube programmable voltage generator 1760 as described further below with respect to Figure 18.
  • Vl (and V2, V3, and V4) may be varied over a relatively large range of voltages (0 to 5 volts, for example).
  • Controller 1765 outputs Oi ...O M are inputs to on-chip NV NT programmable voltage generator 1760 used to program the values of Vl, V2, V3, and V4 as described further below with respect to Figure 18.
  • Controller 1765 also controls the programming and operation of NV NT select circuits 1750-1, 1750-2, and 1750-3 to control the logical operation of E-BiDi Buffer 1745 with outputs Xl, X2, Yl, Y2, and Y3 connected to corresponding NV NT select circuits that control the logic operation of NT E BiDi buffer 1740.
  • Inputs I 1 ...I N to controller 1765 are processed by the controller 1765 logic (not shown).
  • NT BiDi Buffer 1740 enables non-inverted signal flow from wire 1747-1 to wire 1747-2 or from wire 1747-2 to wire 1747-1 if FET T3 and FET T4 are in an OFF state. If Cl is at ground and Cl ' (logical complement of Cl) is at a positive voltage such as 2.5 volts for example, then FET T2 is in an OFF state and FET Tl is in an ON state. A signal arriving on wire 1747-1 propagates through INVl and INV2 and FET Tl to wire 1747-2. However, a signal arriving on wire 1747-2 can only flow through Tl to the output node of INV2 and is blocked.
  • the signal can propagate through INV3 and INV4 but is blocked by FET T2 in an OFF state.
  • FET Tl is in an OFF state and FET T2 is in an ON state.
  • a signal arriving on wire 1747-2 propagates through INV3 and INV4 and FET T2 to wire 1747-1.
  • a signal arriving on wire 1747-1 can only flow through T2 to the output node of INV4 and is blocked.
  • the signal can propagate through INVl and INV2 but is blocked by FET Tl in an OFF state.
  • on-chip voltage Vl is applied to the inverter INVl and V2 is applied to inverter INV2 PFET source terminal.
  • Voltages Vl and V2 may be varied over a range of voltages from 0 to 5 volts for example by on-chip NV NT programmable voltage generator 1760.
  • FET T3 is in OFF state and voltages Vl and V2 may be varied from 1 to 5 volts for example.
  • voltage V2 is reduced to zero to enable FET T3 turn-ON.
  • Vl may be set in the 1 to 5 volts range and the signal flowing from wire 1747-1 to wire 1747- 2 will be inverted and its amplitude may remain the same or may be modified.
  • on-chip voltage V3 is applied to the inverter INV3 and V4 is applied to inverter INV4 PFET source terminal.
  • Voltages V3 and V4 may be varied over a range of voltages from 0 to 5 volts for example by on-chip NV NT programmable voltage generator 1760.
  • FET T4 is in OFF state and voltages V3 and V4 may be varied from 1 to 5 volts for example.
  • FIG. 18 illustrates an embodiment of a nonvolatile nanotube-controlled on- chip programmable voltage generator circuit (NV NT programmable voltage generator 1800) NT V-GEN 1800 that corresponds to on-chip NV NT programmable voltage generator 1760 illustrated in Figure 17B.
  • NV NT programmable voltage generator 1800 nonvolatile nanotube-controlled on- chip programmable voltage generator circuit
  • On-chip voltage regulator 1810 is connected to a power source at voltage V DD and generates an on-chip voltage Vo-c on output node 1830 when provided with a reference voltage V REF on first input terminal 1835.
  • Differential amplifier 1815 holds output voltage Vo-c on output node 1830 equal to reference voltage V REF -
  • Differential amplifier 1815 operation is similar to the operation of differential amplifiers described in R, Jacob Baker et al., "CMOS circuit Design, Layout, and Simulation," IEEE Press, 1998, p. 579-592.
  • Regulated output voltage V 0 - C and corresponding output current to on-chip circuits is supplied by PFET 1825 typically having a wide channel width (width-to-length ratio of 100:1 or more for example).
  • Inverter 1820 provides output voltage feedback to a second input terminal 1880 of differential amplifier 1815.
  • a reference voltage is supplied to a first input terminal 1835 of differential amplifier 1815 by nanotube-controlled nonvolatile nanotube voltage reference generator NT_R-GEN 1840.
  • NT_R-GEN 1840 includes NV NT select circuit 1845 with reference node connected to first input terminal 1835.
  • a pair of NV NT switches is programmed to a ratio of ON resistance values (states) that sets reference voltage V REF based on a ratio of NV NT resistor values.
  • NV NT switches are used in an analog mode and both NV NT switches are typically in an ON state of different resistance values and are referred to NANRISTORS in which ON resistance values are held in a nonvolatile state.
  • NV NT select circuit 1845 includes NANRISTORS 1850 and 1855 sharing common first nodes which forms a select node that generates V REF on the first input terminal 1835 of differential amplifier 1815.
  • FET 1860 is a mode control FET which is ON during programming and OFF during operation as described further above with respect to NV NT select circuit 1150 in Figure 1 IB for example.
  • PFET 1865 has one terminal connected to a second node of NANRISTOR 1850 and a second terminal connected to a power source at voltage V DD - NFET 1870 has one terminal connected to a second node of NANRISTOR 1855 and a second terminal connected to a reference voltage such as ground.
  • the gate voltage of PFET 1865 is controlled by reference voltage controller 1875 output Gl; the gate of FET 1870 is controlled by output G2, and mode Y output to the gate of FET 1860 selects program or operate modes.
  • Xl and X2 provide programming (program and erase) pulses as described further above with respect to Figure 1 IB.
  • Gl is at a high voltage such as 2.5 volts for example and PFET 1865 is OFF and G2 is at ground and NFET 1870 is OFF.
  • Y is at a high voltage such as 2.5 volts for example and NFET 1860 is ON and the select node connected to first input terminal 1835 is at ground.
  • Xl and X2 apply pulses to the second terminals of nonvolatile NANRISTORS 1850 and 1855 and resistor values are adjusted such that a ratio of NANRISTOR 1850 and 1855 values results in a voltage V REF when V DD is applied during NT R-GEN 1840 operation.
  • Programming corresponds to programming as described with respect to NV NT select circuit 1150 in Figure 1 IB. All pulses are controlled by reference voltage controller 1875 based on inputs INi • • -IN M which correspond to Oi ...O M in Figure 17B.
  • V REF is determined as follows
  • V REF at first input terminal 1835 of differential amplifier 1815 is set equal to the desired voltage level for Vo c and the output node 1830 of differential amplified 1815 which also corresponds to output node 1830 of NT V-GEN 1800 is held at Vo c even as circuit load at output 1830 is varied (draws more or less current).
  • NT V-GEN 1800 circuit output Vo c corresponds to one of voltage outputs Vl, V2, V3, and V4 shown in Figure 17B. The number of NT V-GEN 1800 circuits needed depends on the number of on-chip voltages to be generated.
  • NV NT Bidirectional Buffer Function and NV NT Programmable Voltage Generator used to Generate Precision Timing (Delay) and for Power Management
  • Nonvolatile nanotube programmable voltage (NT V-GEN) 1800 shown in Figure 18 may be used to generate and control on-chip voltage to various circuits in an integrated circuit chip such as nonvolatile nanotube bidirectional buffer (NT E -BiDi Buffer) 1740 shown in Figure 17B for example.
  • CMOS circuits as is well known in the industry, swing from rail-to-rail and operate over a wide range of voltages. CMOS circuits with threshold voltages of 0.7 volts, for example, in the range of 1 to 3.5 volts for example but at varying speeds and power dissipation.
  • CMOS circuits will operate more slowly due to reduced overdrive but also will dissipate less power. However, CMOS circuits will operate at high speed if the circuit is operated at a higher voltage such as 3.5 volts for example. Switching CMOS circuits dissipate power proportional to fC-V 2 , where f is the frequency of operation, C is the capacitive load, typically primarily due to wiring capacitance, and the square of the rail-to-rail voltage swing V.
  • Controller 1765 is described above with respect to control of voltages V1-V4 applied to NT E-BiDi Buffer 1740 to modify the amplitude and polarity of pulses between input and output of NT-E-BiDi Buffer 1740. However, by controlling the voltage applied to NT E-BiDi Buffer 1740, controller 1765 also varies power dissipation and delay through the buffer circuit.
  • Controller 1765 may be used to vary voltages applied to NT E-BiDi Buffer 1740 to achieve continuous precision timing (delay) control because varying CMOS voltage can be used to control delay through CMOS circuits as described further above.
  • Bertin et al US Patent Application No. 11/835583 filed on Aug. 8, 2007, entitled “Latch Circuits and Operation Circuits Having Scalable Nonvolatile Nanotube Switches as Electronic Fuse Replacement Elements”
  • US Patent Application No. 11/835612 filed on Aug. 8, 2007, entitled “Nonvolatile Resistive Memories Having Scalable Two-Terminal Nanotube Switches”
  • precision timing (delay) control in discrete steps until a pulse appears in a valid data window defined by a strobe pulse.
  • pulse timing (delay) NT E-BiDi buffer 1740 circuit may be monitored by sensing for the presence or absence of an output pulse in a valid data window defined by a strobe pulse. Voltages are adjusted by NT V-GEN 1800, under the control of controller 1765, until the output pulse is detected by a comparator circuit (not shown) and a signal is sent to controller 1765. Reference voltage generator 1875, based on inputs from controller 1765 or another signal source, incrementally changes the ratio of the resistance values of Nanristors 1850 and 1855 in NT R-GEN 1840 (as described further above) until the output voltage of NT V- GEN 1840 circuit (or circuits since there may be more than one used) results in the desired timing speed (delay).
  • Nanristor 1850 and 1855 nonvolatile resistance values are left unchanged.
  • the amplitude of the signal output may be different to that of the signal input.
  • the amplitude may be restored to a full rail-to-rail swing of, for example, 3.5 volts may be restored by sending the signal through one or more inverter stages (not shown). Delays introduce by inverter(s) are in series with the signal path and will be included in the adjusted precision timed waveform.
  • Power management may be implemented for various regions of a chip (or the entire chip) by reducing the on-chip voltage output of NT R-GEN 1840. This may be achieved by inputs to the reference voltage controller 1875 and corresponding adjustment of the values of nanristors 1850 and 1855 as described further above with respect to signal speed (delay) timing control.
  • a power reduction signal may be provided to chips by the system by an OP-Code to a detector as described further below.
  • a temperature sensor may be located on-chip that sends a signal to a controller such as reference controller 1875 to reduce operating voltage which reduces power dissipation.
  • NT E-BiDi buffer 1740 and NTJV-GEN 1800 may be changed.
  • voltages such V1-V4 may be driven to zero and switching operations terminated.
  • voltages may be changed, amplitudes, polarities, timings (delays) may be modified to conditions that do not reflect the correct operating conditions of the application.
  • One method of detecting a security event is to use an on-chip detector that monitors an OP-Code stream and detects a security event (alarm) code as described in Bertin et al. US patent 7,394,687. Once detected, programmable wiring and programmable logic and signal routing circuits may be modified as described further so that the high application function security is changed and therefore protected.
  • Nanotube Configurable Logic Blocks (NT_CLB) & Nanotube Programmable Switch Matrix (NT_PSM) using Nonvolatile NRAM- Controlled Select Circuits
  • NRAMT M memory architectures are combined with CLB and PSM functions to form NCLB and NPSM building blocks that may be integrated to form NFPGA logic as described with respect to Figures 19-26 illustrated further below.
  • NFPGA Function and Operation using NRAMTMs are combined with CLB and PSM functions to form NCLB and NPSM building blocks that may be integrated to form NFPGA logic as described with respect to Figures 19-26 illustrated further below.
  • Nonvolatile NRAMTM array schematic 1900 includes a matrix of 16 nonvolatile storage cells COO, COl, , C33 as illustrated in Figure 19.
  • NRAMTM memory architecture and operation are described in US Patent Application No. 11/280786, filed on Nov. 15, 2005, entitled “Two-Terminal Nanotube Devices and Systems and Methods of Making," US Patent Application No. 11/274967, filed on Nov. 15, 2005, entitled “Memory Arrays Using Nanotube Articles with Reprogrammable Resistance,” US Patent Application No. 11/835583, filed on Aug. 8, 2007, entitled “Latch Circuits and Operation Circuits Having Scalable Nonvolatile Nanotube Switches as Electronic Fuse Replacement Elements," US Patent Application No. 11/835612, filed on Aug.
  • Each memory cell illustrated in NRAMTM array schematic 1900 includes a select transistor TOO that may be an NFET as shown, or may also be a PFET (not shown) or a CMOS transfer device (not shown) that includes both NFET and PFET devices, or other types of switching devices (not shown) such as diode steering devices as described in US Patent Application Nos.
  • Each cell such as cell COO, also includes a nonvolatile nanotube storage node NTOO such as NV NT switch 1110 as illustrated by NRAMTM cell 1100 in Figure 1 IA.
  • Nonvolatile nanotube storage node NTOO (NV NT storage node) may be formed using NV NT switch- types or NV NT block switch-types illustrated further above and summarized in Figures 1OB, 1OC and 10D, respectively.
  • Nonvolatile storage cells such as cell COO are formed by connecting the source SCOO of a transistor such as NFET TOO to a first terminal of a NV NT storage node such as NV NT storage node NTOO illustrated in Figure 19.
  • NRAMTM array schematic 1900 is formed by connecting word lines WLO, WLl, WL2, and WL3 to corresponding gates of NFET select transistors in corresponding storage cells; connecting secondary word lines WWLO, WWLl, WWL2, and WWL3 (typically used as reference lines connected to a voltage such as ground (zero volts)) to corresponding second terminals of NV NT storage nodes in corresponding storage cells; and connecting bit lines BLO, BLl, BL2, and BL3 to corresponding drain diffusions of corresponding NFET select transistors in corresponding nonvolatile storage cells as illustrated in Figure 19.
  • word line WLO is connected to the gate of NFET TOO by contact GCOO; secondary word line WWLO is connected to the second terminal of nonvolatile nanotube storage node NTOO by contact NCOO; and bit line BLO is connected to the drain of NFET TOO by contact DCOO.
  • a word line such as word line WLO is selected and FETs COO, COl, C02, and C03 are turned ON.
  • Word lines WLl, WL2, and WL3 are kept at ground and all other FETs are in an OFF state.
  • bit lines are pulsed in the range of 5 to 7 volts with rise times in the nanosecond range using one or more pulses.
  • One approach is to erase all bits along selected word line WLO so that all NV NT switches are in a high resistance (OFF) state corresponding to a logical "0" state.
  • selected cells along WLO are programmed to a low resistance (ON) state corresponding to a logical "1" state. So for example, if cell COO is to store a low resistance value, a program (write “1") operation is performed in which bit line BLO is pulsed in the range of 3-5 volts with rise times in the microsecond range using one or more pulses. If cells COl, C02, and C03 are to remain in a high resistance logical "0" state, then bit lines BLl, BL2, and BL3 are held at ground.
  • bit lines such as BLO, BLl, BL2, and BL3 are precharged to a voltage such as 2.5 volts for example.
  • a word line such as word line WLO is selected and select FETs in NRAMTM cells COO, COl, C02, and C03 are turned ON.
  • cell COO is in a low resistance state corresponding to a logical "1" state and bit line BLO is discharged and a logical "1" state will sensed and latched. Since cells COl, C02, and C03 are in a high resistance state, bit lines BLl, BL2, and BL3 will not discharge and a logical "0" state will be sensed and latched.
  • a x4 NRAMTM configuration all 4 bits are provided at the NRAM memory output.
  • 1 of 4 bits is selected and provided at the NRAMTM memory output.
  • FIG. 6 illustrates CLB 600 which includes look up table (LUT) 610.
  • LUT 610 is described further above with respect to Figure 6 which corresponds to LUTs as described in US patent Re. 34,363 Jun. 24, 1991 Ross Freeman in which a 16 bit RAM (a volatile SRAM) in a xl configuration is used to generate LUT 610 logic look up table.
  • An NRAMTM may be used instead as the RAM function.
  • An NRAMTM has the advantage of smaller array size because of smaller cell size and nonvolatile operation.
  • Figure 20 illustrates NCLB 2000 including NLUT 2010 that includes 16 bit NRAMTM array 2015 that corresponds to NRAMTM array schematic 1900 described further above with respect to Figure 19.
  • Inputs Ii and I 2 to X-Decoder 2020 and I 3 and I 4 to Y-Decoder 2025 select one of 16 bits to output to wire 2030.
  • NLUT 2010 output drives wire 2030 which in turn drives an input to D flip flop 2035, which also includes a clock input, and also drives a first terminal of multiplexer (MUX) 2040.
  • MUX 2040 drives wire 2045 providing NCLB 2000 output O.
  • Figure 21 illustrates a block diagram of an embodiment of NFPGA 2100 formed using NRAMTM controlled NCLBs such as NCLB 2120 and NRAMTM controlled NPSMs such as NPSM 2140-1.
  • NFPGA 2100 also includes a NanoLogicTM circuit that includes a nonvolatile nanotube select circuit (labeled NT SEL) that forms nanotube configurable logic block NCLB 2160 and an NRAMTM controlled MUX 2155 as part of NCLB 2150.
  • NRAMTM controlled bidirectional buffers (not shown) may also be included.
  • Flip flops and multiplexers may be included as well.
  • the NFPGA 2100 logic function is configured using NRAMTM memories (or memory sub-arrays) NRAM1-NRAM4 whose operations are described further above with respect to Figures 19 and 20.
  • NCLB 2160 programming also includes NV NT select circuit NT SEL as shown in Figure 21 and described further above with respect to Figure 13 using program and operation inputs Xl, X2, and mode control input Y.
  • Multiple nanotube-configurable logic blocks (NCLBs) and nanotube programmable switch matrices (NPSMs) are configured (programmed) and determine NFPGA 2100 logic function.
  • NCLB 2120 corresponds to NCLB 2000 illustrated in Figure 20 that may include flip flops and multiplexers (not shown) such as illustrated in Figure 6;
  • NPSM 2140-1 uses NRAM2 output 2141 to control the ON/OFF state of FET 2142;
  • NPSM 2140- 2 uses NRAM3 output 2143 to control the ON/OFF state of FET 2144;
  • NCLB 2160 corresponds to NanoLogicTM circuit 1270 illustrated in Figure 12D and flip flop and multiplexers (not shown) such as illustrated in Figure 7;
  • NCLB 2150 includes NRAM4 whose output 2158 controls the operation of multiplexer 2155 and may include flip flops and other multiplexers (not shown) as illustrated in Figure 7.
  • CLB functions such as 2105 and 2170 may include configurable logic functions such as illustrated in Figures 6 and 7 which may or may not include NRAM and NanoLogic functions.
  • Wiring region 2110 shows horizontal wires that may be used for various interconnections (not shown).
  • NT BiDi Buffers controlled by NRAMTMs may be used to controls the direction of signal flow on selected wires as explained further above with respect to Figures 17A and 17B.
  • configuration control logic states (may also be referred to as configuration control bits) are supplied by NRAMTM memory outputs.
  • NCLB 2120 In logic configuration setting operations for NCLB 2120, NCLB 2150, NPSM 2140-1 and NPSM 2140-2, NRAM1-NRAM4 are programmed as described with respect to NRAMTM array schematic 1900 ( Figure 19) and NRAMTM array 2015 by word and bit line waveforms selected by X-decoder 2020 and Y-decoder 2025 (figure 20) to generate nonvolatile logic voltages that control device ON/OFF states and control logic block functions.
  • NCLB 2160 logic function is determined by NT SEL based on inputs Xl, X2, and Y as described further above with respect to Figure 1 IB, Figure 12A, and Figure 13 for example.
  • NCLB 2120 logic function includes NLUT 2122 with NRAMl corresponding to Figure 20 with NLUT 2122 programmed such that a logic function such as (A-B)c (the complement of A logically ANDed with B) for example is outputted to wire 2123 and NPSM 2140-1 NRAM2 is programmed such that output 2141 is at a high voltage which turns FET 2142 to an ON state so that the wire 2123 signal is transmitted to wire 2145.
  • NPSM 2140-2 NRAM3 is programmed such that output 2143 is at a high voltage which turns FET 2144 to an ON state so that the wire 2145 signal is transmitted to wire 2149.
  • NCLB 2150 NRAM4 is programmed such that output 2158 activates MUX 2155 which is connected to wire 2149 and transmits the signal on wire 2149 to wire 2162.
  • NCLB 2160 corresponds to NanoLogicTM circuit 1250 illustrated in Figure 12D and flip flops and multiplexers (not shown) such as illustrated in Figure 7.
  • Three input NOR gate 2165 has a first input controlled by the select node 2167 output of NT SEL. Input I corresponds to the signal on wire 2162 and is applied to a second input of NOR gate 2165. Input C is applied to a third input of NOR gate 2165. In this example, select node 2167 output voltage is selected to be zero so that NOR gate 2165 transmits (C+I)c to output OUT of NCLB 2160.
  • (A-B)c appears on wire 2123 and since both FET 2142 and 2144 are in an ON state, then (A-B)c is propagated to wire 2145 and then to wire 2149; wire 2149 is also an input to multiplexer 2155 which is activated because NRAM4 has output 2158 at a high voltage such as 2.5 volts. Therefore, (A-B)c propagates along wire 2162 to NOR gate 2165 input I. Because select node 2167 voltage is ground, NOR gate 2165 propagates ((A-B) 0 + C) c to NCLB 2160 output node OUT. NCLB Function and Operation using NRAMTMs
  • NFPGA 2100 illustrated in Figure 21 illustrates nonvolatile configurable logic blocks and nonvolatile programmable switch matrices using relatively simple examples based on NRAMTMs illustrated in Figures 19 and 20.
  • One nonvolatile configurable logic block based on a nonvolatile nanotube select circuit as described further above with respect to Figure 12D is also included to illustrate the ability to mix NRAMTM-based and NV NT select circuit-based approaches to nonvolatile configurable logic blocks in the same NFPGA design. Since nonvolatile configurable logic blocks have been described further above with respect to Figures 13 and 14, the emphasis is on NRAMTM-controlled programmable logic functions.
  • NRAMTM architectures that provide NRAM -generated configurable control bits (corresponding to configurable control logic states) based on bit configurations stored in NRAMTM arrays similar to NRAMTM array schematic 1900 in Figure 19.
  • NRAMTM architectures may be similar to the NRAMTM architecture described with respect to NCLB 2000.
  • NCLB 2000 is illustrated further above with respect to Figure 20 and includes an NRAMTM memory used to realize nonvolatile look up table (NLUT) 2010 which provides configuration control logic states (or configuration controlled bits) as part nonvolatile programmable logic block (NCLB) 2000 function.
  • NLUT nonvolatile look up table
  • NRAMTM architectures may also be designed to provide multi-bit outputs in parallel and may use fewer on-pitch circuits to implement NCLB functions in a smaller physical area for example as illustrated further below by NRAMTM 2200 in Figure 22, and configured to provide nonvolatile configuration control bits.
  • FIG. 22 A illustrates an embodiment of NRAMTM 2200 architecture shown in schematic form that may be used as an NRAMTM-based nonvolatile control bit generator that provides true and complement control bit outputs.
  • NRAMTM 2200 includes NV memory array 2205 shown in schematic form which corresponds to nonvolatile NRAMTM array schematic 1900 illustrated in Figure 19, but with only one word line and six parallel bit lines.
  • Controller 2210 accepts inputs 2212 and generates outputs 01-010.
  • Outputs O1-O6 drive a first input of two terminal tristate AND gates 2215-1 to 2215-6, respectively.
  • Output 07 drives second input gates of two terminal tristate AND gates 2215-1 to 2215-6 to enable or disable bit line selection for program or erase operations.
  • Outputs of two terminal tristate AND gates 2215-1 to 2215-6 drive bit lines BL1-BL6, respectively.
  • Bit lines BL1-BL6 are also connected to the drain of corresponding NFET select transistors shown in NV memory array 2205.
  • a word line WLl is connected to the output of word line driver WL DR which is driven by output 09 of controller 2210.
  • Word line driver WL DR drives array word line WLl which is connected to gates of FET select devices shown in NV memory array 2205 for cells BI l, B12, ...., B16.
  • Storage nodes may be formed using NV NT switches or NV NT blocks as described further above with respect to Figures 1OB, 1OC and Figure 1OD, respectively.
  • Each first terminal of a NV NT switch or a NV NT block is connected to a source of a corresponding select FET and a second terminal is connected to a common reference line such as reference line REF which may be connected to ground.
  • PFETs 2220-1 to 2220-6 have first terminals connected to a voltage source V.
  • Each second terminal of PFETs 2220-1 to 2220-6 is connected to bit lines BL1-BL6, respectively, and gate terminals are connected to a common control line which is connected to controller 2210 output 08 which enables or disables read operations.
  • Bit lines BL1-BL6 are also connected to a first terminal of each transfer NFET 2225-1 to NFET 2225-6 transfer devices, respectively.
  • a second terminal of each transfer device NFET 2225-1 to NFET 2225-6 drives an input of inverters INVl '- INV6', respectively, and the gates of transfer devices NFET 2225-1 to NFET 2225-6 are connected to a common control line which is driven by output 010.
  • the outputs of inverters INVl '-INV6' provide complement configuration control bits Ci '-C 6 '.
  • the outputs of inverters INV1 '-INV6' also drive inputs of inverters INV1-INV6, respectively, whose outputs generate configuration control bits C1-C6, respectively.
  • the complement of a logic (or bit) variable may be indicated as C or Cc for example. Both forms are used interchangeably throughout the specification.
  • NRAMTM 2200 configuration control bits C1-C6 and complement configuration control bits Cl '-C6' may be used as part of NCLB 2300 illustrated further below in Figure 23 and may also be used as part of NPSM 2400 illustrated further below with respect to Figure 24.
  • Figure 22B illustrates an embodiment of NRAMTM 2250 in schematic form which corresponds to NRAMTM 2200 but with a larger 6 x K nonvolatile memory array 2205' compared with 1 x 6 nonvolatile memory array 2205. Additional word drivers, word driver input, and output lines have been added to NRAMTM 2200 to accommodate the larger memory array.
  • Word line driver WL DR with input 09 driving word line WLl has been replaced with word line drivers WL DRl to WL DRK with corresponding inputs 09-1 to O9-K and corresponding outputs driving word lines WLl-WLK, respectively.
  • Word line WLl drives gates of select FET transistors in cells Bl 1-B16; other word lines (not shown) drive corresponding gates of select FET transistors in other cells; and word line WLK drives gates of select FET transistors in cells BK1-BK6. All other functions are unchanged and are as described with respect to Figure 22A.
  • controller output 08 is held at a high voltage such as 2.5 volts and PFETs 2220-1 to 2220-6 are OFF. Transfer NFETs 2225-1 to 2225-6 gates are held at ground (zero volts) by OIO and are in an OFF state. Two input instating AND gates 2215-1 to 2215-6 are activated by 07 at a high voltage such as 2.5 volts which disables tristate and enables two input AND gate operation. At this time, erase and/or program NRAMTM operations may be performed as described further above with respect to Figure 19.
  • NV NT switches (or NV NT blocks) in NV memory array 2205 cells have been switched to ON or OFF states, erase and program operations are terminated and a nonvolatile high resistance state (OFF) or a nonvolatile low resistance state (ON) is stored.
  • a high resistance state may correspond to a logic "0" state and a low resistance state may correspond to a logic "1" state for example.
  • NV memory array 2205 cells are in the following states as illustrated in Figure 22A. BI l is in a high resistance state; B 12 is in a low resistance state; B 13, B 14, and B 15 are in high resistance states, and B16 is in a low resistance state.
  • controller output 07 is at ground and two input tristate AND gates 2215-1 to 2215-6 are inactive and tristated.
  • Controller output 08 is at ground and PFETs 2220-1 to 2220-6 are ON.
  • PFETs are designed for a high ON channel resistance values using minimum widths and greater than minimum lengths. Therefore voltage V is applied through a channel resistance of IM Ohm for example.
  • a read voltage is applied by WL DR to word line WLl and all select FETs are turned ON.
  • NV NT switches or NV NT blocks
  • the output voltage on the corresponding bit lines is V.
  • bit line BL1-BL6 voltages are applied to inverters INV1 '-INV6', respectively, generating complement configuration control bits Cl '-C6'; and INV1 '-INV6' outputs drive corresponding inverters INV1-INV6 generating configuration control bits C1-C6, respectively.
  • configuration control bit (true and complement) outputs during a read operation are as follows: Cl ', C2, C3, C4, C5' and C6 are at a high voltage such as 2.5 volts for example; Cl, C2', C3', C4', C5, and C6' are at a low voltage such as ground.
  • NV memory array 2205' word line WLK configuration control bit (true and complement) outputs during a read operation are as follows: Cl, C2', C3, C4', C5 and C6' are at a high voltage such as 2.5 volts for example; Cl ', C2, C3', C4, C5', and C6 are at a low voltage such as ground.
  • the logic state (logic "1" or logic "0") of configuration control bits illustrated in Figure 22B may be rapidly changed between multiple preprogrammed states stored in NRAMTM 2205 ' to reconfigure programmable logic functions for reconfigurable computing operation.
  • a pipelined memory operating mode architecture such as used in high speed memory cache operations may be used in which a new set of configuration control bits may be generated during each clock cycle after an initial latency (read access time) delay of multiple clock cycles.
  • Nonvolatile nanotube configurable logic block (NCLB) 2300 illustrates an embodiment of a larger nanotube-based logic function corresponding to combinatorial logic function 710 illustrated in Figure 7 except that flip flop function and multiplexer are not shown in this example.
  • NCLB 2300 is a nanotube configurable (programmable) two input (inputs A and B) one output (output F) logic block (function) formed using a cascade of FET transfer devices and other logic functions such as NAND, NOR, and a tristate output and is similar to the logic function illustrated in Figure 14 A.
  • the ON or OFF state of each transfer gate and logic operation of some NAND and NOR circuits is controlled by a configuration control bit (which may also be referred to as a configuration control logic state) supplied by NRAMTM output bits.
  • NRAMTM 2310 is used to generate true and complement configuration control bits CO, CO ' , C 1 , C 1 ' , ... C6, and C6 ' .
  • NRAMTM 2310 corresponds to NRAMTM 2200 shown in Figure 22 A or NRAMTM 2250 shown in Figure 22B.
  • NCLB 2300 includes input A to one terminal of FET 2330-1 and input A c formed by inverter 2330-2 is applied to one terminal of FET 2330-3, with the second terminal of each of FETs 2330-1 and 2330-3 dotted and connected to wire 2330-4 which drives one input of two input NOR gate 2340.
  • Inputs A and Ac are also connected to one terminal of FET 2330-5 and one terminal of FET 2330-6, respectively, with the second terminal of each of FETs 2330-5 and 2330-6 dotted and connected to wire 2330-7 which is connected to one input of three input NAND gate 2350.
  • NRAMTM 2310 provides configuration control bits CO to the gate of FET 2330-1 and CO' to the gate of FET 2330- 3.
  • NRAMTM 2310 provides configuration control bits Cl to the gate of FET 2330-5 and Cl ' to the gate of FET 2330-6.
  • NCLB 2300 also includes input B to one terminal of FET 2330-8 and input B c formed by inverter 2330-9 and applied to one terminal of FET 2330-10, with the second terminal of each of FETs 2330-8 and 2330-10 dotted and connected to wire 2330-11 which drives the second input of two input NOR gate 2340.
  • Inputs B and Bc are also connected to one terminal of FET 2330-12 and one terminal of FET 2330-13, respectively, with the second terminal of each of FETs 2330-12 and 2330-13 dotted and connected to wire 2330-14 which is connected to a second input of three input NAND gate 2350.
  • NRAMTM 2310 provides configuration control bits C2 to the gate of FET 2330-8 and C2' to the gate of FET 2330-10.
  • NRAMTM 2310 provides configuration control logic bits C3 to the gate of FET 2330-12 and C3' to the gate of FET 2330-13.
  • NCLB 2300 also includes NRAMTM 2310 providing output C4 to one input of two input NAND gate 2345.
  • the second input to NAND gate 2345 is supplied by the output of NOR gate 2340.
  • NRAMTM 2310 output C5 is connected to the third input of three input NAND gate 2350.
  • the outputs of two input NAND 2345 and three input NAND 2350 drive the two inputs to NOR gate 2355.
  • the output of two input NOR gate 2355 drives the input of tristate inverter 2360.
  • the state of tristate inverter F is determined by configuration control bits C6 and C6' which are provided by NRAMTM 2310.
  • Figure 14B described further above illustrates eight nonvolatile circuit configurations (CKT CONFIG.
  • Figure 14B gives an output F function based on inputs A and B and the configuration control logic states. Output F outputs logic values if the C6 state is a logic 1 and C6' is a logic 0. However, if the C6 state is a logic 0 state and C6' is a logic 1, then output F remains in tristate with no defined value.
  • Figure 15 illustrates equivalent circuits 1500 corresponding to CKT CONFIG. 1-8.
  • Nonvolatile nanotube programmable switch matrix NPSM 2400 illustrated in Figure 24 shows programmable switch matrix (PSM) 2410 configured by nonvolatile NRAMTM 2420 outputs that provide configuration control bits.
  • Programmable switch matrix (PSM) 2410 is the same as PSM 1610 described further above with respect to Figure 16.
  • NRAMTM 2420 may correspond to NRAMTM 2200 shown further above in Figure 22A, NRAMTM 2250 shown further above in Figure 22B, or other NRAMTM configurations (not shown).
  • Nonvolatile configuration control bits Cl- C6 control the configuration of PSM 2410 as described above with respect PSM 1610 shown in Figure 16.
  • Configuration control bits C1-C6 drive the gates of FETs TSl, TS2, ..., TS6, respectively, and determine the ON or OFF states these FETs.
  • configuration control bits also referred to as configuration control logic states
  • NPSM 2400 may be used to form various routings between terminals A, B, C, and D. Exemplary interconnections achievable with NPSM 1600 are listed in Table 3 below.
  • NRAMTM 2420 corresponds to NRAMTM 2200 (figure 22A)
  • one set of configuration control bits is C1-C6 is provided to PSM 2410 until the NRAMTM is reprogrammed.
  • NRAMTM 2420 corresponds to NRAMTM 2250 (figure 22B)
  • up to K different programmed configuration control bit sets corresponding to C1-C6 may be read out and PSM 2410 may assume multiple switch configuration by switching between multiple pre-programmed sets of bit states.
  • the ability to rapidly change PSM 2210 signal routing configurations as often as once per clock cycle, enables reconfigurable computing for example.
  • configuration control bits may be changed in cycle times of 150 ps corresponding to clock frequencies of 6.7 GHz for example for a 90 nm technology node as described further above with respect to Figures 22 A and 22B. If the clock is stopped and power is removed, the NPSM 2400 routing configuration remains unchanged.
  • FPGA architectures are dominated by interconnects. FPGAs are therefore much more flexible in terms of the range of designs that can be implemented and logic functions in the hundreds of thousands to millions and tens-of-millions of equivalent logic gates may be realized. In addition, the added flexibility enables inclusion of higher-level embedded functions such adders, multipliers, CPUs, and memory.
  • the added interconnect (routing) flexibility of FPGAs also enables partial reconfiguration such that one portion of an FPGA chip may be reprogrammed while other portions are running. FPGAs that can be reprogrammed while running may enable reconfigurable computing (reconfigurable systems) that reconfigure chip architecture to better implement logic tasks.
  • reconfigurable computing is not new; however, implementation is limited by hardware capability and architecture and software.
  • NRAMTMs combined with FET switches and logic circuits to form NFPGAs enable the hardware portion of reconfigurable computing by providing nonvolatile configuration control bits (also referred to as nonvolatile configurable control logic states) that can change logic functions and signal routing in real time during chip operation and maintain such configurations in the absence of power as needed resulting in nonvolatile globally adaptive and reconfigurable capabilities in real time.
  • Reconfigurable computing concepts are described by G. G. Yen, "Autonomous Neural Control in Flexible Space Structures," Chapter 93, pp. 1199-1202 in "The Industrial Electronics Handbook” edited by J. David Irwin, CRC Press LLC, 1997.
  • NRAMTM 2250 as described further above with respect to Figure 22B is designed to store K programmed combinations of configurable control bits (configurable control logic states) that can be read out at speeds as fast as 150 ps corresponding to 6.7 GHz for a 90 nm technology node for example so NRAMTM 2250 may be used to reconfigure programmable logic blocks and programmable switch matrices in real time providing both spatial and temporal partitions.
  • NRAMTM 2250 enables the nonvolatile nanotube configurable logic block (NCLB) 2300 to be changed in as little time as one clock cycle (in pipeline mode) to support up to K logic configurations preprogrammed into NRAMTM 2250.
  • NCLB nonvolatile nanotube configurable logic block
  • NRAMTM 2250 enables the nonvolatile nanotube programmable switch matrix (NPSM) 2400 to be reconfigured in as little time as one clock cycle (in pipeline mode) thereby rerouting signals in real time each cycle if needed.
  • Nanotube bidirectional buffers described further above with respect to Figures 17A and 17B may also use NRAMTM configuration control bits (not shown) to redirect signal flow and change signal polarity, for example, in one cycle if needed.
  • NFPGA nonvolatile nanotube field programmable gate array
  • NFPGA nonvolatile nanotube field programmable gate array
  • K 8 (eight word lines) can accommodate the various configurations illustrated in corresponding Figure 14B.
  • NPSM 2400 various combinations of independent and shared routing configurations can be programmed as illustrated further above with respect to Figure 24. If some NFPGA functions are not needed for certain computations for example, then power may be removed from these nonvolatile functions thereby eliminating standby power. Other NFPGA functions, embedded CPUs, memories, etc. May leverage these power savings by running faster at higher levels of power.
  • Embedded NRAMTM memories may be combined with FPGAs, CPUs and other functions.
  • read and write times are essentially the same and may require cycle times of 150 ps to support a 6.7 GHz clock rate for example. It may also be desirable to modify logic function and signal routing in one clock cycle without using preprogrammed functions that may not cover all configuration control bit requirements.
  • write (erase/program) operations are significantly slower than read operations.
  • nanotube-based SRAM nonvolatile memories may be desirable because high speed SRAM operation at read and write cycle times of 150 ps (90 nm technology node) is enabled which supports a clock cycle of 6.7 GHz with the ability to save SRAM states in a nonvolatile mode using nanotube-based nonvolatile switches as needed.
  • NSRAM memory cell 2500 that may be used to form high speed (actually any speed range from low to high speed) NSRAM memory by combining SRAM cell 2505 and a pair of nonvolatile nanotube switching elements 2530 and 2540.
  • NSRAM memory cell 2500 includes a conventional SRAM cell formed by flip flop 2510 connected to word line WL and bit lines BLl and BL2 by select transistors FET T5 and FET T6, respectively, as described in more detail in US 7,245,520 which is hereby incorporated by reference.
  • NSRAM memory cell 2500 also includes nonvolatile nanotube switching elements 2530 and 2540 used as nonvolatile nanotube shadow devices that can store NSRAM memory cell 2500 bit states in a nonvolatile mode prior to turn-off or loss of power and also recall (restore) flip flop 2510 bit states when NSRAM cell 2500 is reactivated (powered-up).
  • Control wires V CNT and V RL are used to control the switching of nonvolatile nanotube switching elements 2530 and 2540 coupled to flip flop 2510 as shown in Figure 25.
  • a detailed description of NSRAM memory cell 2500 and its operation is described in the incorporated US 7,245,520 reference.
  • the structure and operation of nonvolatile nanotube switching elements 2530 and 2540 is described in detail in US Patent Nos.
  • An NSRAM memory may also be formed by replacing nonvolatile nanotube switching elements 2530 and 2540 in Figure 25 with NRAMTM cells.
  • NRAMTM cells 2630 and 2640 (corresponding to nonvolatile nanotube switching elements 2530 and 2540 in Figure 25) act as shadow nonvolatile storage devices. Mode control transistors are added to support program and erase operations.
  • NRAMTM cells 2630 and 2640 correspond to NRAMTM cell 1100 shown in Figure 1 IA.
  • Flip flop 2610 shown in Figure 26 corresponds to flip flop 2510 shown in Figure 25.
  • One embodiment NSRAM cells 2600 may be combined in rows and columns to form NSRAM memories.
  • NSRAM cells 2600 includes a conventional SRAM cell formed by flip flop 2610 connected to word line WL and bit lines BLl and BL2 by select transistors FET T5 and FET T6, respectively.
  • a first terminal of NRAMTM cell 2630 select FET T7 is connected to a first terminal of NV NT switch (or NV NT block) SWl at common node N5.
  • a first terminal of mode select FET T9 is connected to common node N5.
  • a second terminal of FET T7 is connected to node Nl of flip flop 2610 shown in Figure 26 which corresponds to flip flop 2510 in Figure 25.
  • Mode select line V MI is connected to the gate of FET T7 and controls the ON/OFF state of FET T7.
  • a second terminal of FET T9 is connected to a reference such as ground (zero volts) and mode select line V M2 is connected to the gate of FET T9 and controls the ON/OFF state of FET T9.
  • a second terminal of NV NT switch SWl is connected to erase/program/read select
  • a first terminal of NRAMTM cell 2640 select FET T8 is connected to a first terminal of NV NT switch (or NV NT block) SW2 at common node N6.
  • a first terminal of mode select FET TlO is connected to common node N6.
  • a second terminal of FET T8 is connected to node N2 of flip flop 2610 shown in Figure 26 which corresponds to flip flop 2510 in Figure 25.
  • Mode select line V MI is connected to the gate of FET T8 and controls the ON/OFF state of FET T8.
  • a second terminal of FET TlO is connected to a reference such as ground (zero volts) and mode select line V M2 is connected to the gate of FET TlO and controls the ON/OFF state of FET TlO.
  • a second terminal of NV NT switch SW2 is connected to erase/program/read select line V EPR .
  • An NSRAM memory (not shown) is formed in a conventional manner by a combination of rows and columns of NSRAM cells 2600 interconnected by shared approximately orthogonal word and bit lines.
  • mode select line V MI is held at a low voltage such as ground and FET T7 and FET T8 are in an OFF state and shadow devices pairs formed by NRAMTM cell 2630 and 2640 are decoupled from flip flop 2610.
  • mode select line V M2 is also held at ground turning FETs T9 and TlO OFF and program/erase/read line V EPR is at a low voltage such as ground.
  • SRAM cells such as cell 2605 operate in a volatile mode with memory cycle times as fast as 150 ps for a CMOS technology at a 90 nm node. If SRAM power is to be removed or if power is about to be lost, then a store operation is performed in which FETs T7 and T8 are turned ON and the logic state of flip flop 2610 is transferred and stored in NRAMTM cell 2630 on NV NT switch (NV NT block) SWl and a complementary state is stored in NRAMTM cell 2640 on NV NT switch (NV NT block) SW2. Power may then be removed. The stored logic state may be recalled (restored) during power up in the following way.
  • V EPR may be held at ground or may be ramped to a voltage such as V DD ; SRAM cell 2605 voltage source is ramped to V DD - Flip flop 2610 assumes a state corresponding to the true and complement logic states stored in NRAMTM cells 2630 and 2640 and the logic state of SRAM cell 2605 prior to power shutdown is restored and SRAM memory operation resumes.
  • Store and recall (restore) operations are described in more detail in US 7,245,520.
  • the store operation that precedes power shut down may be performed in microseconds or milliseconds, for example, resulting in what may be referred to as an instant-OFF operation in which logic states and operating data are preserved in by nonvolatile nanotube switches or blocks.
  • the recall (restore) operation may be performed as part of a power- ON operation typically requiring microseconds or milliseconds, for example. Since logic states and data are restored within a power-ON time interval and operational with the system initialized to pre-power-OFF logic states and data, such recall (restore) operations may be referred to as instant-ON.
  • An erase operation may be performed just prior to a store operation or at any time when FETs T7 and T8 are in an OFF state.
  • mode select line V M2 is set at a high voltage such as 2.5 volts for example and FETs T9 and TlO are turned on grounding nodes N5 and N6, respectively.
  • V EPR applies one or more erase pulses with an amplitude in the range of 5 to 7 volts and rise times in the nanosecond range for example to a second terminal of NV NT switches SWl and SW2 and switches SWl and SW2 are switched to high resistance states such as IG Ohm or higher.
  • a program operation may be used store the logic content of flip flop 2610 on switches SWl and SW2 in a nonvolatile mode prior to the removal of power.
  • FETs T9 and TlO are in an OFF state and NRAMTM cell 2630 select FET T7 and NRAMTM cell 2640 select FET T8 are activated to an ON state.
  • NV NT switches SWl and SW2 are in a high resistance (OFF) state.
  • V EPR applies one or more program pulses with an amplitude in the range of 3 to 5 volts and rise times in the microsecond range for example to a second terminal of NV NT switches SWl and SW2.
  • flip flop 2610 node Nl is at a low voltage and node N2 is at a high voltage, then SWl is programmed to a low resistance value such as 100k Ohms for example and SW2 remains in a high resistance state such as IG Ohm.
  • SWl is programmed remains at a resistance state such as IG Ohms for example and SW2 switches to a low resistance state such as Ik Ohm.
  • flip flops in some (or all) rows may transfer logic states to corresponding nonvolatile NRAMTM cells.
  • program pulses may disturb some flip flops during the store operation.
  • transfer may be carried out one word line at a time for a word line within a subset of word lines corresponding to a subset of latches.
  • the logic state of flip flop 2610 is read out and bit line BLl and BL2 are held at true and complement high/low or low/high values by corresponding sense amplifier/latches for each bit line pair such as bit lines BLl and BL2.
  • Select transistors FETs T5 and T6 are in an ON state, bit line BL2 connected to node N4 holds node Nl at a low (or high) voltage and bit line BLl connected to node N3 holds node N2 at a high (or low) voltage. In this way, program currents flow to bit lines BLl or BL2 (whichever is at a low voltage) without disturbing the state of flip flop 2610. For unselected rows, select transistors corresponding to select transistor FETs T5 and T6 are in an OFF state so that corresponding flip flops are not disturbed.
  • NSRAMs may also be used to form high speed embedded nonvolatile caches used in conjunction with NFPGAs, CPUs, ASICs, analog, and other functions all integrated on the same chip.
  • NCLB Nanotube Configurable Logic Blocks
  • NPSM Nanotube Programmable Switch Matrix
  • NCCR Nonvolatile Nanotube Configuration Control Register
  • NCCRs nonvolatile nanotube configuration control registers
  • CLB and PSM functions to form NCLB and NPSM building blocks that may be integrated to form NFPGA logic as described with respect to Figures 19-26 illustrated further below.
  • Nonvolatile nanotube configuration control registers are form using nonvolatile nanotube shift register (N-S/R) stages.
  • NCCRs Nonvolatile Nanotube Configuration Control Registers
  • configuration control registers may be used to provide configuration control bits, typically one control bit per shift register stage (also referred to as a shift register cell). This is because the number of shift register stages and therefore the number of configuration control bits may be increased to any size while keeping the number of inputs the same.
  • NRAMTMs for example, as array size increases to provide more configuration control bits, decoder size increases requiring a larger number of inputs such as inputs illustrated in Figures 22 A and 22B.
  • Configuration control registers may also be used instead of nonvolatile nanotube select circuits illustrated in Figures 1 IB and 12A for example.
  • Configuration control registers formed using typical shift register (S/R) stages are volatile in operation; that is the logic states of the shift register stages are lost when power is lost or removed.
  • configuration control registers need to be nonvolatile as well.
  • Nonvolatile NCCRs can store information in NV NT switches in the absence of power.
  • each NV NT switch When power is restored, the high resistance or low resistance states of each NV NT switch is used to restore individual corresponding NS/R stages to the logic states prior to power shut-down or loss.
  • the NS/R registers described in US Patent No. 7,394,687 and US Patent Application No. 12/165007, filed on Jun. 30, 2008, entitled "Non- Volatile Shadow Latch Using a Nanotube Switch,” may provide nonvolatile configuration control bits, one per stage, by connecting a wire directly to the output of each output stage.
  • an FET may be used as a transfer gate to provide configuration control bits when the FET is in an ON state.
  • Figure 27 illustrates a schematic representation of a configuration control register 2700 showing two stages of a multistage shift register.
  • configuration control register 2700 shows two shift register cells
  • a configuration control register contains as many cells as required to configure the logic element. For example, if seven configuration control bits (logic states) are required as illustrated in Figure 23, then a seven stage configuration control register is used; if six configuration control bits (logical states) are required as illustrated in Figure 24, then a six stage configuration control register is used.
  • a basic shift register cell includes transfer device 2712-1 in series with inverter INV-I ', in series with transfer device 2716-1 which is in series with inverter INV- 1.
  • the output Ql of inverter INV-I is fed back to the input of inverter INV-I ' through transfer device 2714-1 enabling shift register CELL-2710-1 (shift register stage 1) to store a logic state as long as a power source is maintained (volatile shift register operation) to configuration control register 2700 and the HOLD voltage is held high.
  • the output Ql of inverter INV-I also connects to the input of shift register CELL-2710-2, which is identical to shift register cell 1, and also connects to one terminal of transfer device 2718-1.
  • the output Q 1 ' of inverter INV- 1 ' connects to the input of transfer device 2716-1.
  • Two non- overlapping clocks ⁇ l and ⁇ 2 connect to control gates of transfer devices 2712-1 and 2716-1, respectively, and to corresponding transfer devices in other shift register cells.
  • Transfer device 2714-1 and corresponding devices in other cells enable or disable the feedback path between INV-I output and INV-I ' input depending on the state of the HOLD input.
  • Desired configuration control bit (logic state) data is transmitted to configuration control register 2700 by a LOGIC INPUT signal until each shift register cell (or stage) such as shift register CELL-2710-1 and CELL-2710-2 store the desired bit (logic state).
  • APPLY control input is activated, and outputs Ql and Q2 supply outputs configuration control bits such as Cl and C2 that may be applied to reconfigurable logic functions such as illustrated in Figure 23 and programmable switch matrices such as illustrated in Figure 24.
  • Outputs Q2 and Q2' in shift register CELL- 2710-2 corresponds to outputs Ql and Ql ' in shift register CELL-2710-1, respectively, described further above.
  • the entire configuration control register 2700 may be set to a high or low voltage by setting ⁇ l and ⁇ 2 voltage high and HOLD voltage low.
  • clocks ⁇ l and ⁇ 2 may be used to transfer a logic pattern of 1 's and O's into the shift register to store desired bit (logic states) in each of the shift register stages. Enough time must be allowed for the input signal LOGIC INPUT to propagate the entire length of configuration control register 2700.
  • APPLY may transition to a positive voltage and outputs Ql and Q2 supply configuration control bits (logic states) C 1 and C2 that may be applied to reconfigurable logic functions such as illustrated in Figure 23 and programmable switch matrices such as illustrated in Figure 24.
  • configuration control bits logic states
  • C 1 and C2 configuration control bits
  • One approach is to use the nonvolatile shift registers described in US Patent No. 7,394,687 and US Patent Application No. 12/165007, filed on Jun.
  • Nonvolatile shift registers are compatible with high speed operation and may also be used to hold logic states when power is removed or lost and then logic states recalled (restored) when power is restored as described in US Patent No. 7,394,687 and US Patent Application No. 12/165007, filed on Jun.
  • Figure 28 illustrates nonvolatile nanotube configuration control register (NCCR) 2800 formed using two NS/R stages in which one coupling circuit per stage and one NV NT switch (or NV NT block) per stage is added to configuration control register 2700 illustrated in Figure 27.
  • Figures 28A-28C illustrate an embodiment of a two stage nonvolatile nanotube configuration control register (NCCR) 2800 formed using nonvolatile nanotube shift register (NS/R) stages 2805-1 and 2805-2.
  • NS/R stage 2805-1 includes CELL-2810-1 which corresponds to CELL-2710-1 in Figure 27 with NV NT switch (or NV NT block) 2820-1 coupled to CELL-2810-1 by coupling circuit 2830-1.
  • NS/R stage 2805-2 with input driven by the output of NS/R stage 2805-1, includes CELL-2810-2 which corresponds to CELL-2710-2 in Figure 27 with NV NT switch (or NV NT block) 2820-2 coupled to CELL-2810-2 by coupling circuit 2830-2.
  • NS ⁇ R stages 2805-1 and 2805-2 are identical so the NS ⁇ R stage 2805-1 description applied to NSYR stage 2805-2 as well or to any additional stages (not shown) that may be added.
  • NV NT switch 2820-1 operation corresponds to the operation of NV NT switch 1110 illustrated in Figure 1 IA or NV NT switch NTOO illustrated in Figure 19.
  • the CELL-2810- 1 schematic and operation correspond to CELL-2710- 1 schematic and operation described further above with respect to Figure 27.
  • Restore FET 2840 ON and OFF states are controlled by a restore voltage (pulse) applied to the gate of restore FET 2840 by wire 2842 which also controls other restore FET devices.
  • a first terminal of restore FET 2840 is connected to node Nl of CELL-2810-1 by wire 2845.
  • Restore FET 2840 is activated (turned ON) during a recall (restore operation) and is used to transfer the stored high or low resistance state corresponding to a bit (logic) state to CELL-2810-1.
  • a second terminal of restore FET 2840 is connected to terminal Tl of NV NT switch 2820-1.
  • Terminal T2 of NV NT switch 2820-1 is connected to common wire 2860 which supplies erase, program, or read pulses VE/P/R to NV NT switch 2820-1 and other NV NT switches.
  • Erase/program FET 2850 ON and OFF states are controlled by an erase/program voltage (pulse) applied to the gate of erase/program FET 2850 by wire 2852 which also controls other erase/program FET devices.
  • Erase/program FET 2850 has a first terminal connected to NV NT switch terminal Tl and a second terminal to common node N2 which is also connected to a first terminal of FET 2855.
  • a second terminal of FET 2855 is connected to a reference voltage such as ground.
  • the gate of FET 2855 is connected to output Ql of CELL-2810-1 by wire 2858. If Ql is at a high voltage such as 2.5 volts for example, then FET 2855 is ON and node N2 is at ground.
  • FET 2855 is OFF and there is no continuous path between node N2 and ground.
  • the ON or OFF state of FET 2855 determines whether NV NT switch 2820-1 is programmed to a high or low resistance state which is then stored in a nonvolatile mode.
  • NV NT switches such as NV NT switch 2820-1 are in ON or low resistance state as fabricated although some process methods may be used that result in normally OFF states after fabrication as described with respect to US Patent Application No. 61/074241, filed on Jun. 20, 2008, entitled “NRAM Arrays with Nanotube Blocks, Nanotube Traces, and Nanotube Planes and Methods of Making Same.”
  • NV NT switch 2820-1 acts as a shadow nonvolatile storage device which is only activated in a store (save) operation or in a recall (restore) operation.
  • the operation of nonvolatile nanotube configuration control register (NCCR) 2800 is similar to operation of nonvolatile nanotube registers described with respect to US Patent No.
  • NV NT switches such as NV NT switch 2820-1 are assumed to be ON as fabricated. So first, an erase operation is performed on NV NT switches such as NV NT switch 2820-1 resulting in a transition from an ON low resistance state such as 100k Ohms for example to an OFF high resistance state of IG Ohm or higher.
  • the HOLD voltage is set to a low voltage such as ground and ⁇ l and ⁇ 2 voltages are set to a high voltage such as 2.5 volts for example.
  • LOGIC INPUT held at a high voltage such as 2.5 volts
  • Ql is held at 2.5 volts and turns erase/program FET 2855 ON.
  • ERASE/PROGRAM voltage is set to a high voltage such as 2.5 volts for example and erase/program FET 2850 is turned ON establishing a conductive path between NV NT switch terminal Tl and ground.
  • RESTORE voltage is held at a low voltage such as ground so that restore FET 2840 is OFF.
  • VE/P/R provides erase pulses to node T2 of NV NT switch 2820-1.
  • One or more pulses with voltages in the 5 to 7 volt range and rise times in the nanosecond range may be used for example.
  • NV NT switch 2820-1 transitions from an ON state to an OFF state (low to high resistance state).
  • Corresponding NV NT switch 2820-2 also transitions to an OFF state as illustrated by nonvolatile nanotube configuration control register (NCCR) 2800' in Figure 28B.
  • NCCR nonvolatile nanotube configuration control register
  • another FET may have a first terminal connected to node N2, a second terminal connected to a voltage source which may be pulsed or may be at ground, and a gate controlled by a second erase/program line.
  • an erase operation for example, may be performed independently of CELL-2810-1.
  • Various coupling circuit examples are described in US Patent No. 7,394,687 and US Patent Application No. 12/165007, filed on Jun. 30, 2008, entitled “Non- Volatile Shadow Latch Using a Nanotube Switch.”
  • NCCR 2800' is the same circuit as NCCR 2800 except that NV NT switches 2820-1 and 2820-2 are in OFF or high resistance states.
  • CELL-2810-1 and CELL-2810-2 and other stages may operate in a volatile mode whenever coupling circuits 2830-1 and 2830-2 decouple corresponding NV NT switches 2820-1 and 2820-2 from CELL-2810-1 and CELL-2810-2, respectively, regardless of the low or high resistance states of NV NT switches.
  • Coupling circuit 2830-1 which is the same as coupling circuit 2830-2, maintains an OFF state by keeping restore FET 2840 and erase/program FET 2850 each in an OFF state with low RESTORE and ERASE/PROGRAM voltages, respectively.
  • Nonvolatile nanotube configuration control registers are operated in a volatile mode with high voltages such as 2.5 volts supplied to inverters INV-I and INV-I ' for example.
  • NV NT switches are programmed from a high resistance state to a low resistance state or left in a high resistance state.
  • restore FET 2840 in an OFF state, erase/program FET 2850 is turned ON.
  • FET 2855 is an ON state because Ql is at a high voltage such 2.5 volts, then a continuous path exists between NV NT switch 2820-1 terminal Tl and ground.
  • one or more programming pulses VE/P/R of 3-5 volts and microsecond rise times are applied to terminal T2 of NV NT switch 2820-1, then NV NT switch 2820-1 transitions from a high resistance state such as IG Ohm to a low resistance state such as 100k Ohms for example.
  • nonvolatile nanotube configuration control register 2800 shows NV NT switch 2820-1 in a high resistance state and NV NT switch 2820-2 programmed to a low resistance state as illustrated in Figure 28C.
  • NCCR 2800, NCCR 2800', and NCCR 2800" all correspond to the same circuit with NV NT switches in various combinations of low (ON) and high (OFF) resistance states.
  • erase/program FET 2850 is held in OFF state.
  • a voltage is applied to CELL-2810-1 (typically, a voltage source is ramped to 2.5 volts for example).
  • HOLD, ⁇ l, and ⁇ 2 at high voltages such as 2.5 volts and a LOGIC INPUT of zero volts, node Nl is held at ground by output Ql of INV-I.
  • ⁇ l voltage transitions to a low voltage state and decouples all individual register stages such as CELL-2810-1 from one another and voltage.
  • VE/P/R having transitioned to a recall (restore) voltage value applied to wire 2860 and to node T2
  • restore FET 2840 is turned ON and a conductive path is established between NV NT switch 2820-1 terminal Tl and CELL 2810-1 node Nl. IfNV NT switch 2820-1 is in a low resistance (ON) state, then node Nl is forced to a high voltage state such as 2.5 volts as does the output Ql of INV-I. However, if NV NT switch 2820-1 is in a high resistance (OFF) state, then Nl remains at a low voltage.
  • Restore FET 2840 is turned OFF and the recall (restore) operation is finished.
  • US Patent No. 7,394,687 and US Patent Application No. 12/165007, filed on Jun. 30, 2008, entitled “Non- Volatile Shadow Latch Using a Nanotube Switch” provides various store (save) and recall (restore) examples.
  • NCCRs Nonvolatile Nanotube Configuration Control Registers
  • Nonvolatile nanotube configuration control registers may be used to generate nonvolatile configuration control bits (logic states) for NCLB functions.
  • a NCCB may be used instead of nonvolatile nanotube select circuits illustrated by NCLB 1400 shown in Figure 14A.
  • a NCCB may be used instead of NRAMTM- based nonvolatile configuration control bits (logic states) illustrated by NCLB 2300 shown in Figure 23.
  • Figure 29 illustrates an embodiment NCLB 2900 with nonvolatile nanotube configuration control register (NCCB) 2905 generating nonvolatile configuration control bits (logic states) CO, CO', ...,C6 and C6').
  • NCLB 2900 uses seven NS/R stages CELL 2910- 1 , CELL 2910-2, ...., CELL 2910-7 to provide the required configuration control bits.
  • the operation of NCCR 2900 corresponds to the operation described with respect to NCCR 2800, 2800', and 2800" in Figures 28A-28C.
  • Reconfigurable logic 2915 is the same as shown in Figures 14 and 23 and described in detail further above with respect to these figures.
  • Figure 14B shows logic configurations corresponding to various configuration control bit combinations; and Figure 15 illustrates equivalent circuits as described further above.
  • NCCRs Nonvolatile Nanotube Configuration Control Registers
  • Nonvolatile nanotube configuration control registers may be used to generate nonvolatile configuration control bits (logic states) for NPSM functions.
  • a NCCB may be used instead of nonvolatile nanotube select circuits illustrated by NPSM 1600 shown in Figure 16.
  • a NCCB may used instead of NRAMTM-based nonvolatile configuration control bits (logic states) illustrated by NPSM 2400 shown in Figure 24.
  • Figure 30 illustrates an embodiment NPSM 3000 with nonvolatile nanotube configuration control register (NCCB) 3005 generating nonvolatile configuration control bits (logic states) Cl, C2, ...,C6).
  • NPSM 3000 uses six NS/R stages CELL 3010-1, CELL 3010-2, ...., CELL 3910-6 to provide the required configuration control bits.
  • the operation of NCCR 3000 corresponds to the operation described with respect to NCCR 2800, 2800', and 2800" in Figures 28A-28C.
  • Programmable switch matrix 3015 is the same as shown in Figures 16 and 24 and described in detail further above with respect to these Figures. Incorporated Patent References

Abstract

Field programmable device (FPD) chips with large logic capacity and field programmability that are in-circuit programmable are described. FPDs use small versatile nonvolatile nanotube switches that enable efficient architectures for dense low power and high performance chip implementations and are compatible with low cost CMOS technologies and simple to integrate.

Description

NONVOLATILE NANOTUBE PROGRAMMABLE LOGIC DEVICES AND FIELD PROGRAMMABLE GATE ARRAY
Cross References to Related Applications
[0001] This application claims priority under 25 U.S. C. § 119(e) to U.S. Provisional Patent Application No. 61/088,828, filed August 14, 2008, entitled "Nonvolatile Nanotube Programmable Logic Devices and a Nonvolatile Nanotube Field Programmable Gate Array Using Same."
[0002] This application is related to the following applications, the entire contents of which are incorporated herein by reference in their entirety:
US Patent Application No. TBA, filed concurrently herewith, entitled
NONVOLATILE NANOTUBE PROGRAMMABLE LOGIC DEVICES AND A NONVOLATILE NANOTUBE FIELD PROGRAMMABLE GATE ARRAY USING SAME;
US Patent Application No. TBA, filed concurrently herewith, entitled
NONVOLATILE NANOTUBE PROGRAMMABLE LOGIC DEVICES AND A NONVOLATILE NANOTUBE FIELD PROGRAMMABLE GATE ARRAY USING SAME;
US Patent Application No. TBA, filed concurrently herewith, entitled
NONVOLATILE NANOTUBE PROGRAMMABLE LOGIC DEVICES AND A NONVOLATILE NANOTUBE FIELD PROGRAMMABLE GATE ARRAY USING SAME;
US Patent Application No. TBA, filed concurrently herewith, entitled
NONVOLATILE NANOTUBE PROGRAMMABLE LOGIC DEVICES AND A NONVOLATILE NANOTUBE FIELD PROGRAMMABLE GATE ARRAY USING SAME; US Patent Application No. TBA, filed concurrently herewith, entitled
NONVOLATILE NANOTUBE PROGRAMMABLE LOGIC DEVICES AND A NONVOLATILE NANOTUBE FIELD PROGRAMMABLE GATE ARRAY USING SAME;
US Patent Application No. 11/280786, filed on Nov. 15, 2005, entitled TWO- TERMINAL NANOTUBE DEVICES AND SYSTEMS AND METHODS OF MAKING SAME;
US Patent Application No. 11/835583, filed on Aug. 8, 2007, entitled LATCH CIRCUITS AND OPERATION CIRCUITS HAVING SCALABLE NONVOLATILE NANOTUBE SWITCHES AS ELECTRONIC FUSE REPLACEMENT ELEMENTS;
US Patent Application No. 11/835651, filed on Aug. 8, 2007, entitled
NONVOLATILE NANOTUBE DIODES AND NONVOLATILE NANOTUBE BLOCKS AND SYSTEMS USING SAME AND METHODS OF MAKING SAME.
US Patent Application No. 12/486,602, filed on Jun. 17, 2009, entitled NRAM ARRAYS WITH NANOTUBE BLOCKS, NANOTUBE TRACES, AND NANOTUBE PLANES AND METHODS OF MAKING SAME.
Technical Field
[0003] The present application is generally related to the field of field programmable devices (FPDs), also referred to as programmable logic devices (PLDs), and, more specifically, to logic circuits formed from nanotube devices.
Background
Discussion of related art
[0004] Field programmable devices (FPDs) have grown rapidly because integrated circuits for a wide variety of product applications in a competitive environment require fast time-to-market for new designs and low (or zero) non-recurring engineering cost (NRE) and low fabrication cost. Low power is a requirement for most applications as is portability so conservation of battery power is a requirement and nonvolatile operation is advantageous. Also, integration levels (more function) are increasing rapidly as is the requirement for high performance chips with large logic capacity and field programmability that are in-circuit programmable (in-place in the package without requiring sockets). Field programmable devices (FPDs) are also sometimes referred to as programmable logic devices (PLDs) and the terms FPD and PLD are used interchangeably throughout the application.
[0005] What is needed in logic design is fast time to market. Lower costs are also important hence more function in smaller chips. Higher performance and lower power are especially important in battery powered applications. Field programmable logic chips are required for fast time to market. What is needed are configurable (programmable) logic functions and efficient programmable wiring that can be configured (programmed) multiple times in chips mounted on a board. Programmable switches must be small in size and nonvolatile to enable efficient wiring architectures for implementing configurable (programmable) logic functions and be compatible with and easily integrated in CMOS technologies. Programmable switches must be easy to use and compatible with high performance applications. Programmable switches must enable fine-tuning of logic timing for optimum performance.
Overview of Field Programmable Devices
[0006] Block diagram 100 illustrated in Figure 1 shows simple programmable logic devices (SPLDs) with a smaller number of equivalent logic gates with thousands or tens of thousands of equivalent logic gates; complex programmable logic devices (CPLDs) that combine multiple SPLDs with programmable wiring (routing) for a higher number of equivalent logic gates such as tens to hundreds of thousands of equivalent logic gates; and field programmable gate arrays (FPGAs) with a large number of equivalent logic gates in the range of millions to tens of million of equivalent logic gates for example and into the hundreds of millions of equivalent logic gates for denser scaled future FPGA chips. A brief discussion of field programmable devices is provided in the sections that follow. Simple Programmable Logic Devices (SPLDs)
[0007] Programmable read-only memories (PROMs) were the first chips to enable user-programmability of the bits in an array. Such chips were used to store code for system startup (BIOS), algorithms, and other functions for example. Simple logic functions can also be performed using PROMs in which address lines can be used as logic circuit inputs and data lines as outputs. However, logic functions typically do not require many product terms but a PROM contains a full decoder for its address inputs. Thus, PROMs are an inefficient architecture for programmable logic function and are rarely used for this purpose and are therefore not included in block diagram 100. [0008] The first SPLD device developed for implementing a field-programmable logic array (FPLA) or PLA for short consisted of two arrays for storing two levels of equivalent logic gates. A first AND array (or AND-plane) is structured such that any of the AND array inputs or complements of the inputs can be AND'ed together and each AND-array output corresponds to any product term of inputs to the AND array. These product term outputs of the AND array become inputs to a second OR array. OR array outputs can be configured to produce any logical sum of any of the product terms (AND-array outputs) and implements logic functions in sum-of-products form. The PLA architecture is far better for generating logic functions than a PROM because both the AND and OR array terms can have many inputs.
[0009] Figure 2 illustrates a schematic of PLA 200 including programmable AND array 210 and programmable OR array 220. Inputs 225 to input drivers 230 result in logic functions A, B-C, ....,Dc logic inputs to programmable AND array 210. Programmable AND array 210 forms product terms based on the inputs and on the state of nonvolatile bits at the intersection of input lines A, B-C, ...., Dc and provides product terms PTi, PT2, ...., PTM as inputs to Programmable OR array 220. Programmable OR array 220 forms sum-of-products (or product terms) outputs O1, O2, ..., ON based on product terms inputs and the state of nonvolatile bits at the intersection of product terms PTi, PT2, ...., PTM and OR array output lines O1, O2,..., ON, which are sent to output drivers 240. Output drivers 240 may be conventional drivers, or may include additional logic function such as XOR and may also include flip flops such as D-flip flops for example. Output drivers 240 drive outputs 245 which is the logic response to inputs 225 based on the ON or OFF bit states of individual nonvolatile bits in the AND and OR arrays. Also, output driver 240 drives feedback loop 250 which supplies output logic response to input drivers 230. Note that some of the output lines 245 may be included in feedback loop 250. [0010] In operation, inputs 225 of PLA 200 result in logic outputs 245 based on the ON and OFF states of devices, such as EPROMs for example, located at the intersection of input lines such as A, B-C, ...., Dc and product term lines PTi, PT2, ...., PTM in electrically programmable AND array 210 and the intersection of PTi, PT2, ...., PTM and outputs Oi , O2, ...., ON in programmable OR array 220. Details of PLA operation are well known in the literature, for example, C. Mead and Lynn Conway, "Introduction to VLSI Systems," Addison- Wesley Publishing Company, 1980, pages 79-82. [0011] PLAs such as PLA 200 described further above are the earliest examples of simple SPLDs introduced in the early 1970's. PLAs using mask programmable AND arrays, OR arrays, and feedback loops in a fabricator were successfully used by IBM in many applications for over a decade. However, for field programmable PLAs with two memory arrays (memory planes) requiring electrically programmable AND and OR arrays, field programmable PLAs were difficult to manufacture and introduced significant propagation delays. To address these problems, simpler programmable array logic (PAL) devices were developed which use a programmable AND array to realize product terms and then provide said product terms to fixed (non-programmable) OR-gates. To compensate for the loss of OR array flexibility, product variations were introduced with different number of inputs and outputs and various sizes of OR-gates. Field programmable PALs were widely used in digital hardware immediately after their introduction and form the basis for more recent and more sophisticated architectures. All small programmable logic devices (PLDs) such PLAs and PALs are grouped together and referred to as simple field programmable devices (SPDLs) and are typically low cost with high pin-to-pin speed performance as illustrated by block diagram 100 in Figure 1. [0012] Figure 3 illustrates PAL 300 schematic implementation with an electrically programmable AND array 310 that includes nonvolatile nodes 320 and 325 programmed to an ON state, wherein essentially orthogonal programmable AND array lines are electrically coupled, or fused, together (said electrical coupling indicated by an open circle). Intersections of essentially orthogonal programmable AND array lines without circles are in a nonvolatile OFF state, wherein said lines are electrically isolated. Programmable AND array 310 may be formed using one-time-programmable EPROM devices for example. Programmable AND array 310 may be programmed once in the field. If the logic function needs to be changed, a new PAL chip is programmed in the field.
[0013] PAL 300 inputs A and B form column logic inputs A, Ac, B, and Bc to programmable AND array 310, where Ac indicates the complement of logic variable A and Bc indicates the complement of logic variable B. In this specification, the complement of a logic variable such as logic variable A may be indicated symbolically by Ac or A'. Both symbolical representations for the complement of a logic variable are used interchangeably throughout the specification. Feedback loop 330 provides inputs C and D which form programmable AND array column logic inputs C, Cc, D, and Dc. Product terms 335-1 and 335-2 form two outputs of programmable AND array 310 and provide inputs to OR logic gate 340. The OR logic gates are not programmable. Product terms 335-3 and 335-4 form another two outputs of programmable AND array 310 and provide inputs to OR logic gate 345. OR-gate 340 provides a sum-of-products (or sum-of-product- terms) output to the input of D-flip flop 350 and OR-gate 345 provides a sum-of-products output to the input of D-flip flop 355. D-flip flop 350 provides output Oi which is connected to input C by feedback loop 330 and D-flip flop 355 provides output O2 which is connected to input D by feedback loop 330.
[0014] In operation, inputs A and B to PAL 300 result in logic outputs Oi and O2 based on the ON and OFF states of devices, such as EPROMs for example, located at the intersection of input lines and product term lines in electrically programmable AND array 310. Details of PAL operation are well known in the literature and are available in product specifications.
Complex Programmable Logic Devices (CPLDs)
[0015] CPLDs consist of multiple SPLD-like blocks interconnected on a single chip, typically by a programmable global interconnect matrix resulting in a field programmable logic function that is substantially more powerful than is possible with even large individual SPLD functions and represents a category of programmable logic devices (PLDs) as shown in Figure 1. The difficulty of increasing capacity of a single SPLD architecture is that the array size of the programmable logic-arrays are driven to large dimensions as the number of inputs increase. Therefore as technologies are scaled to smaller dimensions and the number of transistors available on chips increases, it becomes more efficient to limit the size of SPLDs and to interconnect multiple SPLDs with a programmable global interconnect matrix.
[0016] Figure 4 illustrates a schematic of CPLD 400 architecture formed using four SPLD functions, SPLD 410, SPLD 420, SPLD 430, and SPLD 440. In one implementation, for example, electronically programmable SPLD functions may be formed using electronically programmable PALs similar to PAL 300 illustrated in Figure 3. While four interconnected electronically programmable SPLD functions are illustrated in Figure 4, dozens of interconnected SPLDs may be used to form a large flexible in- circuit programmable logic function. All connections between SPLDs, in this example PALs similar to PAL 300 described further above with respect to Figure 3, are routed (wired) through global interconnect matrix 450.
[0017] In operation, all communication between SPLD 410 and all other SPLDs used to form CPLD 400 are routed to global interconnect matrix 450 using wire(s) 410-1 and received from global interconnect matrix 450 using wire(s) 410-2. All communication between SPLD 420 and all other SPLDs used to form CPLD 400 flow are routed to global interconnect matrix 450 using wire(s) 420-1 and received from global interconnect matrix 450 using wire(s) 420-2. All communication between SPLD 430 and all other SPLDs used to form CPLD 400 flow are routed to global interconnect matrix 450 using wire(s) 430-1 and received from global interconnect matrix 450 using wire(s) 430-2. And all communication between SPLD 440 and all other SPLDs used to form CPLD 400 flow are routed to global interconnect matrix 450 using wire(s) 440-1 and received from global interconnect matrix 450 using wire(s) 440-2. Multiple inputs and outputs (I/Os) communicate between CPLD 400 and other circuit functions. Since all connections are routed through similar paths, time delays can be predicted which simplifies CPLD design. Buffer circuits (not shown) may be used as well. [0018] Applications that can exploit wide AND/OR gates and do not require a large number of flip flops are good candidates for mapping into CPLDs. Control functions such as graphics controllers and some communication circuit functions map well into CPLD architectures. In-system re-programmability and reasonably predictable speed performance are significant advantages offered by CPLDs. Field Programmable Gate Array (FPGA) Logic
[0019] FPGAs were invented by Ross Freeman, co founder of the Xilinx Corporation, in 1984 to overcome the limitations of CPLDs. The primary differences between CPLDs and FPGAs are due to differences in chip architecture. As described further above, CPLD architecture consists primarily of programmable sum-of-products logic arrays with a relatively small number of clocked registers (D-flip flops for example) interconnected by a global interconnect matrix as illustrated further above by CPLD 400 shown in Figure 4. CPLDs typically have relatively high logic-to-interconnect ratios. The result is less architectural flexibility and smaller logic functions (typically limited to tens to hundreds of thousands of equivalent logic gates) but more predictable timing delays and greater ease of programming.
[0020] FPGA architectures are dominated by interconnects. FPGAs are therefore much more flexible in terms of the range of designs that can be implemented and logic functions in the millions and tens of millions and eventually in the hundreds of millions of equivalent logic gates may be realized. In addition, the added flexibility enables inclusion of higher-level embedded functions such adders, multipliers, CPUs, and memory. The added interconnect (routing) flexibility of FPGAs also enables partial reconfiguration such that one portion of an FPGA chip may be reprogrammed while other portions are running. FPGAs that can be reprogrammed while running may enable reconfigurable computing (reconfigurable systems) that reconfigure chip architecture to better implement logic tasks. The FPGA' s flexibility, ability to support a large number of equivalent logic gates, and ability to accommodate embedded memory and logic functions are displacing ASICs in many applications because of lower non-recurring engineering (NRE) design costs and faster time-to-market. FPGA architecture is shown in Figure 1 alongside SPLD and CPLD as a stand-alone category of programmable logic device architecture. [0021] FPGA architecture and circuit implementations are described in US Patent Re. 34,363 to Freeman, filed on Jun. 24, 1991, and SRAM memory controlled routing switch circuit implementations are described in US Patent 4,670,749 to Freeman, filed on Apr. 13, 1984, the contents of which are incorporated herein by reference in their entirety. FPGA 500 (as shown in Figure 5) schematically illustrates basic concepts taught by Freeman in the above referenced patents by Freeman.
[0022] Referring now to Figure 5, FPGA 500 includes an array of configurable (programmable) logic blocks (CLBs) such as CLB 510 and programmable switch matrices (PSMs) such as PSM 520. Interconnections between CLBs and PSMs may be relatively short to provide local wiring (such as interconnect 530) or relatively long to provide global wiring (not shown). A programmable switch (routing) matrix PSMl interconnecting four CLB blocks CLBl, CLB2, CLB3, and CLB4 is illustrated in Figure 5. In this example, switch 540, one of the switches in PSMl, may be used to interconnect CLBl, CLB2, CLB3, and CLB4 in any combination.
[0023] CLBs are typically formed by combining look up tables (LUTs) with flip flops and multiplexers as illustrated schematically by CLB 600 in Figure 6. Alternatively, CLBs may be formed by combining combinatorial logic with flip flops and multiplexers as illustrated by CLB 700 in Figure 7.
[0024] Referring now to Figure 6, CLB 600 comprises LUT 610 with inputs I1, 12, ..., IN- LUT 610 may be a random access memory (RAM) such as an SRAM, an EPROM, an EEPROM, or a flash memory. A typical LUT configuration may be a RAM organized in a 4x4x1 configuration with four inputs and one output. In this example, the LUT 610 output drives the input of clocked D-flip flop 620 which in turn drives an input of multiplexer (MUX) 630. The LUT 610 output may also drive an input of MUX 630 directly. MUX 630 drives (provides) CLB 600 output to terminal O. [0025] Referring now to Figure 7, CLB 700 includes configurable combinatorial logic function 710 with inputs I1, 12, ..., IN- Configurable combinatorial logic function 710 may be formed using cascaded transfer devices or random logic blocks such as NAND and NOR functions for example. Configurable combinatorial logic function 710 formed using NanoLogic™ functions may also be used as described further below in Figures 12 and 14. Typical configurable combinatorial logic function 710 may be formed using cascaded transfer devices and configuration control bits or random logic blocks and configuration control bits. In this example, the configurable combinatorial logic function 710 output drives the input of clocked D-flip flop 720 which in turn drives an input of MUX 730. The configurable combinatorial logic function 710 output may also drive an input of MUX 730 directly. MUX 730 drives (provides) CLB 700 output to terminal O. [0026] The routing flexibility of FPGAs enables a wide variety of functions to be realized. Figure 8 illustrates FPGA 800 and shows an example of a static ram (SRAM) controlled routing of signals between various CLBs enabling an in-circuit programmable logic function. CLB 810 includes an AND gate with inputs Ii and I2 and an output Oi which is provided to PSM 812 which includes FET 815 whose ON or OFF states are controlled by SRAM 820. FET 815 terminal 1 is connected to output Oi , gate terminal 2 is connected to SRAM 820, and terminal 3 is connected to wire 825. Wire 825 is in turn connected to PSM 828 which includes FET 830 whose ON and OFF states are controlled by SRAM 820. FET 830 terminal 4 is connected to wire 825, gate terminal 5 is connected to SRAM 820, and terminal 6 is connected to wiring 835. Wiring 835 is also connected to an input of MUX 840 which is controlled by SRAM 820. Output O2 of MUX 840 is connected to wire 850 which is connected to an input of an AND gate in CLB 855 providing an output O3. A global wire 860 is shown which is not part of local wiring. [0027] In operation, output Oi is applied to terminal 1 of FET 815 with the logic state (high or low voltage) of gate terminal 2 controlled by SRAM 820. IfFET 815 is OFF, low gate voltage in this example, then Oi does not propagate along wire 825. If however, FET 815 is ON, high gate voltage (typically 2.5 volts) in this example, then Oi propagates through the channel region of FET 815 to terminal 3, and then along wire 825 to terminal 4 of FET 830 which is also controlled by SRAM 820. IfFET 830 is in an OFF state, then Oi does not propagate to terminal 5. However, if FET 830 is in an ON state, then Oi propagates along wire 835 to an input terminal of MUX 840. If MUX 840 is enabled by SRAM 820, then MUX output O2 is applied to an input terminal of the AND gate in CLB 855 by wire 850. The AND gate output O3 is also the output of CLB 855. [0028] The use of SRAMs to control wiring in FPGAs as illustrated above with respect to Figure 8 and described in US patent 4,670,749 has the advantage of compatibility with leading edge CMOS logic processes, is reprogrammable, and supports in-circuit programmability. However, it is the largest area element having 5 to 6 transistors per cell, requires external loading of bits to define the logic function. Further, in such SRAM based designs the FPGA is nonfunctional until loading is complete, is volatile, and has relatively low radiation tolerance. In addition, the large SRAM cell size also requires a large number of wiring layers and impacts architecture because the size of the switch is a key factor in determining FPGA architecture.
[0029] A very small switch such as a cross point antifuse may also be used for wiring. Such a small switch results in a different architecture and can reduce chip size by approximately 1OX relative to an SRAM-based FPGA implementation. A cross point antifuse is nonvolatile, has very low capacitance (1 fF per node for example), is radiation hard, and does not require external loading of bits to operate. However, programming such antifuse based FPGA devices (such as is depicted in Figure 9) requires relatively high voltages such as 5 to 10 volts to ensure breakdown and currents in the 5 to 10 mA range. Further such devices are one-time-programmable (OTP) and are difficult to in-circuit program.
[0030] Figure 9 illustrates a schematic of FPGA 900 which includes logic cells such as logic cell 910, vertical wiring 920, horizontal wiring 930, and antifuses such as antifuse 940 at each intersection of vertical and horizontal wires. Such antifuses are typically formed using ONO dielectric-based antifuses or metal-to-metal antifuses. While wiring is showed in channel regions between logic cells, wiring over logic cells (not shown) may be used to further increase density. I/O circuits such as I/O 950 interface internal to FPGA 900 circuits and with output connections on the chip. FPGA 900 with dense wiring is somewhat similar to ASIC-type layouts although antifuse ON resistance may be in range of 25 ohms to several hundred ohms depending on antifuses used. Also, high voltage circuits (not shown) are included to switch selected cross point antifuse switches from an OFF to an ON state.
[0031] In operation, high voltages typically in the 5-10 volt range with high currents in the milliampere range are used to program (change) the cross point antifuses from an OFF-to-ON state. Then the logic function can be tested. A new chip is required for each logic function and OTP in-circuit programming is difficult. A socket approach can facilitate programming of FPGA 900. Summary
[0032] A nonvolatile nanotube programmable devices and the nonvolatile nanotube field programmable gate array (NFPGA) is provided.
[0033] Under certain embodiments, one or more nonvolatile nanotube (NV NT) select circuits are used to store (in a first operation) and later provide (in a second operation) one or more control bits to a conventional configurable logic block (CLB) circuit. Said NV NT select circuits comprise a pair of nanotube switches and a field effect transistor (FET). One terminal of each nanotube switch and one terminal of the FET are joined together to form a common node, providing a four terminal device. During a store operation, the resistance of each nanotube switch can be set to provide means for nonvolatile storage of a single control bit. During NFPGA operation, the control bits stored as corresponding nonvolatile high or low resistance states within each NV NT select circuit can be readily accessed and used to configure the CLB circuit. This nonvolatile nanotube based CLB system is referred to as an NCLB.
[0034] Under certain embodiments, one or more NV NT select circuits are used to store (in a first operation) and later provide (in a second operation) one or more control bits to a conventional programmable switch matrix (PSM) circuit. During NFPGA operation, the control bits stored as corresponding nonvolatile high or low resistance states within each NV NT select circuit can be readily accessed and used to configure the PSM circuit. This nonvolatile nanotube based PSM system is referred to as an NPSM. [0035] Under certain embodiments, a NV NT select circuit is used to store (in a first operation) and later provide (in a second operation) a control bit to a conventional programmable bidirectional buffer circuit. During NFPGA operation, the control bit stored as corresponding nonvolatile high or low resistance states within the NV NT select circuit can be readily accessed and used to configure the direction of the buffer circuit. Additional NV NT select circuits can also be used to either enable or disable bypass paths around inverter stages within said conventional programmable bidirectional buffer circuit, providing means for signal inversion through the buffer circuit. Further, additional NV NT select circuits (the nanotube switches of which are configured with nonvolatile resistance values such as to provide a specific resistor divider ratio) can be used to provide programmable supply voltages within the buffer circuit, providing means for voltage level translation through the buffer circuit. This nonvolatile nanotube based programmable bidirectional buffer system is referred to as an NT BiDi buffer circuit . [0036] Under certain embodiments, a plurality of nonvolatile NRAM™ cells are combined to form an NRAM™ array, providing means for nonvolatile storage of a plurality of data bits, each data bit corresponding to a unique combination of inputs (address) to the array. This NRAM™ array is then used in place of a conventional (volatile) SRAM array to form a conventional look up table (LUT) circuit. Said NRAM™ cells are comprised of a single nanotube switch wired in series with a FET, providing a three terminal device which can be used to store (in a first operation) and later recall (in a second operation) a single bit of data. During NFPGA operation, the data bits stored within the NRAM array can be readily accessed and provided to an output stage. [0037] Under certain embodiments, a plurality of nonvolatile NRAM™ cells are combined to form an NRAM™ array, providing means for nonvolatile storage of a plurality of control bits. This NRAM™ array is then used to store (in a first operation) and later provide (in a second operation) a plurality of control bits to a conventional CLB circuit. During NFPGA operation, the control bits stored within said NRAM™ array can be readily accessed and used to configure the CLB circuit. This nonvolatile nanotube based CLB system is referred to as an NCLB .
[0038] Under certain embodiments, a plurality of nonvolatile NRAM™ cells are combined to form an NRAM™ array, providing means for nonvolatile storage of a plurality of control bits. This NRAM™ array is then used to store (in a first operation) and later provide (in a second operation) a plurality of control bits to a conventional PSM circuit. During NFPGA operation, the control bits stored within said NRAM™ array can be readily accessed and used to configure the PSM circuit. This nonvolatile nanotube based PSM system is referred to as an NPSM .
[0039] Under certain embodiments, a pair of nonvolatile NRAM™ cells is used to provide nonvolatile backup storage means within a conventional (volatile) SRAM cell. Prior to power loss in such a system, a store operation is performed which encodes the bit value stored in the volatile SRAM cell within each of the NRAM™ cells (a first NRAM™ cell encodes the true bit value, while a second NRAM™ cell encodes the compliment value). Similarly, a recall operation (typically performed after power up) is used to load the SRAM cell with the bit value stored within the nonvolatile NRAM™ cells. [0040] Under certain embodiments, a plurality of these nanotube supported (or "shadowed") memory devices—termed nonvolatile nanotube shift registers (NS/Rs) , having one nonvolatile nanotube shadow device per shift register stage—can be combined to form a nonvolatile nanotube configuration control register (NCCR). Such a device can be used to store (in a first operation) and later provide (in a second operation) a plurality of control bits to either a conventional CLB or a conventional PSM circuit, forming a NCLB or a NPSM, respectively.
[0041] Under certain embodiments, a plurality of control bits within a nonvolatile nanotube based programmable logic element (said control bits supplied by an NV NT select circuit, NRAM™, NS/R, or some combination or subcombination of the three) are altered in response to a security event. In this way, the configuration of said programmable logic elements is protected from unauthorized access in, for example, an attempt at reverse engineering a device employing nonvolatile nanotube based programmable logic elements.
[0042] Under certain embodiments, programmable supply voltages (programmed using NV NT select circuits as described further above) are set to provide precise signal delay values through one or more nonvolatile nanotube based programmable logic elements. As signal rise time through a CMOS circuit, for example, is directly proportional to supply (or "rail") voltage, precisely selecting a supply voltage for each circuit element provides means for precisely selecting a delay value through said element. Within this aspect, additional inverter stages may be used to restore signal levels to predetermined high and low voltages.
[0043] Under certain embodiments, programmable supply voltages (programmed using NV NT select circuits as described further above) provide means to regulate power consumption within a large system of nonvolatile nanotube programmable logic elements, such as, but not limited to, an FPGA.
[0044] The nonvolatile nanotube based programmable logic elements can be used together to realize a nonvolatile, rapidly reconfigurable nanotube based FPGA (NFPGA). Said NFPGA is advantageous because a device can be realized in significantly smaller physical dimensions compared to conventional SRAM based FPGAs of comparable logic density. Said NFPGA is further advantageous because it can be readily programmed and reprogrammed in-circuit, in contrast to one-time-programmable (OTP) antifuse or
EPROM based FPGAs. Said NFPGA is also advantageous because such a device can be rapidly reconfigured, in whole or in part, during operation (in some cases within a single clock cycle).
[0045] Accordingly, a nonvolatile nanotube based configurable logic block (NCLB) is disclosed below. It comprises one or more nonvolatile nanotube (NV NT) select circuits and a conventional CLB circuit, wherein said NV NT select circuits are used to store and provide one or more configuration control bits to said conventional CLB circuit.
[0046] A nonvolatile nanotube based configurable logic block (NCLB) comprising an
NRAM array is also provided. The array comprises a plurality of NRAM cells, and a conventional CLB circuit, wherein said NRAM array is used to store and provide one or more configuration control bits to said conventional CLB circuit.
[0047] A nonvolatile nanotube based programmable switch matrix (NPSM) is provided. It comprises one or more NV NT select circuits and a conventional PSM circuit, wherein said NV NT Select Circuits are used to store and provide one or more configuration control bits to said conventional PSM circuit.
[0048] A nonvolatile nanotube based programmable switch matrix (NPSM) is provided. It comprises an NRAM array, said array comprising a plurality of NRAM cells, and a conventional PSM circuit, wherein said NRAM array is used to store and provide one or more configuration control bits to said conventional PSM circuit.
[0049] A nonvolatile nanotube based programmable bidirectional (NT BiDi) buffer circuit is provided. It comprises a NV NT switch circuit and a conventional bidirectional buffer circuit, wherein said NV NT switch is used to store and provide a control bit to said conventional bidirectional buffer circuit.
[0050] A means within said NT BiDi buffer circuit (through the use of one or more additional NV NT switch circuits) is provided to invert a data signal passing through said buffer circuit. [0051] A means within said NT BiDi buffer circuit (through the use of one or more additional NV NT switch circuits) is provided to level translation of a data signal passing through said buffer circuit.
[0052] It is also an object of the present disclosure to provide a nonvolatile nanotube based programmable look up table (LUT) comprising an NRAM array, said array comprising a plurality of NRAM cells, and an output stage, wherein said NRAM array, responsive to a plurality of input signals, provides a previously stored value for each unique combination of input values (address) to said output stage. [0053] It is further an object of the present disclosure to provide a nanotube configuration control register (NCCR), said NCCR comprising a plurality of nonvolatile nanotube shift registers (NS/Rs), which can be used to store and provide a plurality of control bits within an NCLB or NPSM circuit.
[0054] It is also an object of the present invention to provide means for responding to a security event (an unauthorized attempt to reverse engineer a device, for example) wherein control bits within one or more nonvolatile nanotube programmable logic devices are altered upon detection of such an event.
[0055] It is further an object of the present disclosure to provide means for precision control of signal delay through one or more nonvolatile nanotube programmable logic devices wherein a programmable supply voltage, supplied by one or more NV NT select circuits, is carefully selected to set a desired signal delay.
[0056] It is also an object of the present disclosure to provide means to regulate power consumption within one or more nonvolatile nanotube programmable logic devices by selecting programmable supply voltages supplied by one or more NV NT select circuits. [0057] It is further an object of the present disclosure to provide an nonvolatile nanotube based FPGA (NFPGA) comprising an arrangement nonvolatile nanotube programmable devices selected from a group consisting of NCLBs, NPSMs, NT BiDi buffer circuits, NRAM based LUTs, NCCRs.
[0058] In one aspect, the present disclosure relates to a programmable nonvolatile nanotube select circuit that can include a first two-terminal nonvolatile nanotube switch and a second two-terminal nonvolatile nanotube switch. Each of the first and second two- terminal nonvolatile nanotube switches can include a first terminal and a second terminal, wherein the first and second terminals of the first nonvolatile nanotube switch are in contact with opposite ends of a first nanotube element and the first and second terminals of the second nonvolatile nanotube switch are in contact with opposite ends of a second nanotube element. The second terminal of the first nonvolatile nanotube switch and the second terminal of the second nonvolatile nanotube switch can share a common node. The programmable nonvolatile nanotube select circuit can also include a field effect transistor (FET) having a drain region, a source region, a channel region positioned between the drain and source regions, and a gate node in proximity to the channel region, wherein the gate node modulates the conductivity of the channel region and wherein the drain region of the FET is electrically coupled to the common node.
[0059] In some embodiments, the field effect transistor of the programmable nonvolatile nanotube select circuit is a nanotube field effect transistor. [0060] In one aspect, the present disclosure relates to a programmable nanotube logic circuit that can include a programmable nonvolatile nanotube select circuit. The programmable nonvolatile nanotube select circuit can include a first two-terminal nonvolatile nanotube switch and a second two-terminal nonvolatile nanotube switch. Each of the first and second two-terminal nonvolatile nanotube switches can include a first terminal and a second terminal, wherein the first and second terminals of the first nonvolatile nanotube switch are in contact with opposite ends of a first nanotube element and the first and second terminals of the second nonvolatile nanotube switch are in contact with opposite ends of a second nanotube element. The second terminal of the first nonvolatile nanotube switch and the second terminal of the second nonvolatile nanotube switch can share a common node. The programmable nonvolatile nanotube select circuit can also include a field effect transistor (FET) having a drain region, a source region, a channel region positioned between the drain and source regions, and a gate node in proximity to the channel region, wherein the gate node modulates the conductivity of the channel region and wherein the drain region of the FET is electrically coupled to the common node. The programmable nanotube logic circuit can also include a Boolean logic circuit that can include at least one input and an output wherein a first input of the at least one inputs is electrically coupled to the common node of the programmable nonvolatile nanotube select circuit. [0061] In one aspect, the present disclosure relates to a programmable nanotube circuit that can include a programmable nonvolatile nanotube select circuit. The programmable nonvolatile nanotube select circuit can include a first two-terminal nonvolatile nanotube switch and a second two-terminal nonvolatile nanotube switch. Each of the first and second two-terminal nonvolatile nanotube switches can include a first terminal and a second terminal, wherein the first and second terminals of the first nonvolatile nanotube switch are in contact with opposite ends of a first nanotube element and the first and second terminals of the second nonvolatile nanotube switch are in contact with opposite ends of a second nanotube element. The second terminal of the first nonvolatile nanotube switch and the second terminal of the second nonvolatile nanotube switch can share a common node. The programmable nonvolatile nanotube select circuit can also include a field effect transistor (FET) having a drain region, a source region, a channel region positioned between the drain and source regions, and a gate node in proximity to the channel region, wherein the gate node modulates the conductivity of the channel region and wherein the drain region of the FET is electrically coupled to the common node. The programmable nanotube circuit can also include a transfer device that can include an input, an output, and a control terminal wherein the control terminal is electrically coupled to the common node of the programmable nonvolatile nanotube select circuit to enable transfer of a signal at the input of the transfer device to the output of the transfer device. [0062] In one aspect, the present disclosure relates to a nonvolatile nanotube configurable logic circuit that can include a first, second and third plurality of input terminals and at least an output terminal, a plurality of programmable nonvolatile nanotube select circuits. Each programmable nonvolatile nanotube select circuit can include a first two-terminal nonvolatile nanotube switch and a second two-terminal nonvolatile nanotube switch. Each of the first and second two-terminal nonvolatile nanotube switches can include a first terminal and a second terminal, wherein the first and second terminals of the first nonvolatile nanotube switch are in contact with opposite ends of a first nanotube element and the first and second terminals of the second nonvolatile nanotube switch are in contact with opposite ends of a second nanotube element. The second terminal of the first nonvolatile nanotube switch and the second terminal of the second nonvolatile nanotube switch can share a common node. The programmable nonvolatile nanotube select circuit can also include a field effect transistor (FET) having a drain region, a source region, a channel region positioned between the drain and source regions, and a gate node in proximity to the channel region, wherein the gate node modulates the conductivity of the channel region and wherein the drain region of the FET is electrically coupled to the common node. The first plurality of input terminals can be electrically coupled to the first terminals of the nonvolatile nanotube switches and the second plurality of terminals can be electrically coupled to the gate regions of the nonvolatile nanotube switches. A first plurality of transfer devices can be electrically coupled to the signals on the third plurality of input terminals. The first plurality of transfer devices can also be electrically coupled to the signals on the common nodes of the nonvolatile nanotube switches. A second plurality of transfer devices can be electrically coupled to the complementary signals on the third plurality of input terminals, the second plurality of transfer devices can also be electrically coupled to the complementary signals on the common nodes of the nonvolatile nanotube switches, and wherein the signals on the first plurality of input terminals can be able to configure the first plurality of transfer devices and the second plurality of transfer devices to implement a plurality of Boolean logic functions at the output terminal.
[0063] In one aspect, the present disclosure relates to a nonvolatile nanotube programmable switch matrix that can include a first, second and third plurality of terminals, a plurality of programmable nonvolatile nanotube select circuits. Each programmable nonvolatile nanotube select circuit can include a first two-terminal nonvolatile nanotube switch and a second two-terminal nonvolatile nanotube switch. Each of the first and second two-terminal nonvolatile nanotube switches can include a first terminal and a second terminal, wherein the first and second terminals of the first nonvolatile nanotube switch are in contact with opposite ends of a first nanotube element and the first and second terminals of the second nonvolatile nanotube switch are in contact with opposite ends of a second nanotube element. The second terminal of the first nonvolatile nanotube switch and the second terminal of the second nonvolatile nanotube switch can share a common node. The programmable nonvolatile nanotube select circuit can also include a field effect transistor (FET) having a drain region, a source region, a channel region positioned between the drain and source regions, and a gate node in proximity to the channel region, wherein the gate node modulates the conductivity of the channel region and wherein the drain region of the FET is electrically coupled to the common node. The first plurality of terminals can be electrically coupled to the first terminals of the nonvolatile nanotube switches and the second plurality of terminals can be electrically coupled to the gate regions of the nonvolatile nanotube switches, and a plurality of transfer devices can be electrically coupled to the common nodes of the programmable nonvolatile nanotube select circuits, the plurality of transfer devices can also be electrically coupled to the third plurality of terminals so as to provide routing between any two terminals of the third plurality of terminals.
[0064] In one aspect, the present disclosure relates to a nanotube bi-directional buffer circuit that can include a first and second bi-directional terminals, a first and second buffers, each buffer can include an input, an output, and a transfer device. The nanotube bi-directional buffer circuit can also include a programmable nonvolatile select circuit that can include a first two-terminal nonvolatile nanotube switch and a second two-terminal nonvolatile nanotube switch, wherein each of the first and second two-terminal nonvolatile nanotube switches that can include a first terminal and a second terminal, wherein the first and second terminals of the first nonvolatile nanotube switch are in contact with opposite ends of a first nanotube element and the first and second terminals of the second nonvolatile nanotube switch are in contact with opposite ends of a second nanotube element, and the second terminal of the first nonvolatile nanotube switch and the second terminal of the second nonvolatile nanotube switch share a common node, and a field effect transistor (FET) having a drain region, a source region, a channel region positioned between the drain and source regions, and a gate node in proximity to the channel region, wherein the gate node modulates the conductivity of the channel region and wherein the drain region of the FET is electrically coupled to the common node. The first bidirectional terminal can be electrically coupled to the input of the first buffer and the output of the second buffer, wherein the second bi-directional terminal can be electrically coupled to the input of the second buffer and the output of the first buffer, wherein the signal on the common node of the programmable nonvolatile nanotube select circuit can be electrically coupled to the transfer device of the first buffer to enable signal flow from the second bi-directional terminal to the first bi-directional terminal, wherein the complementary signal on the common node of the programmable nonvolatile nanotube select circuit can be electrically coupled to the transfer device of the second buffer to enable signal flow from the first bi-directional terminal to the second bi-directional terminal.
[0065] Other features and advantages of the disclosure invention will become apparent from the following description of the invention which is provided below in relation to the accompanying drawings.
Brief Description of the Drawings
[0066] Figure 1 is an overview of field programmable devices (FPDs), sometimes referred to as programmable logic devices (PLDs);
[0067] Figure 2 is a block diagram of a programmable logic array (PLA);
[0068] Figure 3 is a schematic of a programmable array logic (PAL™) device.
PAL™ is a trademark of AMD;
[0069] Figure 4 is a block diagram of a complex programmable logic device;
[0070] Figure 5 is a block diagram of a field programmable gate array (FPGA) including a plurality of configurable logic blocks (CLBs);
[0071] Figure 6 is a block diagram of a configurable logic block (CLB) including a look-up table (LUT), a D-flip flop, and a multiplexer;
[0072] Figure 7 is a block diagram of a configurable logic block (CLB) including a combinational logic function, a D-flip flop, and a multiplexer;
[0073] Figure 8 is a block diagram of a field programmable gate array (FPGA) including an SRAM-configured programmable switch matrix;
[0074] Figure 9 is a block diagram of a field programmable gate array (FPGA) including antifuse-based programmable wiring;
[0075] Figure 1OA illustrates a schematic of a nonvolatile nanotube switch (NV NT switch);
[0076] Figures 1OB and 1OC show a nonvolatile nanotube switch (NV NT switch) in
ON and OFF states, respectively;
[0077] Figure 1OD shows a perspective drawing of a nonvolatile nanotube block switch; [0078] Figure 1OE shows a perspective drawing of a cross point switch formed using nonvolatile nanotube blocks described in Figure 1OD;
[0079] Figure 1OF shows a cross section of a nonvolatile nanotube block in series with steering (select) diodes shown in schematic form, with a first diode having a cathode in contact with a terminal of the nonvolatile nanotube block, or a second diode having an anode in contact with a terminal of the nonvolatile nanotube block;
[0080] Figure 1OG shows a perspective drawing of four memory cells (or four cross point switch cells) formed using the memory cell shown in Figure 1OF;
[0081] Figure HA shows a schematic representation of an NRAM™ cell;
[0082] Figure HB shows a schematic representation of a nonvolatile nanotube select circuit;
[0083] Figure 12A shows the nonvolatile nanotube select circuit of Figure 1 IB wired as one cell in an X-Y array configuration;
[0084] Figure 12B shows the nonvolatile nanotube select circuit shown of Figure 12A used to control the ON/OFF state of a transfer device;
[0085] Figure 12C shows the nonvolatile nanotube select circuit of Figure 12A used as an input that controls a NAND logic circuit operation;
[0086] Figure 12D shows the nonvolatile nanotube select circuit of Figure 12A used as an input that controls a NOR logic function operation;
[0087] Figure 13 shows a nonvolatile nanotube field programmable gate array
(NFPGA) with configurable logic blocks and programmable switch matrices controlled by nonvolatile nanotube select circuits;
[0088] Figure 14A shows a nonvolatile nanotube configurable logic block (NCLB) formed using transfer gates, NAND, NOR, and tristate circuits whose logic function is determined by logic states provided nonvolatile nanotube select circuits;
[0089] Figure 14B shows various logic circuit configurations formed by the nonvolatile nanotube configuration logic block of Figure 14A based on the logic state of nonvolatile nanotube select circuits that provide nonvolatile configuration control states
(or bits); [0090] Figure 15 shows various equivalent logic circuits corresponding to the various logic configurations formed by the nonvolatile nanotube configuration logic block as shown in Figure 14B;
[0091] Figure 16 shows a nonvolatile nanotube programmable switch matrix with various signal routing configurations controlled by nonvolatile nanotube select circuits; [0092] Figure 17A shows a nonvolatile nanotube bidirectional buffer in which the direction of signal flow is controlled by a nonvolatile nanotube select circuit; [0093] Figure 17B shows a nonvolatile nanotube enhanced bidirectional buffer in which the direction, polarity, and amplitude of signal flow is controlled by a nonvolatile nanotube select circuit;
[0094] Figure 18 shows a nonvolatile nanotube programmable voltage generator that generates a programmed on-chip voltage determined by a nonvolatile nanotube select circuit;
[0095] Figure 19 shows a sixteen bit NRAM™ memory array; [0096] Figure 20 shows a nonvolatile nanotube configurable logic block with a lookup table formed using a 16 bit NRAM memory, a D-flip flop, and a multiplexer; [0097] Figure 21 shows a nonvolatile nanotube field programmable gate array (NFPGA) with configurable logic blocks and programmable switch matrices controlled by nonvolatile NRAM™ memory outputs;
[0098] Figure 22 A shows a 1x6 nonvolatile NRAM™ memory architecture used as an NRAM™-based nonvolatile control bit generator that provides true and complement control bit outputs;
[0099] Figure 22B shows a Kx6 nonvolatile NRAM™ memory architecture used as an NRAM™-based nonvolatile control bit generator that provides true and complement control bit outputs;
[0100] Figure 23 shows a nonvolatile nanotube configurable logic block (NCLB) formed using transfer gates, NAND, NOR, and tristate circuits whose logic function is determined by nonvolatile true and complement control bits generated by a nonvolatile NRAM™ memory such as described with respect to Figure 22A or Figure 22B; [0101] Figure 24 shows a nonvolatile nanotube programmable switch matrix with various signal routing configurations controlled by nonvolatile true and complement control bits generated by a nonvolatile NRAM™ memory such as described with respect to Figure 22 A or Figure 22B;
[0102] Figure 25 shows a nonvolatile nanotube static random access memory
(NSRAM) cell formed by combining an SRAM cell and a pair of nonvolatile nanotube switching elements;
[0103] Figure 26 shows another nonvolatile nanotube static random access memory
(NSRAM) cell in which the pair of nonvolatile nanotube switching elements used in
Figure 25 are replaced by a pair of NRAM™ cells with mode control transistors;
[0104] Figure 27 shows two stages of a configuration control register that provides configuration control bits;
[0105] Figure 28 A shows two stages of a nonvolatile nanotube configuration control register that provides nonvolatile configuration control bits. The logic state of the nanotube configuration control register (NCCR) may be stored in, and recalled from, nonvolatile high or low resistance states in nonvolatile nanotube switches. Both nonvolatile nanotube switches (one per register stage) are shown in a low resistance (ON) state;
[0106] Figure 28B shows the nonvolatile nanotube configuration control register of
Figure 28A with both nonvolatile nanotube switches set in a high resistance (OFF) state;
[0107] Figure 28C shows the nonvolatile nanotube configuration control register of
Figure 28A with one nonvolatile nanotube switch is in a high resistance (OFF) state and another nonvolatile nanotube switch is in a low resistance (ON) state;
[0108] Figure 29 shows a nonvolatile nanotube configurable logic block (NCLB) formed using transfer gates, NAND, NOR, and tristate circuits whose logic function is determined by nonvolatile true and complement configuration control bits provided by the nonvolatile nanotube configuration control register shown in Figure 28; and
[0109] Figure 30 shows a nonvolatile nanotube programmable switch matrix with various signal routing configurations controlled by nonvolatile true and complement control bits provided by the nonvolatile nanotube configuration control register shown in
Figure 28. Detailed Description
[0110] Integrated circuits for a wide variety of product applications in a competitive environment require fast time-to-market for new designs and low (or zero) non-recurring engineering cost (NRE) and low fabrication cost. As a result, the demand for field programmable devices (FPDs) solutions has increased rapidly. Low power is a requirement for most applications. Applications are increasingly portable so conservation of battery power is a requirement and nonvolatile operation is advantageous, especially since integration levels (more function) are increasing rapidly as is the requirement for high performance.
[0111] The present disclosure provides field programmable device (FPD) chips with large logic capacity and field programmability that are in-circuit programmable (in-place in the package without requiring sockets). They use small versatile nonvolatile nanotube switches that enable efficient architectures for dense low power and high performance chip implementations and are compatible with low cost CMOS technologies and simple to integrate (low additional mask count and few additional process steps). Field programmable devices (FPDs) are also sometimes referred to as programmable logic devices (PLDs) and the terms FPD and PLD are used interchangeably throughout the application.
User In-Circuit Programmable Switch Technologies
Overview of User Programmable Switch Technologies
[0112] Historically, CPLDs use EPROM and EEPROM switches and FPGAs use SRAM and antifuse switches. More recently, flash has also been used. As may be appreciated from the descriptions of Figure 8 and 9, the size, performance, and flexibility of switches used in FPGAs essentially determines FPGA architecture because FPGAs use a large amount of programmable wiring.
Figure imgf000027_0001
Table 1
[0113] Table 1 is a summary of various switch types, their properties, programming and operating voltages, and underlying technologies. In some cases, more that one switch type may be used.
[0114] SRAMs used to control switches have the advantage of CMOS technology compatibility with the latest CMOS technology generation and compatibility with the standard (std.) technology operating voltages because no programming is required. However, very large switch area and volatile operation are disadvantages as well as high sensitivity to radiation and designs that can easily be copied. Antifuses have the advantage of small area size but are not reprogrammable because antifuses are OTP so the chip architecture cannot be modified once it is programmed. Antifuses typically require 5- 10 volts and relatively high current in the 1-10 milliampere range. Antifuses have relatively high radiation tolerances and designs cannot easily be copied because "reverse engineering" is difficult. EPROMs with UV erase are typically limited to development prototypes. EEPROMs can be reprogrammed in-circuit but are larger than antifuses and require high programming voltage and require higher than standard operating voltages. Flash has high programming voltages but standard operating voltages. Flash devices are small in size but larger than antifuses and may be more sensitive to radiation than antifuses.
[0115] Nonvolatile nanotube (NV NT) switches such as those described in US Patent Application No. 11/280786, filed on Nov. 15, 2005, entitled "Two-Terminal Nanotube Devices and Systems and Methods of Making," US Patent No. 7,394,687 and US Patent Application No. 12/165007, filed on Jun. 30, 2008, entitled "Non- Volatile Shadow Latch Using a Nanotube Switch," US Patent Application No. 11/835583, filed on Aug. 8, 2007, entitled "Latch Circuits and Operation Circuits Having Scalable Nonvolatile Nanotube Switches as Electronic Fuse Replacement Elements," US Patent Application No. 11/835612, filed on Aug. 8, 2007, entitled "Nonvolatile Resistive Memories Having Scalable Two-Terminal Nanotube Switches," US Patent Application Nos. 11/835651 , 11/835759, 11/835845, 11/835852, 11/835856, 11/835865, each filed on Aug. 8, 2007, entitled "Nonvolatile Nanotube Diodes and Nonvolatile Nanotube Blocks and Systems Using Same and Methods of Making Same," and US Patent Application No. 11/835613, filed on Aug. 8, 2007, entitled "Memory Elements and Cross Point Switches and Arrays of Same Using Nonvolatile Nanotube Blocks," may be used to form nonvolatile cross point switches that are as small as antifuses but can be programmed, erased, and reprogrammed multiple times. Such switches have a high tolerance to harsh environments such as high temperature and high radiation levels. NV NT switches may be combined with FETs to form nonvolatile NRAM™ memories with nonvolatile cells smaller than those of SRAMs as described in US Patent Application No. 11/274967, filed on Nov. 15, 2005, entitled "Memory Arrays Using Nanotube Articles with Reprogrammable Resistance." NV NT switches may be combined with FETs to form NanoLogic™ circuits as described in US Patent Application No. 11/835583, filed on Aug. 8, 2007, entitled "Latch Circuits and Operation Circuits Having Scalable Nonvolatile Nanotube Switches as Electronic Fuse Replacement Elements," US Patent Application No. 11/835612, filed on Aug. 8, 2007, entitled "Nonvolatile Resistive Memories Having Scalable Two-Terminal Nanotube Switches," and US Patent Application No. 61/039204, filed on Mar. 25, 2008, entitled "Carbon Nanotube-Based Neural Networks and Methods of Making and Using Same," that may be used for configurable (programmable) logic functions and programmable wire routing. So NV NT switches, which are compatible with CMOS technology and use programming voltages in the 3-7 volt range and corresponding programming currents in the tens of microamperes or less, are smaller than those of competing nonvolatile in-circuit reprogrammable technologies and operate at standard CMOS operating voltages and enable new more efficient CPLD and FPGA architectures. NV NT switch scaling of dimensions may be used to reduce programming voltages in future generations. [0116] Nanotube switches fabricated as 2-D NV NT switches with a horizontal orientation or as 3-D NV NT block switches with a vertical orientation are illustrated in Figures 10A- 1OD and described in the above referenced patents. Other types of hybrid NanoLogic™ circuits may be fabricated as shown in US Patent Nos. 7,115,901 and 7,268,044 and US Patent Application No. 11/731946, each entitled "Non- Volatile Electromechanical Field Effect Devices and Circuits Using Same and Methods of Forming Same," US Patent No. 6,982,903, entitled "Field Effect Devices Having a Source Controlled via a Nanotube Switching Element," US Patent No. 7,280,394, entitled "Field Effect Devices Having a Drain Controlled via a Nanotube Switching Element," US Patent No. 7,211,854 and US Patent Application No. 11/742290, filed on Apr. 30, 2007, each entitled "Field Effect Devices Having a Gate Controlled via a Nanotube Switching Element," US Patent No. 7,301,802, entitled "Circuit Arrays Having Cells with Combinations of Transistors and Nanotube Switching Elements," and US Patent No. 7,112,493 and US Patent Application No. 11/527127, filed on Sep. 26, 2006, each entitled "Method of Making Non- Volatile Field Effect Devices and Arrays of Same." Also, NanoLogic™ circuits that use only nanotube elements are also possible. Such nanotube- only type of NanoLogic™ circuits are described in US Patent No. 7,115,960 and US Patent Application No. 11/542524, filed on Oct. 3, 2006, each entitled "Nanotube-Based Switching Elements," US Patent Nos. 6,990,009, 7,339,401 and US Patent Application No. 11/971476, filed on Jan. 9, 2008, each entitled "Nanotube-Based Switching Elements with Multiple Controls," US Patent No. 7,228,970 and US Patent Application No. 11/929076, filed on Oct. 30, 2007, each entitled "Integrated Nanotube and Field Effect Switching Device," US Patent No. 7,329,931 and US Patent Application No. 12/029118, filed on Feb. 11, 2008, each entitled "Receiver Circuit Using Nanotube-Based Switches and Transistors," US Patent No. 7,330,709 and US Patent Application No. 12/029661, filed on Feb. 12, 2008, each entitled "Receiver Circuit Using Nanotube-Based Switches and Logic," US Patent Nos. 7,164,744, 7,265,575 and US Patent Application No. 11/897812, filed on Aug. 31, 2007, each entitled "Nanotube-Based Logic Driver Circuits," US Patent Nos. 7,161,403, 7,405,605 and US Patent Application No. 12/147315, each entitled "Storage Elements Using Nanotube Switching Elements," and US Patent Nos. 7,167,026, 7,288,961 and US Patent Application No. 11/928538, filed on Oct. 30, 2007, each entitled "Tri-state Circuit Using Nanotube Switching Elements," and may be volatile or nonvolatile in operation. This specification will focus on NV NT switches and NV NT blocks for nonvolatile multiple-cycle cross point switches, hybrid FET -nanotube combinations for NRAM storage elements, and NanoLogic circuits.
User In-Circuit Nonvolatile Programmable Switch Technologies using Nanotube Switches, Nanotube Cross Point Switches, NRAM Memories, and NanoLogic™ Circuits
[0117] Examples of certain embodiments of nonvolatile nanotube-based user- programmable switches for use in nanotube FPGA (NFPGA) Logic, nanotube SPLD (NSPLD) logic, and nanotube CPLD (NCPLD) logic are described further below in Figures 10A- 1OG and 11, 12. These include two-dimensional and three-dimensional nonvolatile nanotube switches and dense multi-cycle bidirectional cross point switches, dense directional cross point switches, nonvolatile NRAM™ memory cells, and nonvolatile NanoLogic™ circuits.
[0118] Nonvolatile nanotube (NV NT) switch 1000 illustrated in Figure 1OA is a schematic illustration of a two terminal switch with terminals Tl and T2 in contact with opposite ends of a patterned nano fabric (patterned non- woven nanotube fabric) as illustrated in US Patent Nos. 6,706,402, 6,942,921 and US Patent Application Nos. 10/774682, filed on Feb. 9, 2004, 11/111582, filed on Apr. 21, 2005, each entitled "Nanotube Films and Articles," US Patent Nos. 6,835,591, 7,264,990, and 7,335,528, each entitled "Methods of Nanotube Films and Articles," and US Patent Application No. 10/341130, filed on Jan. 13, 2003, entitled "Carbon Nanotube Films, Layers, Fabrics, Ribbons, Elements and Articles." NV NT switch 1000 may be viewed as a resistor that changes resistance value, increasing or decreasing resistance, as a function of applied currents and voltages as described in US Patent Application No. 11/280786, filed on Nov. 15, 2005, entitled "Two-Terminal Nanotube Devices and Systems and Methods of Making," and US Patent Application No. 11/835583, filed on Aug. 8, 2007, entitled "Latch Circuits and Operation Circuits Having Scalable Nonvolatile Nanotube Switches as Electronic Fuse Replacement Elements," US Patent Application No. 11/835612, filed on Aug. 8, 2007, entitled "Nonvolatile Resistive Memories Having Scalable Two-Terminal Nanotube Switches." Resistance values are nonvolatile and are retained (remembered) even if power is removed (switched OFF). In applications such as NRAM™ storage cells, switches may be switched between ON and OFF states in which the ON state resistance may be in the 10k Ohm to IM Ohm range and the OFF state is typically IG Ohm and higher.
[0119] It is also possible to store multiple bits on a single NV NT switch 1000 using multiple ON resistance values and one OFF value for increased memory density. For example, four resistance states store two bits of information on the same switch as described in US Patent Application No. 11/835583, filed on Aug. 8, 2007, entitled "Latch Circuits and Operation Circuits Having Scalable Nonvolatile Nanotube Switches as Electronic Fuse Replacement Elements," US Patent Application No. 11/835612, filed on Aug. 8, 2007, entitled "Nonvolatile Resistive Memories Having Scalable Two-Terminal Nanotube Switches." Multi-resistance (greater than two) values may also be used in analog applications as described in US Patent Application No. 11/835583, filed on Aug. 8, 2007, entitled "Latch Circuits and Operation Circuits Having Scalable Nonvolatile Nanotube Switches as Electronic Fuse Replacement Elements," US Patent Application No. 11/835612, filed on Aug. 8, 2007, entitled "Nonvolatile Resistive Memories Having Scalable Two-Terminal Nanotube Switches." In those applications featuring multi- resistance (greater than two) values, NV NT 1000 switches may be referred to as NANRISTORs. Since most of the NV NT 1000 switches as used in this application are digital (ON or OFF) the term NV NT switch is used.
[0120] Switch resistance values for NV NT NRAM™ cells and NanoLogic™ circuits typically range in ON values between 10 kOhm and IM Ohm. OFF resistance values are typically IG Ohm or higher. In the case of cross point switches, ON resistance values may be in the range of 50 Ohms to 500 Ohms for example because of time delay (performance) considerations. Resistance values are determined by material and geometric properties (dimensions) such as channel length and width and the density of the nanotube fabric. As illustrated above, different applications use different values of NV NT switch resistance values.
[0121] Figure 1OB shows a planar voltage contrast SEM image of a two terminal NV NT switch 1010 in an ON state in which nanotube channel region 1012 forms a continuous path between terminals Tl and T2. Figure 1OC shows two terminal NV NT switch 1010' in an OFF state. The same physical NV NT switch is used for both Figures 1OB and 1OC. However, NV NT switch 1010' is in an OFF state; that is there no continuous path between terminals Tl and T2. The nanotube channel region in Figure 1OC is electrically discontinuous with a portion of nanotube channel region 1018 A in an OFF state and another series portion of nanotube channel regions 1018B in an ON state. Figures 1OB and 1 OC are described in greater detail in US Patent Application Nos. 11/835651 , 11/835759, 11/835845, 11/835852, 11/835856, 11/835865, each filed on Aug. 8, 2007, entitled "Nonvolatile Nanotube Diodes and Nonvolatile Nanotube Blocks and Systems Using Same and Methods of Making Same."
Programmable Wiring using Nonvolatile Nanotube Cross Point Switches
[0122] In cross point switch applications which require high density, vertically oriented nonvolatile nanotube (NV NT) blocks may be used as illustrated by a perspective view of NV NT block 1020 in Figure 10D. NV NT block 1020 is formed by etching a relatively thick (30 to 40 nm for example) nano fabric layer to form nano fabric block 1022 with bottom contact 1023 and top contact 1024. NV NT block 1020 switches may be in- circuit programmed between ON and OFF states multiple times. So for example, programmable antifuse 940 shown in Figure 9 (which cannot be programmed or configured in-circuit) may be replaced with in-circuit programmable NV NT block 1020 at comparable densities.
[0123] Figure 1OE shows a perspective drawing of bidirectional cross point switch array 1025 formed using four NV NT blocks 1030-1, 1030-2, 1030-3, and 1030-4 corresponding to NV NT block 1020 in Figure 10D. Traces 1032-1 and 1032-2 correspond to vertical wires 920 and traces 1034-1 and 1034-2 correspond to horizontal wires 930 in FPGA 900 schematic drawing illustrated in Figure 9. These orthogonal pairs of traces in contact with bottom and top surfaces of NV NT blocks provide FPGA wiring and contacts to the four NV NT blocks thereby forming bidirectional cross point switch array 1025 that supports in-circuit programming (routing). Trace 1032-1 forms array wiring and the bottom contact of NV NT blocks 1030-1 and 1030-3 and trace 1032-2 forms array wiring and the bottom contact of NV NT blocks 1030-2 and 1030-4. Trace 1034-1 forms array wiring and the top contact of NV NT blocks 1030-1 and 1030-2 and trace 1034-2 forms array wiring and the top contact of NV NT blocks 1030-3 and 1030-4. [0124] Bidirectional cross point switch array 1025 illustrated in perspective view in Figure 1OE enables or prevent bidirectional flow of signals, currents, voltages, or power in a densely packed array of NV NT block nonvolatile in-circuit programmable switch matrix. It may be desirable for some dense switch matrices to enable or prevent unidirectional flow of signals, currents, voltages, or power in dense arrays nonvolatile switches. Figure 1OF illustrates a nonvolatile nanotube (NV NT) diode 1035 that includes a NV NT block 1038 corresponding to NV NT block 1020 in series with a diode and is described in further detail in US Patent Application Nos. 11/835651, 11/835759, 11/835845, 11/835852, 11/835856, 11/835865, each filed on Aug. 8, 2007, entitled "Nonvolatile Nanotube Diodes and Nonvolatile Nanotube Blocks and Systems Using Same and Methods of Making Same." NV NT block 1038 conducts current in any direction and is not sensitive to voltage polarity. NV NT block 1038 includes NV NT block 1040, bottom contact 1042, and top contact 1044. Diode 1046 illustrated schematically is oriented such that the cathode is connected to bottom contact 1042 and the anode is connected to contact 1050 enabling vertical current flow in the upward direction. Alternatively, diode 1048 illustrated schematically is oriented such that the anode is connected to bottom contact 1042 and the cathode is connected to contact 1050 enabling vertical current flow in the downward direction. Diodes 1046 and 1048 may be may be Schottky diodes, PN diodes, or diodes formed with nanotube fabric anodes as described in US Patent Application Nos. 11/835651, 11/835759, 11/835845, 11/835852, 11/835856, 11/835865, each filed on Aug. 8, 2007, entitled "Nonvolatile Nanotube Diodes and Nonvolatile Nanotube Blocks and Systems Using Same and Methods of Making Same." [0125] Unidirectional cross point switch array 1060 illustrated in perspective view in Figure 1OG enables or prevent unidirectional flow of signals, currents, voltages, or power in a densely packed array of NV NT block nonvolatile in-circuit programmable switch matrix. Unidirectional cross point switch array 1060 formed using four nonvolatile nanotube (NV NT) diodes 1065-1, 1065-2, 1065-3, and 1065-4 that correspond to NV NT diode 1035 illustrated in Figure 1OF and illustrates a Schottky diode version of diode 1046 as the current steering device. The NV NT diode 1065-1 structure is representative of NV NT diodes 1065-2, 1065-3, and 1065-4. NV NT diode 1065-1 is formed by NV NT block 1075-1 and Schottky diode 1070-1. Note that PN diode and diodes with nanotube anodes may also be used as described in US Patent Application Nos. 11/835651, 11/835759, 11/835845, 11/835852, 11/835856, 11/835865, each filed on Aug. 8, 2007, entitled "Nonvolatile Nanotube Diodes and Nonvolatile Nanotube Blocks and Systems Using Same and Methods of Making Same." Schottky diode 1070-1 is formed by anode 1071-1 in contact with N- poly 1072-1, in contact with N+ poly 1073-1; NV NT block 1075-1 is formed by NT cube 1077-1 in contact with bottom contact 1076-1 and top contact 1078-1. Traces 1080-1 and 1080-2 correspond to vertical wires 920 and traces 1082-1 and 1082-2 correspond to horizontal wires 930 in FPGA 900 schematic drawing illustrated in Figure 9. These orthogonal pairs of traces in contact with diode 1070-1 anode 1071-1 for example and top contact 1078-1 for example of NV NT diode 1065-1 and corresponding diode anodes and top contacts of NV NT diodes 1065-2, 1065-3, and 1065-4 simultaneously provide unidirectional FPGA wiring and four NV NT diodes thereby form unidirectional cross point switch array 1060 that supports in-circuit programming. Trace 1080-1 forms array wiring and the contact to anodes of corresponding NV NT diodes 1065-1 and 1065-3 and trace 1080-2 forms array wiring and the top contact of NV NT diodes 1065-2 and 1065-4. Trace 1082-1 forms array wiring and the top contact of NV NT diodes 1065-1 and 1065-2 and trace 1082-2 forms array wiring and the top contact of NV NT diodes 1065-3 and 1034-4. High Application Function Security using Programmable Wiring with Nonvolatile Nanotube Cross Point Switches and Programmable Logic Blocks
[0126] There are certain sensitive applications in which it is desirable that a logic application function remain proprietary. Such applications include intelligence functions, military applications, industrial secrets, and others. However, there are situations in which a logic application function can be determined based on hardware implementations such as in integrated circuit chips for example in which such chips may be stolen or fall into unfriendly territory. In cases where hard wire connections are permanent, hard wires can be traced and the logic function can be identified. Alternatively, in some cases, chips may be interrogated electronically by a security breach in an internet connection. What is needed are effective countermeasures that provide high application function security. [0127] A logic application function can be identified based on the logic blocks used and wiring interconnections between the logic blocks. A logic application function may be secured by reprogramming one or more programmable wiring interconnections between individual wires and logic (or logic and memory) blocks if a security event (breach) is detected. In another approach, a logic application function may be secured by reprogramming one or more programmable logic blocks if a security event is detected. In still another approach, a logic application function may be secured by both reprogramming one or more programmable wiring interconnections and further reprogramming one or more programmable logic blocks if a security event is detected. These and other similar electronic countermeasure approaches to logic application function security require dense nonvolatile switches that can be programmed multiple times and changed in case of a security event.
[0128] In certain situations, a security event may be detected and sufficient time exists to deploy electronic countermeasures such as the reprogramming of integrated circuit chips. If a security event is detected, the logic application functions may be changed by altering programmable wiring connections, altering programmable logic blocks, or altering both wiring connections and logic blocks as described further above. However, this requires dense nonvolatile switches such as nonvolatile nanotube cross point switches (Figures 1OD, 1OE, 1OF, and 10G) and other nonvolatile nanotube switch types such as the NV NT switch illustrated in Figures 10A- 1OC that can be programmed multiple times. One-time -programmable (OTP) antifuses, or any kind of OTP switch, cannot be used because OTP switches cannot be reprogrammed. Additional examples of nonvolatile nanotube-based switches are illustrated further below with respect to Figures 11 and 12 for example, and various FPGA logic examples also illustrated further below. In case of a security event, logic application functions may be altered in many ways, such as, but not limited to, reprogramming said logic application functions in a random manner or setting a plurality of programmable interconnections to an open state. Alternatively, the logic application function may be altered such that a different logic application function is formed to deliberately mislead.
[0129] In other situations, a security event may take place which is undetected or in which there is insufficient time to deploy electronic countermeasures. For example, an integrated circuit chip that includes high application function security logic may be stolen. In this situation, chip designs that make the application of advanced reverse engineering (failure analysis) techniques difficult may be used to protect high application function security chips. For example, a high application security function may include extra circuits, devices, and interconnections for the purpose of complicating reverse engineering of integrated circuit chips. For hard- wired logic functions, interconnections and contact regions may be traced (that is, interconnections between circuit elements detected using electronic equipment such as scanning electron microscopes) at high magnification to identify logic functions. If antifuses are used instead of contacts, it is difficult to tell whether an antifuse has been activated (is conductive) and forms a contact or if the antifuse is nonactivated (nonconducting) by examining the chip regions under high magnification. However, since cross sectional techniques to determine oxide integrity are well known in the industry, the logic function may be reverse engineered using known failure analysis techniques to see if an antifuse oxide has been ruptured and is in a conductive state or if the oxide is intact and the antifuse is in a noncontactive state. [0130] Cross point switches using patterned nanofabric, as described in US Patent Application No. 11/280786, filed on Nov. 15, 2005, entitled "Two-Terminal Nanotube Devices and Systems and Methods of Making," to form nonvolatile nanotube switches such as illustrated in Figures 1OD and 1OE are nearly impossible to reverse engineer. Typically, the patterned nanofabric cross point switch area (or volume) is about 90% void or filled with non-carbon nanotube material. Cross sectioning such a cross point switch tends to destroy it completely. Even if the switch is not destroyed completely, it is likely to be damaged so that the state of the switch (low or high resistance) cannot be reliably determined.
[0131] Another approach to nanotube-based security is to leverage the difficulty of reverse engineering cross point switches using patterned nanofabric (as described further above) and to include patterned nanofabric contacts in logic as part of logic wiring (interconnects) that are very difficult to reverse engineer. This is possible because such patterned nanofabric contacts are (or can be made) normally as conducting nonvolatile nanotube crosspoint switches as-fabricated. Keeping the logic operating voltages below the switching voltage of the nonvolatile nanotube cross point switches enables the patterned nanofabric to act as a patterned nonfabric contact. The switching voltage of nonvolatile nanotube cross point switches may be increased to well above that the logic voltage swings as needed as to ensure that patterned nanofabric contacts remain conductive, as described in US Patent Nos. 6,706,402, 6,942,921 and US Patent Application Nos. 10/774682, filed on Feb. 9, 2004, 11/111582, filed on Apr. 21, 2005, each entitled "Nanotube Films and Articles."
NRAM cells and NanoLogic Analog and Digital Circuits
[0132] Figure 1 IA illustrates NRAM™ cell 1100 comprising NV NT switch 1110 and FET 1120 in series. Nonvolatile NRAM™ memories are formed with multiple NRAM cells similar to NRAM cell 1100 as described in US Patent Application No. 11/280786, filed on Nov. 15, 2005, entitled "Two-Terminal Nanotube Devices and Systems and Methods of Making," and US Patent Application No. 11/274967, filed on Nov. 15, 2005, entitled "Memory Arrays Using Nanotube Articles with Reprogrammable Resistance," and with respect to Figure 19 further below, with nonvolatile cells smaller in area than volatile 6 FET SRAM cells. Multiple word lines (not shown) are arranged horizontally and in contact with gates of FETs corresponding to gate G of FET 1120; bit lines (not shown) essentially orthogonal to word lines are arranged vertically and contact a diffusion terminal corresponding to terminal Tl in Figure 1 IA. One side of the NV NT switches corresponding to NV NT switch 1110 is in contact with a terminal of FETs such as FET 1120 and the other side is in contact with common reference lines (not shown) in contact with a terminal of NV NT switches such as NV NT switch 1110 . Such contacts may be formed with reference lines that may be parallel to word or bit lines, or may be formed by a reference plane (not shown), with reference voltage held at a reference voltage such as ground.
[0133] In operation, NRAM™ cell 1100 may be programmed to a high resistance state such as IG Ohm or higher for example, and a low resistance state in the 100k Ohm to IM Ohm range for example. Voltages in the range of 3-7 volts are applied for write 1 (program) and write 0 (erase) operations with readout voltages in the 1.5 to 2.5 volt range. Operating conditions are a function of the NV NT switch material and geometrical characteristics such as distance between terminal contacts to the patterned nanofabric material. Waveform examples are illustrated in US Patent Application No. 11/280786, filed on Nov. 15, 2005, entitled "Two-Terminal Nanotube Devices and Systems and Methods of Making," US Patent Application No. 11/274967, filed on Nov. 15, 2005, entitled "Memory Arrays Using Nanotube Articles with Reprogrammable Resistance," US Patent Application No. 11/835583, filed on Aug. 8, 2007, entitled "Latch Circuits and Operation Circuits Having Scalable Nonvolatile Nanotube Switches as Electronic Fuse Replacement Elements," US Patent Application No. 11/835612, filed on Aug. 8, 2007, entitled "Nonvolatile Resistive Memories Having Scalable Two-Terminal Nanotube Switches."
[0134] In order to further facilitate in-circuit configurable (programmable) logic blocks (cells, functions) and in-circuit programmable switch matrices for routing signals, currents, voltages, and/or power for example, NanoLogic™ circuits with various combinations of nonvolatile switches and FETs may be formed. NanoLogic™ circuits may be used in FPGAs, SPLDs, CPLDs, and in other digital circuit applications as well as analog applications. FPGA, SPLD, and CPLD programmable logic functions configured using nanotube-based NanoLogic and memory functions such as NRAM may be referred to as NFPGA, NSPLD, and NCPLD logic functions, respectively. [0135] Figure 1 IB illustrates an embodiment of NanoLogic™ configurable (programmable) NV NT select circuit 1150 formed using NV NT switch 1155 (SWl) and NV NT switch 1160 (SW2) sharing a common node referred to as select node 1170. Terminals Tl and T2 are connected to a second terminal of NV NT switches 1155 and 1160, respectively. FET 1165 has a diffusion connected to common select node 1170 and the other diffusion connected to a reference such as ground as described in US Patent Application No. 61/039204, filed on Mar. 25, 2008, entitled "Carbon Nanotube-Based Neural Networks and Methods of Making and Using Same."
[0136] In operation, when a logic function is programmed, FET 1165 is activated (ON) during program (write 1) or erase (write 0) operations by applying a high voltage to gate G of FET 1165 which connects select node 1170 to ground and provides a current path between terminal Tl and ground and terminal T2 and ground through NV NT switches 1155 and 1160, respectively. Combinations of erase and program operations are used to set resistance states (values) of NV NT switches 1155 and 1160. Erase and program operations are described further above with respect to Figure 1 IA and in more detail in US Patent Application No. 11/280786, filed on Nov. 15, 2005, entitled "Two- Terminal Nanotube Devices and Systems and Methods of Making," US Patent Application No. 11/274967, filed on Nov. 15, 2005, entitled "Memory Arrays Using Nanotube Articles with Reprogrammable Resistance," US Patent Application No. 11/835583, filed on Aug. 8, 2007, entitled "Latch Circuits and Operation Circuits Having Scalable Nonvolatile Nanotube Switches as Electronic Fuse Replacement Elements," US Patent Application No. 11/835612, filed on Aug. 8, 2007, entitled "Nonvolatile Resistive Memories Having Scalable Two-Terminal Nanotube Switches." NV NT switches 1155 and 1160 may be viewed as NANRISTORS. These resistance states (values) remain nonvolatile even after power is removed or lost. After program or erase operations, FET 1165 is in an (OFF) state by applying a low voltage such as ground to gate G of FET 1165 and select node 1170 is disconnected from ground. NV NT select circuit 1150 is now ready to provide a configured (programmed) logic block function operating at 2.5 volts for example. Note that while NanoLogic™ circuits are designed to be in-circuit programmed, this does not preclude programming in sockets for example as is done in some older technologies. In fact, NanoLogic™ circuits may be embedded and operate in chips with older programmable technologies and with CMOS digital and analog circuits. Note that the terms configurable and programmable are used interchangeably. [0137] During logic operation, after the configurable logic function has been programmed and is stored in a nonvolatile state by NV NT switches 1155 and 1160 operating voltages are kept sufficiently low, less than 3 volts for example, so that the resistance states (values) of NV NT switches 1155 and 1160 are not changed (disturbed) under NFPGA, NCPLD, NSPLD, and other programmable logic function operation. If NV NT switch 1155 is in high resistance state, IG Ohm for example, and NV NT switch 1160 is in low resistance state, 100k Ohms for example, and if Tl is at an on-chip voltage of VDD = 2.5 volts and T2 is at a reference voltage such as ground (zero volts), then select node 1170 voltage will be at approximately 0 volts. However, if switch NV NT switch 1155 is in a low resistance state, 100k Ohm for example, and NV NT switch 1160 is in a high resistance state, IG Ohm for example, then select node 1170 voltage will be at 2.5 volts. FET 1165 is OFF during logic operations.
[0138] Logic operation has been described in terms of applying inputs to terminals Tl and T2 to generate a select node 1170 output voltage. However, an input voltage may be applied to select node 1170 and output voltages may be generated at terminals Tl and T2 based on the resistance states (values) of NV NT switches 1155 and 1160 and circuits (not shown) connected to terminals Tl and T2.
[0139] Figures 12A-12D illustrate various embodiments of NanoLogic™ nonvolatile nanotube configurable logic blocks (circuits, functions, cells) with inputs Xl and X2 to NV NT switches and a mode control input Y connected to the gate of a mode control (program/erase or operate) FET. Inputs Xl and X2 and mode control input Y may be shared by multiple NanoLogic™ circuits as illustrated further below in Figure 13. The NanoLogic™ circuits illustrated in Figure 12 correspond to combinatorial logic function 710 illustrated in Figure 7. Flip flops and multiplexers often included as part of configurable logic blocks, as illustrated in Figure 7, are not shown in Figure 12 but may be included as needed.
[0140] NanoLogic™ programmable NV NT select circuit 1200 illustrated in Figure 12A corresponds to programmable NV NT select circuit 1150 illustrated in Figure 1 IB. Inputs Xl and X2 correspond to inputs Tl and T2, respectively; switches 1205 and 1210 correspond to switches 1155 and 1160, respectively; FET 1215 corresponds to FET 1165. Mode control input Y is connected to the gate of FET 1215 which corresponds to gate G of FET 1165. Select node 1220 corresponds to select node 1170. Programmable NV NT select circuit 1200 operation corresponds to the operation of programmable NV NT select circuit 1150 described further above with respect to Figure 1 IB. [0141] Figure 12B illustrates programmable NanoLogic™ circuit 1240 in which programmable NV NT select circuit 1200-1 with select node 1225-1 corresponds to programmable NV NT select circuit 1200, and controls the gate voltage of FET 1230 transfer device. The logic function of programmable NV NT select circuit 1200-1 is determined as described further above with respect to programmable NV NT select circuits 1200 and 1150 and retains the programmed logic function even if power is removed or is lost.
[0142] In operation, select node 1225-1 turns FET 1230 ON if it is at a high voltage such as 2.5 volts and turns FET 1230 OFF if is at a low voltage such as ground. When FET 1230 is ON, signal flow, voltage distribution, current distribution, and power distribution are enabled; and when FET 1230 is in an OFF state, then transmission of these functions is disabled. NanoLogic™ circuit 1240 may be used to control switches that route signals as illustrated further below with respect to Figures 13, 16, 17A, and 17B. Also, multiple NanoLogic™ circuits 1240 may be combined to generate larger nonvolatile nanotube logic blocks (circuits, functions, cells) as illustrated in Figure 14, and corresponding Figure 15 and Figure 14B.
[0143] Figure 12C illustrates programmable NanoLogic™ circuit 1250 in which programmable NV NT select circuit 1200-2 with select node 1225-2 corresponds to programmable NV NT select circuit 1200, and controls an input voltage of NAND gate 1260. The logic function of programmable NV NT select circuit 1200-2 is determined as described further above with respect to programmable NV NT select circuits 1200 and 1150 and retains the programmed logic function even if power is removed or is lost. [0144] In operation, if select node 1225-2 is at a high voltage state H such as 2.5 volts then NAND gate 1260 operates as a two input NAND gate with inputs A and B and the complement of A B (A-B)c as the output as illustrated in table 1265. However, when select node 1225-2 is at a low voltage state L such as zero volts, NAND gate 1260 output remains at a high voltage state H such as 2.5 volts independent of inputs A and B as illustrated in table 1265. Programmable NanoLogic™ circuit 1250 may be used as a NV NT configurable (programmable) logic block as illustrated in Figure 13. [0145] Figure 12D illustrates programmable NanoLogic™ circuit 1270 in which programmable NV NT select circuit 1200-3 with select node 1225-3 corresponds to programmable NV NT select circuit 1200, and controls an input voltage of NOR gate 1280. The logic function of programmable NV NT select circuit 1200-3 is determined as described further above with respect to programmable NV NT select circuits 1200 and 1150 and retains the programmed logic function even if power is removed or is lost. [0146] In operation, if select node 1225-3 is at a low voltage state L such as zero volts then NOR gate 1280 operates as a two input NOR gate with inputs A and B and the complement of the sum of A plus B (A+B)c as the output as illustrated in table 1275. However, when select node 1225-3 is at a high voltage such as 2.5 volts, NOR gate 1280 output remains at a low voltage state L such as zero volts independent of inputs A and B as illustrated in table 1275. Programmable NanoLogic™ circuit 1270 may be used in a NV NT configurable (programmable) logic block as illustrated in Figure 13.
Nanotube Nonvolatile NFPGA Logic
[0147] FPGA architectures are dominated by interconnects. FPGAs are therefore much more flexible in terms of the range of designs that can be implemented and logic functions in the hundreds of thousands to millions and tens-of-millions of equivalent logic gates may be realized. In addition, the added flexibility enables inclusion of higher-level embedded function such adders, multipliers, CPUs, and memory. The added interconnect (routing) flexibility of FPGAs also enables partial reconfiguration such that one portion of an FPGA chip may be reprogrammed while other portions are running. FPGAs that can be reprogrammed while running may enable reconfigurable computing (reconfigurable systems) that reconfigure chip architecture to better implement logic tasks. [0148] Nonvolatile nanotube switches combined with basic building blocks of FPGAs such as configurable logic blocks (CLBs), programmable switch matrices (PSMs), bidirectional buffers (BiDi buffers) result denser, low power, and high performance nonvolatile nanotube building blocks such as NCLBs, NPSMs, N-BiDi buffers, and other functions that enable nonvolatile nanotube FPGA (NFPGA) logic operation. [0149] Nonvolatile nanotube select circuits (NV NT select circuits) described in US Patent Application No. 61/039204, filed on Mar. 25, 2008, entitled "Carbon Nanotube- Based Neural Networks and Methods of Making and Using Same," are combined with CLB and PSM functions to form NCLB and NPSM building blocks that may be integrated to form NFPGA logic as described with respect to Figures 13-18 illustrated further below. [0150] NFPGAs which include NCLB, NPSM, N-BiDi, nonvolatile nanotube programmable voltage generators and other logic and memory functions may reprogrammed in case of a security event to protect high application function security integrity as described further above. Thus, for example, configuration control bits supplied by NV NT select circuits or other NanoLogicTM circuits, NRAMTM memory arrays, or nonvolatile nanotube-based shift registers can be dynamically reprogrammed thereby altering the NFPGA logic function in response to a security event (threat).
Nanotube Configurable Logic Blocks (NCLB) & Nanotube Programmable Switch Matrix (NPSM) using Nonvolatile Nanotube Select Circuits
NFPGA Function and Operation using Nonvolatile Nanotube Select Circuits
[0151] Figure 13 illustrates a block diagram of an embodiment of NFPGA 1300 formed using NanoLogic™ circuits that include nonvolatile nanotube (NV NT) select circuits (labeled NT SEL 1-5) as part of nanotube configurable logic blocks (NCLBs) such as NCLB 1320 for example and nanotube programmable switch matrices (NPSMs) such as NPSM 1340-1. NFPGA 1300 also includes nanotube bidirectional buffers such as NT BiDi buffer 1375 that controls the direction of signal flow in global wire 1380. Flip flops and multiplexers (shown in Figure 7) may be included as well. Multiple NV NT select circuits share select/program/operate row lines Xl ...X8 and mode control lines Yl ... Y3. [0152] The NFPGA 1300 logic function is configured by performing program or erase operations on NV NT select circuits, labeled NT SEL 1-5 in Figure 13, using rows of select/program/operate lines Xl ...X8 and columns of mode control lines Yl ... Y3 as described further above with respect to NV NT select circuits 1150 and 1200. Multiple nanotube configurable logic blocks (NCLBs), nanotube programmable switch matrices (NPSMs), and NT BiDi Buffers such as NT BiDi Buffer 1375 are configured (programmed) and define the NFPGA 1300 logic function. Then, Xl ...X8 voltages are set to a combination of high and low voltage values and Yl ... Y3 are set to a low voltage such as ground to enable NFPGA 1300 logic operation as described further above with respect to NV NT select circuit 1150 in Figure 1 IB.
[0153] NCLB 1320 corresponds to NanoLogic™ circuit 1250 illustrated in Figure 12C and flip flops and multiplexers (not shown) as needed such as illustrated in Figure 7; NPSM 1340-1 corresponds to NanoLogic™ circuit 1240 illustrated in Figure 12B; NPSM 1340-2 also corresponds to NanoLogic™ circuit 1240; NCLB 1360 corresponds to NanoLogic™ circuit 1270 illustrated in Figure 12D and flip flop and multiplexers (not shown) as needed such as illustrated in Figure 7; NCLB 1350 includes NT SEL4 also referred to as NV NT select circuit 1357 that corresponds to NV NT select circuit 1200 which controls the operation of multiplexer 1355 and may include flip flops and other multiplexers (not shown) as illustrated in Figure 7. CLB functions such as 1305 and 1370 may include configurable logic functions such as illustrated in Figures 6 and 7 and may or may not include NanoLogic™ functions. Wiring region 1310 shows horizontal wires that may be used for various interconnections (not shown). NT BiDi Buffer 1375 controls the direction of signal flow on wire 1380 as explained further below with respect to Figure 17. [0154] In logic configuration operations, NV SELL ..NV SEL5 share control and mode select lines Xl ...X8 and Yl ... Y3, respectively. In performing erase and programming operations, it is important not to disturb other NV NT select circuits sharing the same control and mode select lines. One method of implementing a configuration- setting operation is to first erase all pairs of NV NT switches to a high resistance (OFF) state such illustrated by NV NT switch 1010' in Figure 1OC which corresponds to NV NT switches 1155 and 1160 illustrated in Figure 1 IB and NV NT switches corresponding to NV NT switches 1205 and 1210 illustrated in Figure 12 A, along pairs of row lines such as Xl and X2. Then, program selected individual NV NT switches to a low resistance (programmed) state, leaving unselected NV NT switches in a high resistance (erased) state as described further below. Another method of implementing a configuration-setting operation is to first program all pairs of NV NT switches to a low resistance (ON) state such as illustrated by NV NT switch 1010 in Figure 1OB which corresponds to NV NT switches 1155 and 1160 illustrated in Figure 1 IB and NV NT switches corresponding to NV NT switches 1205 and 1210 illustrated in Figure 12 A, along pairs of row lines such as Xl and X2. Then, program selected individual NV NT switches to a high resistance (erased) state, leaving unselected NV NT switches in a low resistance (erased) state as described further below. Still another method of implementing a configuration-setting operation is to selectively modify those NV NT switches that need to be changed from a low resistance (ON) state to a high resistance (OFF) state or from a high resistance (OFF) state to a low resistance (ON) state. In the operation descriptions that follow, the configuration-setting operation examples are based on erasing all NV NT switches to a high resistance (OFF) state and then selectively programming selected NV NT switches to a low resistance (ON) state.
[0155] In this configurable logic configuration-setting operation example, NCLB 1320 NT SELl circuit is programmed such that select node 1321 is at a high voltage enabling logic function (A-B)0 output to wire 1323 and NPSM 1340-1 NT SEL2 circuit is programmed such that select node 1341 is at a high voltage which turns FET 1342 to an ON state so that the wire 1323 signal is transmitted to wire 1345.
[0156] In an erase operation (low-to-high resistance state transition), Xl, X2, X3-X8 control lines are set to zero volts and mode select lines Yl, Y2, and Y3 are set to a high voltage such as 2.5 volts to connect all select nodes, including select nodes 1321 and 1341 to ground. Next, control lines Xl and X2 may be activated using one or more erase pulses VE such that corresponding NV NT switches in NT SELl and NT SEL2 are in a high resistance state. Note that it is also possible to do a block erase of all NT SEL circuits. Erase pulses VE are typically in the 5 to 7 volt range with relatively fast rise time in nanosecond range.
[0157] Next, a programming operation (high-to-low resistance state transition) is performed on NT SELl circuit at the intersection of Xl, X2, and Yl. In this example, NT SEL 1 select node 1321 is to be in a high voltage state during NFPGA 1300 logic operation. NT SELl operation corresponds to NV NT select circuit 1200 operation and corresponding NV NT switch 1205 and 1210 states are set based on NFPGA 1300 operational requirements. Therefore, NV NT switch 1205 (figure 12A) is programmed to a low resistance state and NV NT switch 1210 is left in a high resistance state. However, if select node 1321 were to have a low voltage state during logic operation then NV NT switch 1205 would be left in a high resistance state and NV NT switch 1210 would be programmed to a low resistance state.
[0158] In a programming operation for NT SELl, mode line Yl is set at a high voltage such as 2.5 volts such that select node 1321 is grounded by an FET corresponding to FET 1215 (figure 12A) prior to applying programming pulses Vp. Mode lines Y2 and Y3 are set at ground so that select nodes such as select node 1341 are not held at ground by mode control FETs such as FET 1215. Next, control line X2 is held at Vp/2 and control line Xl is pulsed with programming pulses Vp which are typically in the 3 to 5 volts range with rise times in microsecond range and the NV NT switch corresponding to NV NT switch 1205 is programmed from a high to low resistance state (from IG Ohm to 100k Ohm for example). Control line X2 is held at VP/2 so that the NV NT switch in NT SELl corresponding to NV NT switch 1210 is not disturbed since only Vp/2 appears across it and remains in a high resistance state such as IG Ohm for example, and also so that NV NT switches in adjacent NT SEL2 circuit corresponding to NV NT switches NV NT 1205 and 1210 are not disturbed and remain in a high resistance state. NT SEL2 NV NT switches corresponding to NV NT switches 1205 and 1210 are not disturbed because the difference between voltages on control lines Xl and X2 is applied to the series combination of two NV NT switches; that is Vp - Vp/2 = Vp/2 appears across two NV NT switches in series corresponding to NV NT switches 1205 and 1210 because the mode control FET corresponding to FET 1215 is OFF. Actually, a voltage of Vp/2 applied to a single NV NT switch is also insufficient to cause switching. Unselected control line pairs, such as X3-X4; X5-X6, and X7-X8, are held at ground.
[0159] Next, in a programming operation for NT SEL2, mode line Y2 is set at a high voltage such as 2.5 volts such that select node 1341 is grounded by an FET corresponding to FET 1215 (figure 12A) prior to applying programming pulses Vp. Mode lines Yl and Y3 are set at ground so that select nodes such as select node 1321 are not held at ground by mode control FETs such as FET 1215. Next, control line X2 is held at Vp/2 and control line Xl is pulsed with programming pulses Vp which are typically in the 3 to 5 volts range with rise times in microsecond range and the NV NT switch corresponding to NV NT switch 1205 is programmed from a high to low resistance state (from IG Ohm to 100k Ohm for example). Control line X2 is held at VP/2 so that the NV NT switch in NT SEL2 corresponding to NV NT switch 1210 is not disturbed since only Vp/2 appears across it and remains in a high resistance state such as IG Ohm for example, and also so that NV NT switches in adjacent NT SELl circuit corresponding to NV NT switches NV NT 1205 and 1210 are not disturbed and remain in a high resistance state. NT SELl NV NT switches corresponding to NV NT switches 1205 and 1210 are not disturbed because the difference between voltages on control lines Xl and X2 is applied to the series combination of two NV NT switches; that is Vp - Vp/2 = Vp/2 appears across two NV NT switches in series corresponding to NV NT switches 1205 and 1210 because the mode control FET corresponding to FET 1215 is OFF. Actually, a voltage of Vp/2 applied to a single NV NT switch is also insufficient to cause switching. Unselected control line pairs are held at ground.
[0160] Erase and program operations for NT SEL3 and NT SEL4 circuits correspond to those described with respect to NT SEL 1 and SEL2 circuits except that X3 and X4 control lines are used instead of Xl and X2 control lines. Unselected control line pairs are held at ground.
[0161] NCLB 1360 corresponds to NanoLogic™ circuit 1250 illustrated in Figure 12D and flip flops and multiplexers (not shown) such as illustrated in Figure 7. An erase operation for NanoLogic™ circuit 1360 NT SEL5 circuit is similar to the erase operations described above with respect to NT SELl and NT SEL2. However, in this example, select node 1367 output voltage is selected to be zero so that NOR gate 1365 transmits (C+I)c to output OUT of NCLB 1360 so the programming operation is different. [0162] In a programming operation for NT SEL5, mode line Y3 is set at a high voltage such as 2.5 volts such that select node 1367 is grounded by an FET corresponding to FET 1215 (Figure 12A) prior to applying programming pulses Vp. Mode lines Yl and Y2 are set at ground so that other select nodes (not shown) are not held at ground by mode control FETs such as FET 1215. Next, control line X7 is held at Vp/2 and control line X8 is pulsed with programming pulses Vp which are typically in the 3 to 5 volts range with rise times in microsecond range and the NV NT switch corresponding to NV NT switch 1205 is programmed from a high to low resistance state (from IG Ohm to 100k Ohm for example). Control line X7 is held at VP/2 so that the NV NT switch in NT SELl corresponding to NV NT switch 1210 is not disturbed since only Vp/2 appears across it and remains in a high resistance state such as IG Ohm for example, and also so that NV NT switches in adjacent NT SEL circuit (not shown) are not disturbed and remain in a high resistance state. NV NT switches (not shown) are not disturbed because the difference between voltages on control lines X7 and X8 is applied to the series combination of two NV NT switches of any NV SEL circuits that share control lines X7 and X8; that is Vp - Vp/2 = Vp/2 appears across two NV NT switches in series because the mode control FET corresponding to FET 1215 is OFF. Actually, a voltage of Vp/2 applied to a single NV NT switch is also insufficient to cause switching. Unselected control line pairs are held at ground.
[0163] The programmed NFPGA 1300 logic function is stored in a nonvolatile state even with no voltage applied to the chip. Voltage may be applied to the entire chip or routed only to portions of the chip required for logic operation in order to reduce overall chip power dissipation. In the NFPGA 1300 logic operating mode, a low voltage such as ground is applied to control lines Yl, Y2, and Y3 and a high voltage such as an on-chip voltage of 2.5 volts is applied to control lines Xl, X3, X5, and X7 and ground to control lines X2, X4, X6, and X8 (corresponding controller logic is not shown). Referring to NV NT select circuit 1200 in Figure 12A, FET 1215 is OFF. IfNV NT switch 1205 is programmed to a low resistance state and NV NT switch 1210 is programmed to a high resistance state, then select node 1220 will be at a high voltage state of 2.5 volts when 2.5 volts is applied to Xl and ground is applied to X2. However, if NV NT switch 1205 is in a high resistance state and NV NT switch 1210 is in a low resistance state, then when 2.5 volts is applied to Xl and ground is applied to X2, select node 1220 will be at ground. [0164] NV SEL 1 -5 circuits shown in NFPGA 1300 correspond to NV NT select circuit 1200 illustrated in Figure 12 A. Programming these switches as described further above with respect to NV NT select circuit 1200 results in select nodes 1321, 1341, 1343, and 1358 at high voltage such as 2.5 volts and select node 1367 at ground. In a logic operation, if A and B inputs are applied to inputs of NCLB 1320, (A-B)c appears on wire 1323 and since both FET 1342 and 1344 are in an ON state, then (A-B)c is propagated to wire 1345 and then wire 1349; wire 1349 is connected to the input to multiplexer 1355 which is activated because NT SEL4 NV NT select circuit 1357 has output 1358 at a high voltage of 2.5 volts. Therefore, (A-B)c propagates along wire 1362 to NOR gate 1365 input I. Because select node 1367 voltage is ground, NOR gate 1365 propagates ((A-B)c + C)c to NCLB 1360 output node OUT. The subscript c is used to indicate the complement of a logic term or function.
NCLB Function and Operation using Nonvolatile Nanotube Select Circuits
[0165] NFPGA 1300 illustrated in Figure 13 illustrates nonvolatile configurable logic blocks and nonvolatile programmable switch matrices using relatively simple examples based on NV NT select circuits and NanoLogic™ functions illustrated in Figure 12. Nonvolatile configurable logic block (NCLB) 1400 illustrates an embodiment of a larger nanotube-based logic function corresponding to combinatorial logic function 710 illustrated in Figure 7 except that flip flop function and multiplexer are not shown in this example. NCLB 1400 is a configurable (programmable) two input (inputs A and B) one output (output F) logic function formed using a cascade of FET transfer devices and other logic functions such as NAND, NOR, and a tristate output. The ON or OFF state of each transfer gate and logic operation of some NAND and NOR circuits and the state (tristate or nontristate) of the tristate output driver is controlled by a configuration control logic state (or may be referred to as a configuration control bit) supplied by NV NT select circuit nodes. NV NT select circuits 1410-1, 1410-2, ..., 1410-7 corresponding to NV NT select circuit 1150 shown in Figure 1 IB and NV NT select circuit 1200 shown in Figure 12A are used to provide nonvolatile configuration control logic states. The select node logic state of each NV NT select circuit is programmed using Xl and X2 control lines and YO to Y6 mode lines as described with respect to Figure 13. Each select node also includes an inverter where needed so that both true and complement configuration control values are provided for select node outputs. Select node logic states are nonvolatile and remain unchanged even if power is lost or removed from the circuit.
[0166] NCLB 1400 includes input A to one terminal of FET 1430-1 and input Ac formed by inverter 1430-2 and applied to one terminal of FET 1430-3, with the second terminal of each of FETs 1430-1 and 1430-3 dotted and connected to wire 1430-4 which drives one input of two input NOR gate 1440. Inputs A and Ac are also connected to one terminal of FET 1430-5 and one terminal of FET 1430-6, respectively, with the second terminal of each of FETs 1430-5 and 1430-6 dotted and connected to wire 1430-7 which is connected to one input of three input NAND gate 1450. NV NT select circuit 1410-1 provides configuration control logic state CO on select node 1415-1 output to the gate of FET 1430-1 and C0c formed by inverter 1420-1 to the gate of FET 1430-3. NV NT select circuit 1410-2 provides configuration control logic state Cl on select node 1415-2 output to the gate of FET 1430-5 and Clc formed by inverter 1420-2 to the gate of FET 1430-6. [0167] NCLB 1400 also includes input B to one terminal of FET 1430-8 and input Bc formed by inverter 1430-9 and applied to one terminal of FET 1430-10, with the second terminal of each of FETs 1430-8 and 1430-10 dotted and connected to wire 1430-11 which drives the second input of two input NOR gate 1440. Inputs B and Bc are also connected to one terminal of FET 1430-12 and one terminal of FET 1430-13, respectively, with the second terminal of each of FETs 1430-12 and 1430-13 dotted and connected to wire 1430-14 which is connected to a second input of three input NAND gate 1450. NV NT select circuit 1410-3 provides configuration control logic state C2 on select node 1415-3 output to the gate of FET 1430-8 and C2C formed by inverter 1420-3 to the gate of FET 1430-10. NV NT select circuit 1410-4 provides configuration control logic state C3 on select node 1415-4 output to the gate of FET 1430-12 and C3c formed by inverter 1420-4 to the gate of FET 1430-13.
[0168] NCLB 1400 also includes NV NT select circuit 1410-5 with select node 1415-5 providing output C4 to one input of two input NAND gate 1445. The second input to NAND gate 1445 is supplied by the output of NOR gate 1440. NV NT select circuit 1410-6 with select node 1415-6 provides output C5 to the third input of three input NAND gate 1450. The outputs of two input NAND 1445 and three input NAND 1450 drive the two inputs of NOR gate 1455. The output of two input NOR gate 1455 drives the input of tristate inverter 1460. The state of tristate inverter F is controlled by C6 and C6' which are provided by NV NT select circuit 1410-7. Select node 1415-7 provides C6 and inverter 1420-7 provides C6c.
[0169] Figure 14B illustrates eight nonvolatile circuit configurations (CKT CONFIG. #s 1-8) and the corresponding values of CO, C0c, ... ,C5 used to generate CKT CONFIG. #s 1-8 for NCLB 1400. Figure 14B gives an output F function based on inputs A and B and the configuration control logic states. Output F outputs logic values if the C6 state is a logic 1 and C6c is a logic 0. However, if the C6 state is a logic 0 state and C6c is a logic 1, then output F remains tristate with no defined value. Figure 15 illustrates equivalent circuits 1500 corresponding to CKT CONFIG. 1-8.
NPSM Function and Operation using Nonvolatile Nanotube Select Circuits
[0170] NFPGA 1300 illustrated in Figure 13 illustrates configurable logic blocks and programmable switch matrices using relatively simple examples based on NV NT select circuits and NanoLogic™ functions illustrated in Figure 12. Nonvolatile programmable switch matrix (NPSM) 1600 illustrates an embodiment of a larger nanotube-based routing function corresponding to NPSM 1340-1 and NPSM 1340-2 in Figure 13. NPSM 1600 is a programmable switch matrix 1610 with FET transfer gates controlled by select node outputs from NV NT select circuits 1620-1, 1620-2, ..., 1620-6. PSM 1610 is formed by six FET devices to route signals, voltages, currents, or power between any combination of terminals A, B, C, and D. NV NT select circuits 1620-1, 1620-2,...„1620-6 with corresponding select nodes 1630-1, 1630-2, ..., 1630-6 provide corresponding configuration control logic states Cl, C2, ...,C6 to control the OFF or ON state of each FET in PSM 1610 by providing high voltages such as 2.5 volts for an ON state and a low voltage such as ground for an OFF state.
[0171] PSM 1610 includes FET TS 1 with terminals connected to terminals C and D and gate controlled by configuration control logic state Cl; FET TS2 with terminals connected to terminals A and D and gate controlled by configuration control logic state C2; FET TS3 with terminals connected to terminals A and C and gate controlled by configuration control logic state C3; FET TS4 with terminals connected to terminals B and D and gate controlled by configuration control logic state C4; FET TS5 with terminals connected to terminals A and B and gate controlled by configuration control logic state C5; and FET TS6 with terminals connected to terminals B and C and gate controlled by configuration control logic state C6.
[0172] In operation, the nonvolatile state of select nodes 1630-1 (Cl), 1620-2 (C2), ..., 1620-6 (C6) are programmed using control lines Xl and X2 and mode lines Y1-Y6 illustrated in Figure 16. Programming methods correspond to those described further above with respect to Figure 13. After programming, configuration control logic states C1-C6 at either high voltage such as 2.5 volts or low voltage such as ground are applied to the gates of the FETs in PSM 1610 and signal routing is established. [0173] Configuration control logic states programmed in NPSM 1600 may be used to form various routings between terminals A, B, C, and D. Exemplary interconnections achievable with NPSM 1600 are listed in Table 2 below.
Figure imgf000052_0001
Table 2
[0174] Nanotube programmable switch matrix NPSM 1600 may be used to route signals, voltages, currents, and power as described further above with respect to Figure 16. Individual FETs included in NPSM 1600 such transfer gate FETs TS1-TS6 enable or disable pathways between terminals such as terminals A, B, C, and D. However, transfer gates enable signal, voltage, current, and power flow in both directions, that is between source and drain or between drain and source of FET transfer devices. In some applications, it is desirable to control signal propagation direction, for example, and bidirectional buffers may be used. Bidirectional buffers may be used in conjunction with NPSMs. The direction of signal propagation may be controlled using a control circuit. If a nonvolatile control circuit is used, then a signal flow direction may be set for a particular direction which remains in effect even if power is removed. The signal flow direction remains the same when power is restored. Signal flow direction may be reversed by changing the state of the nonvolatile control circuit. NPGA 1300 illustrated in Figure 13 nanotube-based bidirectional buffers such as NT BiDi Buffer 1375 control the direction of voltage propagation (and current flow) on wires such as wire 1380. Voltage waveforms propagate left to right or right to left depending on the nonvolatile state of NT BiDi Buffer 1375 as illustrated further below with respect to Figure 17. NT Bidirectional Buffer Function and Operation using Nonvolatile Nanotube Select Circuits
[0175] Figure 17A illustrates an embodiment of a nanotube-controlled bidirectional buffer circuit NT BiDi Buffer 1700 which corresponds to NT BiDi Buffer 1375 shown in Figure 13. The direction of signal flow in bidirectional buffer circuit BiDi Buffer 1710 is controlled by true and complement configuration control logic states C and C provided by NV NT select circuit 1715. If C is at ground and C is at a positive voltage such as 2.5 volts for example, then signal-in on wire 1720-1 and signal-out on wire 1720-2 is enabled. However, if C is at a positive and C is ground, then signal-in on wire 1720-2 and signal- out on 1720-1 is enabled. Signals traveling relatively long distances on global wires may experience waveform deterioration in rise and fall time and also amplitude. Waveforms of signals flowing between wire 1720-1 and 1720-2 or between wire 1720-2 and wire 1720-1 are restored by inverters INVl and INV2 or by inverters INV3 and INV4, respectively. [0176] BiDi Buffer 1710 includes inverter INV 1 with input connected to wire 1720- 1 and to a first terminal of FET T2. The output of INVl drives the input of inverter INV2. The output of INV2 is connected to a first terminal of FET Tl whose gate is controlled by configuration control logic state C supplied by select node 1725-3 of NV NT select circuit 1715 through inverter 1725-2. A remaining second terminal of FET Tl is connected to wire 1720-2 and also to the input of inverter INV3. The output of INV3 drives the input of inverter INV4 whose output drives a second terminal of FET T2. The gate of FET T2 is controlled configuration control logic state C supplied by select node 1725-1 of NV NT select circuit 1715. The first terminal of FET T2 is connected to wire 1720-1 and to the input of INVl as described further above.
[0177] NV NT select circuit 1715 may be used to control the direction of signal flow in BiDi Buffer 1710. NV NT select circuit 1715 corresponds to NV NT select circuit 1150 shown in Figure 1 IB and may be programmed and operated as described with respect to Figure 1 IB. NV NT select circuit 1715 also corresponds to NV NT select circuit 1200 shown in Figure 12A and also to the operation of NT SELl circuit as part of in NCLB 1320 shown in Figure 13. Mode control Y may be set to programming mode or to an operating mode as described further above with respect to Figures 1 IB, 12, and 13 and Xl and X2 may be used to program the nonvolatile resistance states of the NV NT switches. In the operating mode, Xl is set to a high voltage such as 2.5 volts for example and X2 is set to ground. Configuration control logic state C may be at a high voltage such as 2.5 volts and configuration control logic state C (complement of C) may be at ground (zero volts). Alternatively, configuration control logic state C may be at a low voltage such as ground and configuration control logic state C (complement of C) may be at a high voltage such as 2.5 volts.
[0178] In operation, NT BiDi Buffer 1700 enables signal flow from wire 1720- 1 to wire 1720-2 or from wire 1720-2 to wire 1720-1. If C is at ground and C is at a positive voltage such as 2.5 volts for example, then FET T2 is in an OFF state and FET Tl is in an ON state. A signal arriving on wire 1720-1 propagates through INVl and INV2 and FET Tl to wire 1720-2. However, a signal arriving on wire 1720-2 can only flow through Tl to the output node of INV2 and is blocked. Similarly, the signal can propagate through INV3 and INV4 but is blocked by FET T2 in an OFF state. Alternatively, if C is at a positive voltage such as 2.5 volts and C is at ground for example, then FET Tl is in an OFF state and FET T2 is in an ON state. A signal arriving on wire 1720-2 propagates through INV3 and INV4 and FET T2 to wire 1720-1. However, a signal arriving on wire 1720-1 can only flow through T2 to the output node of INV4 and is blocked. Similarly, the signal can propagate through INVl and INV2 but is blocked by FET Tl in an OFF state. A description of NT-BiDi Buffers similar to NT-BiDi Buffer 1700 may be found in US Patent Application No. 61/039204, filed on Mar. 25, 2008, entitled "Carbon Nanotube- Based Neural Networks and Methods of Making and Using Same." [0179] Figure 17B illustrates an embodiment of a NT enhanced bidirectional buffer circuit NT E-BiDi Buffer 1740 that not only controls the direction of signal flow as does NT BiDi Buffer 1700, but may also be used to invert (or not invert) signal polarity and restore pulses to different (or the same) voltage amplitudes as described further below with respect to Figure 17B and also with respect to US Patent Application No. 61/039204, filed on Mar. 25, 2008, entitled "Carbon Nanotube-Based Neural Networks and Methods of Making and Using Same." Signal flow from wire 1747-1 to 1747-2 is processed independently of signal flow from wire 1747-2 to 1747-1.
[0180] NT E-BiDi Buffer 1740 circuit is formed by a combination of enhanced bidirectional buffer circuit E-BiDi buffer 1745, NV NT select circuits 1750-1, 1750-2 and 1750-3, on-chip voltages Vl, V2, V3, and V4 generated by on-chip programmable voltage generator 1760, and controller 1765. Note that voltages Vl, V2, V3, and V4 are supplied to inverters INVl, INV2, INV3, and INV4, respectively, by on-chip programmable voltage generator 1760. The operation of on-chip programmable voltage generator 1760 is described further below with respect to Figure 18. The programming and operation of NV NT select circuits 1750-1, 1750-2 and 1750-3 correspond to the programming and operation of NV NT select circuit 1150 shown in Figure 1 IB, NV NT select circuit 1200 illustrated in Figure 12 A, the operation of NV NT select circuits 1410-1...1410-7 shown in Figure 14 A, and the operation of NV NT select circuits 1620-1...1620-6 shown in Figure 16.
[0181] E-BiDi Buffer 1745 includes inverter INVl with input connected to wire 1747- 1 and to a first terminal of FET T2. The output of INVl drives the input of inverter INV2. The output of INV2 is connected to a first terminal of FET Tl whose gate is controlled by configuration control logic state Cl ' supplied by select node 1755-2 inverter INV5 output whose input is connected to the NV NT select circuit 1750-1 output node. FET T3 is connected in parallel with INV2 with a first terminal connected to the output of INVl and a second terminal connected to a first terminal of FET Tl . The gate of FET T3 is controlled by configuration control logic state C2 supplied by select node 1755-4 of NV NT select circuit 1750-3. A remaining second terminal of FET Tl is connected to wire 1747-2 and also to the input of inverter INV3. The output of INV3 drives the input of inverter INV4. The output of INV4 is connected to a first terminal of FET T2 whose gate is controlled by configuration control logic state Cl supplied by select node 1755-1 of NV NT select circuit 1750-1 output node. FET T4 is connected in parallel with INV4 with a first terminal connected to the output of INV3 and a second terminal connected to a second terminal of FET T2. The gate of FET T4 is controlled by configuration control logic state C3 supplied by select node 1755-3 of NV NT select circuit 1750-2. A remaining second terminal of FET T2 is connected to wire 1747-1 and also to the input of inverter INVl.
[0182] On-chip voltages Vl, V2, V3, and V4 are supplied to E-BiDi Buffer 1745 by on-chip nonvolatile nanotube programmable voltage generator 1760 as described further below with respect to Figure 18. Vl (and V2, V3, and V4) may be varied over a relatively large range of voltages (0 to 5 volts, for example). Controller 1765 outputs Oi ...OM are inputs to on-chip NV NT programmable voltage generator 1760 used to program the values of Vl, V2, V3, and V4 as described further below with respect to Figure 18. Controller 1765 also controls the programming and operation of NV NT select circuits 1750-1, 1750-2, and 1750-3 to control the logical operation of E-BiDi Buffer 1745 with outputs Xl, X2, Yl, Y2, and Y3 connected to corresponding NV NT select circuits that control the logic operation of NT E BiDi buffer 1740. Inputs I1...IN to controller 1765 are processed by the controller 1765 logic (not shown).
[0183] In operation, NT BiDi Buffer 1740 enables non-inverted signal flow from wire 1747-1 to wire 1747-2 or from wire 1747-2 to wire 1747-1 if FET T3 and FET T4 are in an OFF state. If Cl is at ground and Cl ' (logical complement of Cl) is at a positive voltage such as 2.5 volts for example, then FET T2 is in an OFF state and FET Tl is in an ON state. A signal arriving on wire 1747-1 propagates through INVl and INV2 and FET Tl to wire 1747-2. However, a signal arriving on wire 1747-2 can only flow through Tl to the output node of INV2 and is blocked. Similarly, the signal can propagate through INV3 and INV4 but is blocked by FET T2 in an OFF state. Alternatively, if Cl is at a positive voltage such as 2.5 volts and Cl ' is at ground for example, then FET Tl is in an OFF state and FET T2 is in an ON state. A signal arriving on wire 1747-2 propagates through INV3 and INV4 and FET T2 to wire 1747-1. However, a signal arriving on wire 1747-1 can only flow through T2 to the output node of INV4 and is blocked. Similarly, the signal can propagate through INVl and INV2 but is blocked by FET Tl in an OFF state.
[0184] In operation, on-chip voltage Vl is applied to the inverter INVl and V2 is applied to inverter INV2 PFET source terminal. Voltages Vl and V2 may be varied over a range of voltages from 0 to 5 volts for example by on-chip NV NT programmable voltage generator 1760. In the case of a non-inverting signal transmission, FET T3 is in OFF state and voltages Vl and V2 may be varied from 1 to 5 volts for example. However, in an inverting operation, voltage V2 is reduced to zero to enable FET T3 turn-ON. Vl may be set in the 1 to 5 volts range and the signal flowing from wire 1747-1 to wire 1747- 2 will be inverted and its amplitude may remain the same or may be modified. [0185] In operation, on-chip voltage V3 is applied to the inverter INV3 and V4 is applied to inverter INV4 PFET source terminal. Voltages V3 and V4 may be varied over a range of voltages from 0 to 5 volts for example by on-chip NV NT programmable voltage generator 1760. In the case of a non-inverting signal transmission, FET T4 is in OFF state and voltages V3 and V4 may be varied from 1 to 5 volts for example. However, in an inverting operation, voltage V4 is reduced to zero to enable FET T4 turn-ON. V3 may be set in the 1 to 5 volts range and the signal flowing from wire 1747-2 to wire 1747- 1 will be inverted and its amplitude may remain the same or may be modified. [0186] Figure 18 illustrates an embodiment of a nonvolatile nanotube-controlled on- chip programmable voltage generator circuit (NV NT programmable voltage generator 1800) NT V-GEN 1800 that corresponds to on-chip NV NT programmable voltage generator 1760 illustrated in Figure 17B. On-chip voltage regulator 1810 is connected to a power source at voltage VDD and generates an on-chip voltage Vo-c on output node 1830 when provided with a reference voltage VREF on first input terminal 1835. Differential amplifier 1815 holds output voltage Vo-c on output node 1830 equal to reference voltage VREF- Differential amplifier 1815 operation is similar to the operation of differential amplifiers described in R, Jacob Baker et al., "CMOS circuit Design, Layout, and Simulation," IEEE Press, 1998, p. 579-592. Regulated output voltage V0-C and corresponding output current to on-chip circuits is supplied by PFET 1825 typically having a wide channel width (width-to-length ratio of 100:1 or more for example). Inverter 1820 provides output voltage feedback to a second input terminal 1880 of differential amplifier 1815. A reference voltage is supplied to a first input terminal 1835 of differential amplifier 1815 by nanotube-controlled nonvolatile nanotube voltage reference generator NT_R-GEN 1840. NT_R-GEN 1840 includes NV NT select circuit 1845 with reference node connected to first input terminal 1835. A pair of NV NT switches is programmed to a ratio of ON resistance values (states) that sets reference voltage VREF based on a ratio of NV NT resistor values. In this application, NV NT switches are used in an analog mode and both NV NT switches are typically in an ON state of different resistance values and are referred to NANRISTORS in which ON resistance values are held in a nonvolatile state. NV NT select circuit 1845 includes NANRISTORS 1850 and 1855 sharing common first nodes which forms a select node that generates VREF on the first input terminal 1835 of differential amplifier 1815. FET 1860 is a mode control FET which is ON during programming and OFF during operation as described further above with respect to NV NT select circuit 1150 in Figure 1 IB for example. PFET 1865 has one terminal connected to a second node of NANRISTOR 1850 and a second terminal connected to a power source at voltage VDD- NFET 1870 has one terminal connected to a second node of NANRISTOR 1855 and a second terminal connected to a reference voltage such as ground. The gate voltage of PFET 1865 is controlled by reference voltage controller 1875 output Gl; the gate of FET 1870 is controlled by output G2, and mode Y output to the gate of FET 1860 selects program or operate modes. Xl and X2 provide programming (program and erase) pulses as described further above with respect to Figure 1 IB.
[0187] In a programming operation, Gl is at a high voltage such as 2.5 volts for example and PFET 1865 is OFF and G2 is at ground and NFET 1870 is OFF. Y is at a high voltage such as 2.5 volts for example and NFET 1860 is ON and the select node connected to first input terminal 1835 is at ground. Xl and X2 apply pulses to the second terminals of nonvolatile NANRISTORS 1850 and 1855 and resistor values are adjusted such that a ratio of NANRISTOR 1850 and 1855 values results in a voltage VREF when VDD is applied during NT R-GEN 1840 operation. Programming corresponds to programming as described with respect to NV NT select circuit 1150 in Figure 1 IB. All pulses are controlled by reference voltage controller 1875 based on inputs INi • • -INM which correspond to Oi ...OM in Figure 17B.
[0188] In a reference voltage setting operating mode, Y is at ground and NFET 1860 is OFF. Xl and X2 are tristated. Gl is a ground such that PFET 1865 is ON and connects a second terminal of NANRISTOR 1850 to VDD- G2 is at a high voltage such as 2.5 volts such that NFET 1870 is ON. PFET 1865 and NFET 1870 are designed such that the FET ON channel resistance is negligibly small compared to NANRISTOR 1850 and 1855 resistance values which may be in 100k Ohm to 1OM Ohm range for example. Higher NANRISTOR values result in less current flow during operation. Also, since NANRISTOR values are nonvolatile, power may be removed from portions of chips not in use. [0189] In operation, VREF is determined as follows
VREF = RNANRISTOR 1855 X VDD / (RNANRISTOR 1850 + RNANRISTOR 1855)
Note: if RNANRISTOR ISSO = RNANRISTOR 1855, VREF = VDD/2
[0190] VREF at first input terminal 1835 of differential amplifier 1815 is set equal to the desired voltage level for Vo c and the output node 1830 of differential amplified 1815 which also corresponds to output node 1830 of NT V-GEN 1800 is held at Vo c even as circuit load at output 1830 is varied (draws more or less current). NT V-GEN 1800 circuit output Vo c corresponds to one of voltage outputs Vl, V2, V3, and V4 shown in Figure 17B. The number of NT V-GEN 1800 circuits needed depends on the number of on-chip voltages to be generated.
NV NT Bidirectional Buffer Function and NV NT Programmable Voltage Generator used to Generate Precision Timing (Delay) and for Power Management
[0191] Nonvolatile nanotube programmable voltage (NT V-GEN) 1800 shown in Figure 18 may be used to generate and control on-chip voltage to various circuits in an integrated circuit chip such as nonvolatile nanotube bidirectional buffer (NT E -BiDi Buffer) 1740 shown in Figure 17B for example. CMOS circuits, as is well known in the industry, swing from rail-to-rail and operate over a wide range of voltages. CMOS circuits with threshold voltages of 0.7 volts, for example, in the range of 1 to 3.5 volts for example but at varying speeds and power dissipation. If the operating voltage is low, approaching 1 volt for example, then CMOS circuits will operate more slowly due to reduced overdrive but also will dissipate less power. However, CMOS circuits will operate at high speed if the circuit is operated at a higher voltage such as 3.5 volts for example. Switching CMOS circuits dissipate power proportional to fC-V2, where f is the frequency of operation, C is the capacitive load, typically primarily due to wiring capacitance, and the square of the rail-to-rail voltage swing V.
[0192] Controller 1765 is described above with respect to control of voltages V1-V4 applied to NT E-BiDi Buffer 1740 to modify the amplitude and polarity of pulses between input and output of NT-E-BiDi Buffer 1740. However, by controlling the voltage applied to NT E-BiDi Buffer 1740, controller 1765 also varies power dissipation and delay through the buffer circuit.
[0193] Controller 1765 may be used to vary voltages applied to NT E-BiDi Buffer 1740 to achieve continuous precision timing (delay) control because varying CMOS voltage can be used to control delay through CMOS circuits as described further above. Bertin et al US Patent Application No. 11/835583, filed on Aug. 8, 2007, entitled "Latch Circuits and Operation Circuits Having Scalable Nonvolatile Nanotube Switches as Electronic Fuse Replacement Elements," US Patent Application No. 11/835612, filed on Aug. 8, 2007, entitled "Nonvolatile Resistive Memories Having Scalable Two-Terminal Nanotube Switches," precision timing (delay) control in discrete steps until a pulse appears in a valid data window defined by a strobe pulse. In this case, pulse timing (delay) NT E-BiDi buffer 1740 circuit may be monitored by sensing for the presence or absence of an output pulse in a valid data window defined by a strobe pulse. Voltages are adjusted by NT V-GEN 1800, under the control of controller 1765, until the output pulse is detected by a comparator circuit (not shown) and a signal is sent to controller 1765. Reference voltage generator 1875, based on inputs from controller 1765 or another signal source, incrementally changes the ratio of the resistance values of Nanristors 1850 and 1855 in NT R-GEN 1840 (as described further above) until the output voltage of NT V- GEN 1840 circuit (or circuits since there may be more than one used) results in the desired timing speed (delay). At this point Nanristor 1850 and 1855 nonvolatile resistance values are left unchanged. As a consequence of this method of continuous speed (delay) adjustment, the amplitude of the signal output may be different to that of the signal input. The amplitude may be restored to a full rail-to-rail swing of, for example, 3.5 volts may be restored by sending the signal through one or more inverter stages (not shown). Delays introduce by inverter(s) are in series with the signal path and will be included in the adjusted precision timed waveform.
[0194] Power management (control of power dissipation) may be implemented for various regions of a chip (or the entire chip) by reducing the on-chip voltage output of NT R-GEN 1840. This may be achieved by inputs to the reference voltage controller 1875 and corresponding adjustment of the values of nanristors 1850 and 1855 as described further above with respect to signal speed (delay) timing control. A power reduction signal may be provided to chips by the system by an OP-Code to a detector as described further below. Alternatively, a temperature sensor may be located on-chip that sends a signal to a controller such as reference controller 1875 to reduce operating voltage which reduces power dissipation.
NV NT Bidirectional Buffer Function and/or NV NT Programmable Voltage Generator used as Security Event Response Functions
[0195] In the event that a security event is detected, the operation of NT E-BiDi buffer 1740 and NTJV-GEN 1800 may be changed. For example, voltages such V1-V4 may be driven to zero and switching operations terminated. Alternatively, voltages may be changed, amplitudes, polarities, timings (delays) may be modified to conditions that do not reflect the correct operating conditions of the application.
[0196] One method of detecting a security event is to use an on-chip detector that monitors an OP-Code stream and detects a security event (alarm) code as described in Bertin et al. US patent 7,394,687. Once detected, programmable wiring and programmable logic and signal routing circuits may be modified as described further so that the high application function security is changed and therefore protected.
Nanotube Configurable Logic Blocks (NT_CLB) & Nanotube Programmable Switch Matrix (NT_PSM) using Nonvolatile NRAM- Controlled Select Circuits
[0197] Various NRAMTM memory architectures are combined with CLB and PSM functions to form NCLB and NPSM building blocks that may be integrated to form NFPGA logic as described with respect to Figures 19-26 illustrated further below. NFPGA Function and Operation using NRAM™s
[0198] Nonvolatile NRAM™ array schematic 1900 includes a matrix of 16 nonvolatile storage cells COO, COl, , C33 as illustrated in Figure 19. NRAM™ memory architecture and operation are described in US Patent Application No. 11/280786, filed on Nov. 15, 2005, entitled "Two-Terminal Nanotube Devices and Systems and Methods of Making," US Patent Application No. 11/274967, filed on Nov. 15, 2005, entitled "Memory Arrays Using Nanotube Articles with Reprogrammable Resistance," US Patent Application No. 11/835583, filed on Aug. 8, 2007, entitled "Latch Circuits and Operation Circuits Having Scalable Nonvolatile Nanotube Switches as Electronic Fuse Replacement Elements," US Patent Application No. 11/835612, filed on Aug. 8, 2007, entitled "Nonvolatile Resistive Memories Having Scalable Two-Terminal Nanotube Switches," and US Patent Application No. 11/835613, filed on Aug. 8, 2007, entitled "Memory Elements and Cross Point Switches and Arrays of Same Using Nonvolatile Nanotube Blocks," and are hereby incorporated by reference. Each memory cell illustrated in NRAM™ array schematic 1900, such as representative cell COO, includes a select transistor TOO that may be an NFET as shown, or may also be a PFET (not shown) or a CMOS transfer device (not shown) that includes both NFET and PFET devices, or other types of switching devices (not shown) such as diode steering devices as described in US Patent Application Nos. 11/835651, 11/835759, 11/835845, 11/835852, 11/835856, 11/835865, each filed on Aug. 8, 2007, entitled "Nonvolatile Nanotube Diodes and Nonvolatile Nanotube Blocks and Systems Using Same and Methods of Making Same." Each cell, such as cell COO, also includes a nonvolatile nanotube storage node NTOO such as NV NT switch 1110 as illustrated by NRAM™ cell 1100 in Figure 1 IA. Nonvolatile nanotube storage node NTOO (NV NT storage node) may be formed using NV NT switch- types or NV NT block switch-types illustrated further above and summarized in Figures 1OB, 1OC and 10D, respectively.
[0199] Nonvolatile storage cells such as cell COO are formed by connecting the source SCOO of a transistor such as NFET TOO to a first terminal of a NV NT storage node such as NV NT storage node NTOO illustrated in Figure 19. NRAM™ array schematic 1900 is formed by connecting word lines WLO, WLl, WL2, and WL3 to corresponding gates of NFET select transistors in corresponding storage cells; connecting secondary word lines WWLO, WWLl, WWL2, and WWL3 (typically used as reference lines connected to a voltage such as ground (zero volts)) to corresponding second terminals of NV NT storage nodes in corresponding storage cells; and connecting bit lines BLO, BLl, BL2, and BL3 to corresponding drain diffusions of corresponding NFET select transistors in corresponding nonvolatile storage cells as illustrated in Figure 19. For example, word line WLO is connected to the gate of NFET TOO by contact GCOO; secondary word line WWLO is connected to the second terminal of nonvolatile nanotube storage node NTOO by contact NCOO; and bit line BLO is connected to the drain of NFET TOO by contact DCOO. [0200] In erase and programming operations, a word line such as word line WLO is selected and FETs COO, COl, C02, and C03 are turned ON. Word lines WLl, WL2, and WL3 are kept at ground and all other FETs are in an OFF state. In an erase (write "0") operation, bit lines are pulsed in the range of 5 to 7 volts with rise times in the nanosecond range using one or more pulses. One approach is to erase all bits along selected word line WLO so that all NV NT switches are in a high resistance (OFF) state corresponding to a logical "0" state. Next, selected cells along WLO are programmed to a low resistance (ON) state corresponding to a logical "1" state. So for example, if cell COO is to store a low resistance value, a program (write "1") operation is performed in which bit line BLO is pulsed in the range of 3-5 volts with rise times in the microsecond range using one or more pulses. If cells COl, C02, and C03 are to remain in a high resistance logical "0" state, then bit lines BLl, BL2, and BL3 are held at ground.
[0201] In read operating mode, bit lines such as BLO, BLl, BL2, and BL3 are precharged to a voltage such as 2.5 volts for example. A word line such as word line WLO is selected and select FETs in NRAM™ cells COO, COl, C02, and C03 are turned ON. In this example, cell COO is in a low resistance state corresponding to a logical "1" state and bit line BLO is discharged and a logical "1" state will sensed and latched. Since cells COl, C02, and C03 are in a high resistance state, bit lines BLl, BL2, and BL3 will not discharge and a logical "0" state will be sensed and latched. In a x4 NRAM™ configuration, all 4 bits are provided at the NRAM memory output. In a xl NRAM configuration, 1 of 4 bits is selected and provided at the NRAM™ memory output.
[0202] Figure 6 illustrates CLB 600 which includes look up table (LUT) 610. LUT 610 is described further above with respect to Figure 6 which corresponds to LUTs as described in US patent Re. 34,363 Jun. 24, 1991 Ross Freeman in which a 16 bit RAM (a volatile SRAM) in a xl configuration is used to generate LUT 610 logic look up table. An NRAM™ may be used instead as the RAM function. An NRAM™ has the advantage of smaller array size because of smaller cell size and nonvolatile operation. Figure 20 illustrates NCLB 2000 including NLUT 2010 that includes 16 bit NRAM™ array 2015 that corresponds to NRAM™ array schematic 1900 described further above with respect to Figure 19. Inputs Ii and I2 to X-Decoder 2020 and I3 and I4 to Y-Decoder 2025 select one of 16 bits to output to wire 2030. NLUT 2010 output drives wire 2030 which in turn drives an input to D flip flop 2035, which also includes a clock input, and also drives a first terminal of multiplexer (MUX) 2040. A second terminal of MUX 2040 is driven by the output of D flip flop 2035. MUX 2040 drives wire 2045 providing NCLB 2000 output O.
[0203] Figure 21 illustrates a block diagram of an embodiment of NFPGA 2100 formed using NRAM™ controlled NCLBs such as NCLB 2120 and NRAM™ controlled NPSMs such as NPSM 2140-1. NFPGA 2100 also includes a NanoLogic™ circuit that includes a nonvolatile nanotube select circuit (labeled NT SEL) that forms nanotube configurable logic block NCLB 2160 and an NRAM™ controlled MUX 2155 as part of NCLB 2150. NRAM™ controlled bidirectional buffers (not shown) may also be included. Flip flops and multiplexers (shown in Figures 6 and 7) may be included as well. [0204] The NFPGA 2100 logic function is configured using NRAM™ memories (or memory sub-arrays) NRAM1-NRAM4 whose operations are described further above with respect to Figures 19 and 20. In addition to NRAM™s, NCLB 2160 programming also includes NV NT select circuit NT SEL as shown in Figure 21 and described further above with respect to Figure 13 using program and operation inputs Xl, X2, and mode control input Y. Multiple nanotube-configurable logic blocks (NCLBs) and nanotube programmable switch matrices (NPSMs) are configured (programmed) and determine NFPGA 2100 logic function.
[0205] NCLB 2120 corresponds to NCLB 2000 illustrated in Figure 20 that may include flip flops and multiplexers (not shown) such as illustrated in Figure 6; NPSM 2140-1 uses NRAM2 output 2141 to control the ON/OFF state of FET 2142; NPSM 2140- 2 uses NRAM3 output 2143 to control the ON/OFF state of FET 2144; NCLB 2160 corresponds to NanoLogic™ circuit 1270 illustrated in Figure 12D and flip flop and multiplexers (not shown) such as illustrated in Figure 7; NCLB 2150 includes NRAM4 whose output 2158 controls the operation of multiplexer 2155 and may include flip flops and other multiplexers (not shown) as illustrated in Figure 7. CLB functions such as 2105 and 2170 may include configurable logic functions such as illustrated in Figures 6 and 7 which may or may not include NRAM and NanoLogic functions. Wiring region 2110 shows horizontal wires that may be used for various interconnections (not shown). NT BiDi Buffers controlled by NRAM™s (not shown) may be used to controls the direction of signal flow on selected wires as explained further above with respect to Figures 17A and 17B. Using said NT BiDi Buffers, configuration control logic states (may also be referred to as configuration control bits) are supplied by NRAM™ memory outputs.
[0206] In logic configuration setting operations for NCLB 2120, NCLB 2150, NPSM 2140-1 and NPSM 2140-2, NRAM1-NRAM4 are programmed as described with respect to NRAM™ array schematic 1900 (Figure 19) and NRAM™ array 2015 by word and bit line waveforms selected by X-decoder 2020 and Y-decoder 2025 (figure 20) to generate nonvolatile logic voltages that control device ON/OFF states and control logic block functions. NCLB 2160 logic function is determined by NT SEL based on inputs Xl, X2, and Y as described further above with respect to Figure 1 IB, Figure 12A, and Figure 13 for example.
[0207] In this logic configuration setting operation example, NCLB 2120 logic function includes NLUT 2122 with NRAMl corresponding to Figure 20 with NLUT 2122 programmed such that a logic function such as (A-B)c (the complement of A logically ANDed with B) for example is outputted to wire 2123 and NPSM 2140-1 NRAM2 is programmed such that output 2141 is at a high voltage which turns FET 2142 to an ON state so that the wire 2123 signal is transmitted to wire 2145. NPSM 2140-2 NRAM3 is programmed such that output 2143 is at a high voltage which turns FET 2144 to an ON state so that the wire 2145 signal is transmitted to wire 2149. NCLB 2150 NRAM4 is programmed such that output 2158 activates MUX 2155 which is connected to wire 2149 and transmits the signal on wire 2149 to wire 2162.
[0208] NCLB 2160 corresponds to NanoLogic™ circuit 1250 illustrated in Figure 12D and flip flops and multiplexers (not shown) such as illustrated in Figure 7. Three input NOR gate 2165 has a first input controlled by the select node 2167 output of NT SEL. Input I corresponds to the signal on wire 2162 and is applied to a second input of NOR gate 2165. Input C is applied to a third input of NOR gate 2165. In this example, select node 2167 output voltage is selected to be zero so that NOR gate 2165 transmits (C+I)c to output OUT of NCLB 2160. With FPGA 2100 NRAM™ and NV NT select circuits programmed as described in this example, (A-B)c appears on wire 2123 and since both FET 2142 and 2144 are in an ON state, then (A-B)c is propagated to wire 2145 and then to wire 2149; wire 2149 is also an input to multiplexer 2155 which is activated because NRAM4 has output 2158 at a high voltage such as 2.5 volts. Therefore, (A-B)c propagates along wire 2162 to NOR gate 2165 input I. Because select node 2167 voltage is ground, NOR gate 2165 propagates ((A-B)0 + C)c to NCLB 2160 output node OUT. NCLB Function and Operation using NRAM™s
[0209] NFPGA 2100 illustrated in Figure 21 illustrates nonvolatile configurable logic blocks and nonvolatile programmable switch matrices using relatively simple examples based on NRAM™s illustrated in Figures 19 and 20. One nonvolatile configurable logic block based on a nonvolatile nanotube select circuit as described further above with respect to Figure 12D is also included to illustrate the ability to mix NRAM™-based and NV NT select circuit-based approaches to nonvolatile configurable logic blocks in the same NFPGA design. Since nonvolatile configurable logic blocks have been described further above with respect to Figures 13 and 14, the emphasis is on NRAM™-controlled programmable logic functions. Figures 22 A and 22B described further below illustrate NRAM architectures that provide NRAM -generated configurable control bits (corresponding to configurable control logic states) based on bit configurations stored in NRAM™ arrays similar to NRAM™ array schematic 1900 in Figure 19. [0210] NRAM™ architectures may be similar to the NRAM™ architecture described with respect to NCLB 2000. NCLB 2000 is illustrated further above with respect to Figure 20 and includes an NRAM™ memory used to realize nonvolatile look up table (NLUT) 2010 which provides configuration control logic states (or configuration controlled bits) as part nonvolatile programmable logic block (NCLB) 2000 function. NRAM™ architectures may also be designed to provide multi-bit outputs in parallel and may use fewer on-pitch circuits to implement NCLB functions in a smaller physical area for example as illustrated further below by NRAM™ 2200 in Figure 22, and configured to provide nonvolatile configuration control bits.
[0211] Figure 22 A illustrates an embodiment of NRAM™ 2200 architecture shown in schematic form that may be used as an NRAM™-based nonvolatile control bit generator that provides true and complement control bit outputs. NRAM™ 2200 includes NV memory array 2205 shown in schematic form which corresponds to nonvolatile NRAM™ array schematic 1900 illustrated in Figure 19, but with only one word line and six parallel bit lines. Controller 2210 accepts inputs 2212 and generates outputs 01-010. Outputs O1-O6 drive a first input of two terminal tristate AND gates 2215-1 to 2215-6, respectively. Output 07 drives second input gates of two terminal tristate AND gates 2215-1 to 2215-6 to enable or disable bit line selection for program or erase operations. Outputs of two terminal tristate AND gates 2215-1 to 2215-6 drive bit lines BL1-BL6, respectively. Bit lines BL1-BL6 are also connected to the drain of corresponding NFET select transistors shown in NV memory array 2205. A word line WLl is connected to the output of word line driver WL DR which is driven by output 09 of controller 2210. Word line driver WL DR drives array word line WLl which is connected to gates of FET select devices shown in NV memory array 2205 for cells BI l, B12, ...., B16. Storage nodes may be formed using NV NT switches or NV NT blocks as described further above with respect to Figures 1OB, 1OC and Figure 1OD, respectively. Each first terminal of a NV NT switch or a NV NT block is connected to a source of a corresponding select FET and a second terminal is connected to a common reference line such as reference line REF which may be connected to ground. PFETs 2220-1 to 2220-6 have first terminals connected to a voltage source V. Each second terminal of PFETs 2220-1 to 2220-6 is connected to bit lines BL1-BL6, respectively, and gate terminals are connected to a common control line which is connected to controller 2210 output 08 which enables or disables read operations. Bit lines BL1-BL6 are also connected to a first terminal of each transfer NFET 2225-1 to NFET 2225-6 transfer devices, respectively. A second terminal of each transfer device NFET 2225-1 to NFET 2225-6 drives an input of inverters INVl '- INV6', respectively, and the gates of transfer devices NFET 2225-1 to NFET 2225-6 are connected to a common control line which is driven by output 010. The outputs of inverters INVl '-INV6' provide complement configuration control bits Ci '-C6'. The outputs of inverters INV1 '-INV6' also drive inputs of inverters INV1-INV6, respectively, whose outputs generate configuration control bits C1-C6, respectively. Note that the complement of a logic (or bit) variable may be indicated as C or Cc for example. Both forms are used interchangeably throughout the specification. NRAM™ 2200 configuration control bits C1-C6 and complement configuration control bits Cl '-C6' may be used as part of NCLB 2300 illustrated further below in Figure 23 and may also be used as part of NPSM 2400 illustrated further below with respect to Figure 24. [0212] Figure 22B illustrates an embodiment of NRAM™ 2250 in schematic form which corresponds to NRAM™ 2200 but with a larger 6 x K nonvolatile memory array 2205' compared with 1 x 6 nonvolatile memory array 2205. Additional word drivers, word driver input, and output lines have been added to NRAM™ 2200 to accommodate the larger memory array. Word line driver WL DR with input 09 driving word line WLl has been replaced with word line drivers WL DRl to WL DRK with corresponding inputs 09-1 to O9-K and corresponding outputs driving word lines WLl-WLK, respectively. Word line WLl drives gates of select FET transistors in cells Bl 1-B16; other word lines (not shown) drive corresponding gates of select FET transistors in other cells; and word line WLK drives gates of select FET transistors in cells BK1-BK6. All other functions are unchanged and are as described with respect to Figure 22A.
[0213] Referring to Figure 22A, in erase and program operations, controller output 08 is held at a high voltage such as 2.5 volts and PFETs 2220-1 to 2220-6 are OFF. Transfer NFETs 2225-1 to 2225-6 gates are held at ground (zero volts) by OIO and are in an OFF state. Two input instating AND gates 2215-1 to 2215-6 are activated by 07 at a high voltage such as 2.5 volts which disables tristate and enables two input AND gate operation. At this time, erase and/or program NRAM™ operations may be performed as described further above with respect to Figure 19. Once NV NT switches (or NV NT blocks) in NV memory array 2205 cells have been switched to ON or OFF states, erase and program operations are terminated and a nonvolatile high resistance state (OFF) or a nonvolatile low resistance state (ON) is stored. A high resistance state may correspond to a logic "0" state and a low resistance state may correspond to a logic "1" state for example. NV memory array 2205 cells are in the following states as illustrated in Figure 22A. BI l is in a high resistance state; B 12 is in a low resistance state; B 13, B 14, and B 15 are in high resistance states, and B16 is in a low resistance state.
[0214] Referring to Figure 22A, in a read operation, controller output 07 is at ground and two input tristate AND gates 2215-1 to 2215-6 are inactive and tristated. Controller output 08 is at ground and PFETs 2220-1 to 2220-6 are ON. PFETs are designed for a high ON channel resistance values using minimum widths and greater than minimum lengths. Therefore voltage V is applied through a channel resistance of IM Ohm for example. A read voltage is applied by WL DR to word line WLl and all select FETs are turned ON. In cells with NV NT switches (or NV NT blocks) are in a high resistance state, typically IG Ohm and higher, the output voltage on the corresponding bit lines is V. If however, the series combination of select FET ON resistance and NV NT switch ON resistance is 100k Ohms or less, then the voltage on the corresponding bit lines will be at a low voltage at V/10 or less. With transfer NFETs 2225-1 to 2225-6 held in an ON state by controller output OIO at a high voltage such as 2.5 volts, bit line BL1-BL6 voltages are applied to inverters INV1 '-INV6', respectively, generating complement configuration control bits Cl '-C6'; and INV1 '-INV6' outputs drive corresponding inverters INV1-INV6 generating configuration control bits C1-C6, respectively. In the NRAM™ 2200 example with NV memory array 2205 cells programmed as illustrated in Figure 22A configuration control bit (true and complement) outputs during a read operation are as follows: Cl ', C2, C3, C4, C5' and C6 are at a high voltage such as 2.5 volts for example; Cl, C2', C3', C4', C5, and C6' are at a low voltage such as ground.
[0215] Referring to Figure 22B, erase and program operations are as described with respect to Figure 22A for each of the word lines WLl ... WLK. Also, read operations are as described with respect to Figure 22 A for each of the word lines WLl ... WLK. Bits Bl 1, B 12, ..., B16 in Figure 22B are in the same state as bits B1-B6 in Figure 22A. However, bits BK1-BK6 states are not all the same. Therefore, in the NRAM™ 2250 example illustrated in Figure 22B, NV memory array 2205' word line WLK configuration control bit (true and complement) outputs during a read operation are as follows: Cl, C2', C3, C4', C5 and C6' are at a high voltage such as 2.5 volts for example; Cl ', C2, C3', C4, C5', and C6 are at a low voltage such as ground.
[0216] In read operations, the logic state (logic "1" or logic "0") of configuration control bits illustrated in Figure 22B may be rapidly changed between multiple preprogrammed states stored in NRAM™ 2205 ' to reconfigure programmable logic functions for reconfigurable computing operation. In order to maximize reconfigurable computing speed, a pipelined memory operating mode architecture such as used in high speed memory cache operations may be used in which a new set of configuration control bits may be generated during each clock cycle after an initial latency (read access time) delay of multiple clock cycles. If the logic function or routing matrix to be programmed has the required configuration stored in NRAM arrays, then for relatively small NRAM arrays, configuration control bits (true and complement) may be changed in cycle times of 150 ps corresponding to clock frequencies of 6.7 GHz for example for a 90 nm technology node when corresponding NRAM™ arrays are operated in a pipelined architecture. [0217] Nonvolatile nanotube configurable logic block (NCLB) 2300 illustrates an embodiment of a larger nanotube-based logic function corresponding to combinatorial logic function 710 illustrated in Figure 7 except that flip flop function and multiplexer are not shown in this example. NCLB 2300 is a nanotube configurable (programmable) two input (inputs A and B) one output (output F) logic block (function) formed using a cascade of FET transfer devices and other logic functions such as NAND, NOR, and a tristate output and is similar to the logic function illustrated in Figure 14 A. The ON or OFF state of each transfer gate and logic operation of some NAND and NOR circuits is controlled by a configuration control bit (which may also be referred to as a configuration control logic state) supplied by NRAM™ output bits. NRAM™ 2310 is used to generate true and complement configuration control bits CO, CO ' , C 1 , C 1 ' , ... C6, and C6 ' . NRAM™ 2310 corresponds to NRAM™ 2200 shown in Figure 22 A or NRAM™ 2250 shown in Figure 22B.
[0218] NCLB 2300 includes input A to one terminal of FET 2330-1 and input Ac formed by inverter 2330-2 is applied to one terminal of FET 2330-3, with the second terminal of each of FETs 2330-1 and 2330-3 dotted and connected to wire 2330-4 which drives one input of two input NOR gate 2340. Inputs A and Ac are also connected to one terminal of FET 2330-5 and one terminal of FET 2330-6, respectively, with the second terminal of each of FETs 2330-5 and 2330-6 dotted and connected to wire 2330-7 which is connected to one input of three input NAND gate 2350. NRAM™ 2310 provides configuration control bits CO to the gate of FET 2330-1 and CO' to the gate of FET 2330- 3. NRAM™ 2310 provides configuration control bits Cl to the gate of FET 2330-5 and Cl ' to the gate of FET 2330-6.
[0219] NCLB 2300 also includes input B to one terminal of FET 2330-8 and input Bc formed by inverter 2330-9 and applied to one terminal of FET 2330-10, with the second terminal of each of FETs 2330-8 and 2330-10 dotted and connected to wire 2330-11 which drives the second input of two input NOR gate 2340. Inputs B and Bc are also connected to one terminal of FET 2330-12 and one terminal of FET 2330-13, respectively, with the second terminal of each of FETs 2330-12 and 2330-13 dotted and connected to wire 2330-14 which is connected to a second input of three input NAND gate 2350. NRAM™ 2310 provides configuration control bits C2 to the gate of FET 2330-8 and C2' to the gate of FET 2330-10. NRAM™ 2310 provides configuration control logic bits C3 to the gate of FET 2330-12 and C3' to the gate of FET 2330-13.
[0220] NCLB 2300 also includes NRAM™ 2310 providing output C4 to one input of two input NAND gate 2345. The second input to NAND gate 2345 is supplied by the output of NOR gate 2340. NRAM™ 2310 output C5 is connected to the third input of three input NAND gate 2350. The outputs of two input NAND 2345 and three input NAND 2350 drive the two inputs to NOR gate 2355. The output of two input NOR gate 2355 drives the input of tristate inverter 2360. The state of tristate inverter F is determined by configuration control bits C6 and C6' which are provided by NRAM™ 2310. [0221] Figure 14B described further above illustrates eight nonvolatile circuit configurations (CKT CONFIG. #s 1-8) and the corresponding values of CO, CO', ....,C5 used to generate CKT CONFIG. #s 1-8 for NCLB 2300. Figure 14B gives an output F function based on inputs A and B and the configuration control logic states. Output F outputs logic values if the C6 state is a logic 1 and C6' is a logic 0. However, if the C6 state is a logic 0 state and C6' is a logic 1, then output F remains in tristate with no defined value. Figure 15 illustrates equivalent circuits 1500 corresponding to CKT CONFIG. 1-8. NPSM Function and Operation using NRAM™s
[0222] An embodiment of nonvolatile nanotube programmable switch matrix NPSM 2400 illustrated in Figure 24 shows programmable switch matrix (PSM) 2410 configured by nonvolatile NRAM™ 2420 outputs that provide configuration control bits. Programmable switch matrix (PSM) 2410 is the same as PSM 1610 described further above with respect to Figure 16. NRAM™ 2420 may correspond to NRAM™ 2200 shown further above in Figure 22A, NRAM™ 2250 shown further above in Figure 22B, or other NRAM™ configurations (not shown). Nonvolatile configuration control bits Cl- C6 control the configuration of PSM 2410 as described above with respect PSM 1610 shown in Figure 16. Configuration control bits C1-C6 drive the gates of FETs TSl, TS2, ..., TS6, respectively, and determine the ON or OFF states these FETs. [0223] As described further above with respect to Figure 16, configuration control bits (also referred to as configuration control logic states) programmed in NPSM 2400 may be used to form various routings between terminals A, B, C, and D. Exemplary interconnections achievable with NPSM 1600 are listed in Table 3 below.
Figure imgf000072_0001
Table 3
[0224] In a read operation, if NRAM™ 2420 corresponds to NRAM™ 2200 (figure 22A), then one set of configuration control bits is C1-C6 is provided to PSM 2410 until the NRAM™ is reprogrammed. However, if NRAM™ 2420 corresponds to NRAM™ 2250 (figure 22B) then up to K different programmed configuration control bit sets corresponding to C1-C6 may be read out and PSM 2410 may assume multiple switch configuration by switching between multiple pre-programmed sets of bit states. The ability to rapidly change PSM 2210 signal routing configurations as often as once per clock cycle, enables reconfigurable computing for example. If the logic function or routing matrix to be programmed has the required configuration stored in NRAM™ arrays, then for relatively small NRAM™ arrays, configuration control bits (true and complement) may be changed in cycle times of 150 ps corresponding to clock frequencies of 6.7 GHz for example for a 90 nm technology node as described further above with respect to Figures 22 A and 22B. If the clock is stopped and power is removed, the NPSM 2400 routing configuration remains unchanged. NFPGA Enabled Reconfϊgurable Computing using NSRAMs
[0225] FPGA architectures are dominated by interconnects. FPGAs are therefore much more flexible in terms of the range of designs that can be implemented and logic functions in the hundreds of thousands to millions and tens-of-millions of equivalent logic gates may be realized. In addition, the added flexibility enables inclusion of higher-level embedded functions such adders, multipliers, CPUs, and memory. The added interconnect (routing) flexibility of FPGAs also enables partial reconfiguration such that one portion of an FPGA chip may be reprogrammed while other portions are running. FPGAs that can be reprogrammed while running may enable reconfigurable computing (reconfigurable systems) that reconfigure chip architecture to better implement logic tasks. The idea of reconfigurable computing is not new; however, implementation is limited by hardware capability and architecture and software. NRAM™s combined with FET switches and logic circuits to form NFPGAs enable the hardware portion of reconfigurable computing by providing nonvolatile configuration control bits (also referred to as nonvolatile configurable control logic states) that can change logic functions and signal routing in real time during chip operation and maintain such configurations in the absence of power as needed resulting in nonvolatile globally adaptive and reconfigurable capabilities in real time. Reconfigurable computing concepts are described by G. G. Yen, "Autonomous Neural Control in Flexible Space Structures," Chapter 93, pp. 1199-1202 in "The Industrial Electronics Handbook" edited by J. David Irwin, CRC Press LLC, 1997. [0226] Reconfigurable computing involves both spatial and temporal partitions. NRAM™ 2250 as described further above with respect to Figure 22B is designed to store K programmed combinations of configurable control bits (configurable control logic states) that can be read out at speeds as fast as 150 ps corresponding to 6.7 GHz for a 90 nm technology node for example so NRAM™ 2250 may be used to reconfigure programmable logic blocks and programmable switch matrices in real time providing both spatial and temporal partitions. In this example, NRAM™ 2250 enables the nonvolatile nanotube configurable logic block (NCLB) 2300 to be changed in as little time as one clock cycle (in pipeline mode) to support up to K logic configurations preprogrammed into NRAM™ 2250. Also, NRAM™ 2250 enables the nonvolatile nanotube programmable switch matrix (NPSM) 2400 to be reconfigured in as little time as one clock cycle (in pipeline mode) thereby rerouting signals in real time each cycle if needed. Nanotube bidirectional buffers described further above with respect to Figures 17A and 17B may also use NRAM™ configuration control bits (not shown) to redirect signal flow and change signal polarity, for example, in one cycle if needed. Hence, nonvolatile nanotube field programmable gate array (NFPGA) 2100 which is formed using various interconnected NCLBs and NPSMs may be configured spatially and temporally within one clock cycle when in a pipeline mode and over several cycles in non-pipelined operating mode.
[0227] Typically in reconfigurable computing, the number of spatial and temporal changes needed is relatively small. Reprogramming NRAM™s may only be needed less than a few thousand times for example. In some cases, small NRAM™ memories are all that is needed so a small number of configuration control bits may be preprogrammed. In the case of NCLB 2300, K = 8 (eight word lines) can accommodate the various configurations illustrated in corresponding Figure 14B. For NPSM 2400 various combinations of independent and shared routing configurations can be programmed as illustrated further above with respect to Figure 24. If some NFPGA functions are not needed for certain computations for example, then power may be removed from these nonvolatile functions thereby eliminating standby power. Other NFPGA functions, embedded CPUs, memories, etc. May leverage these power savings by running faster at higher levels of power.
[0228] Embedded NRAM™ memories may be combined with FPGAs, CPUs and other functions. In applications requiring fast cache operation, read and write times are essentially the same and may require cycle times of 150 ps to support a 6.7 GHz clock rate for example. It may also be desirable to modify logic function and signal routing in one clock cycle without using preprogrammed functions that may not cover all configuration control bit requirements. In present generation NRAM™s write (erase/program) operations are significantly slower than read operations. Hence, nanotube-based SRAM nonvolatile memories (NSRAMs) may be desirable because high speed SRAM operation at read and write cycle times of 150 ps (90 nm technology node) is enabled which supports a clock cycle of 6.7 GHz with the ability to save SRAM states in a nonvolatile mode using nanotube-based nonvolatile switches as needed.
[0229] US patent 7,245,520 to Bertin et al., incorporated herein by reference, illustrates NSRAM memory cell 2500 that may be used to form high speed (actually any speed range from low to high speed) NSRAM memory by combining SRAM cell 2505 and a pair of nonvolatile nanotube switching elements 2530 and 2540. NSRAM memory cell 2500 includes a conventional SRAM cell formed by flip flop 2510 connected to word line WL and bit lines BLl and BL2 by select transistors FET T5 and FET T6, respectively, as described in more detail in US 7,245,520 which is hereby incorporated by reference. NSRAM memory cell 2500 also includes nonvolatile nanotube switching elements 2530 and 2540 used as nonvolatile nanotube shadow devices that can store NSRAM memory cell 2500 bit states in a nonvolatile mode prior to turn-off or loss of power and also recall (restore) flip flop 2510 bit states when NSRAM cell 2500 is reactivated (powered-up). Control wires VCNT and VRL are used to control the switching of nonvolatile nanotube switching elements 2530 and 2540 coupled to flip flop 2510 as shown in Figure 25. A detailed description of NSRAM memory cell 2500 and its operation is described in the incorporated US 7,245,520 reference. The structure and operation of nonvolatile nanotube switching elements 2530 and 2540 is described in detail in US Patent Nos. 6,990,009, 7,339,401 and US Patent Application No. 11/971476, filed on Jan. 9, 2008, each entitled "Nanotube-Based Switching Elements with Multiple Controls," and US Patent No. 7,071,023 and US Patent Application No. 11/449969, filed on Jun. 9, 2006, each entitled "Nanotube Device Structure and Methods of Fabrication," which are both hereby incorporated by reference.
[0230] An NSRAM memory may also be formed by replacing nonvolatile nanotube switching elements 2530 and 2540 in Figure 25 with NRAM™ cells. Referring now to Figure 26, NRAM™ cells 2630 and 2640 (corresponding to nonvolatile nanotube switching elements 2530 and 2540 in Figure 25) act as shadow nonvolatile storage devices. Mode control transistors are added to support program and erase operations. NRAM™ cells 2630 and 2640 correspond to NRAM™ cell 1100 shown in Figure 1 IA. Flip flop 2610 shown in Figure 26 corresponds to flip flop 2510 shown in Figure 25. [0231] One embodiment NSRAM cells 2600 may be combined in rows and columns to form NSRAM memories. NSRAM cells 2600 includes a conventional SRAM cell formed by flip flop 2610 connected to word line WL and bit lines BLl and BL2 by select transistors FET T5 and FET T6, respectively. A first terminal of NRAM™ cell 2630 select FET T7 is connected to a first terminal of NV NT switch (or NV NT block) SWl at common node N5. A first terminal of mode select FET T9 is connected to common node N5. A second terminal of FET T7 is connected to node Nl of flip flop 2610 shown in Figure 26 which corresponds to flip flop 2510 in Figure 25. Mode select line VMI is connected to the gate of FET T7 and controls the ON/OFF state of FET T7. A second terminal of FET T9 is connected to a reference such as ground (zero volts) and mode select line VM2 is connected to the gate of FET T9 and controls the ON/OFF state of FET T9. A second terminal of NV NT switch SWl is connected to erase/program/read select
[0232] A first terminal of NRAM™ cell 2640 select FET T8 is connected to a first terminal of NV NT switch (or NV NT block) SW2 at common node N6. A first terminal of mode select FET TlO is connected to common node N6. A second terminal of FET T8 is connected to node N2 of flip flop 2610 shown in Figure 26 which corresponds to flip flop 2510 in Figure 25. Mode select line VMI is connected to the gate of FET T8 and controls the ON/OFF state of FET T8. A second terminal of FET TlO is connected to a reference such as ground (zero volts) and mode select line VM2 is connected to the gate of FET TlO and controls the ON/OFF state of FET TlO. A second terminal of NV NT switch SW2 is connected to erase/program/read select line VEPR. [0233] An NSRAM memory (not shown) is formed in a conventional manner by a combination of rows and columns of NSRAM cells 2600 interconnected by shared approximately orthogonal word and bit lines. In operation, mode select line VMI is held at a low voltage such as ground and FET T7 and FET T8 are in an OFF state and shadow devices pairs formed by NRAM™ cell 2630 and 2640 are decoupled from flip flop 2610. Typically mode select line VM2 is also held at ground turning FETs T9 and TlO OFF and program/erase/read line VEPR is at a low voltage such as ground. SRAM cells such as cell 2605 operate in a volatile mode with memory cycle times as fast as 150 ps for a CMOS technology at a 90 nm node. If SRAM power is to be removed or if power is about to be lost, then a store operation is performed in which FETs T7 and T8 are turned ON and the logic state of flip flop 2610 is transferred and stored in NRAM™ cell 2630 on NV NT switch (NV NT block) SWl and a complementary state is stored in NRAM™ cell 2640 on NV NT switch (NV NT block) SW2. Power may then be removed. The stored logic state may be recalled (restored) during power up in the following way. VEPR may be held at ground or may be ramped to a voltage such as VDD; SRAM cell 2605 voltage source is ramped to VDD- Flip flop 2610 assumes a state corresponding to the true and complement logic states stored in NRAM™ cells 2630 and 2640 and the logic state of SRAM cell 2605 prior to power shutdown is restored and SRAM memory operation resumes. Store and recall (restore) operations are described in more detail in US 7,245,520. [0234] The integration of nanotube-based logic and memory functions as described further above results in what may be referred to as instant-OFF and instant-ON operations. The store operation that precedes power shut down may be performed in microseconds or milliseconds, for example, resulting in what may be referred to as an instant-OFF operation in which logic states and operating data are preserved in by nonvolatile nanotube switches or blocks. The recall (restore) operation may be performed as part of a power- ON operation typically requiring microseconds or milliseconds, for example. Since logic states and data are restored within a power-ON time interval and operational with the system initialized to pre-power-OFF logic states and data, such recall (restore) operations may be referred to as instant-ON.
[0235] An erase operation may be performed just prior to a store operation or at any time when FETs T7 and T8 are in an OFF state. During an erase operation, mode select line VM2 is set at a high voltage such as 2.5 volts for example and FETs T9 and TlO are turned on grounding nodes N5 and N6, respectively. Then, VEPR applies one or more erase pulses with an amplitude in the range of 5 to 7 volts and rise times in the nanosecond range for example to a second terminal of NV NT switches SWl and SW2 and switches SWl and SW2 are switched to high resistance states such as IG Ohm or higher.
[0236] A program operation may be used store the logic content of flip flop 2610 on switches SWl and SW2 in a nonvolatile mode prior to the removal of power. FETs T9 and TlO are in an OFF state and NRAM™ cell 2630 select FET T7 and NRAM™ cell 2640 select FET T8 are activated to an ON state. In this example, NV NT switches SWl and SW2 are in a high resistance (OFF) state. Then, VEPR applies one or more program pulses with an amplitude in the range of 3 to 5 volts and rise times in the microsecond range for example to a second terminal of NV NT switches SWl and SW2. If flip flop 2610 node Nl is at a low voltage and node N2 is at a high voltage, then SWl is programmed to a low resistance value such as 100k Ohms for example and SW2 remains in a high resistance state such as IG Ohm. However, if flip flop 2610 node Nl is at a high voltage and node N2 is at a low voltage, then SWl is programmed remains at a resistance state such as IG Ohms for example and SW2 switches to a low resistance state such as Ik Ohm.
[0237] In a program operation to store the state of flip flop 2610, flip flops in some (or all) rows may transfer logic states to corresponding nonvolatile NRAM™ cells. However, program pulses may disturb some flip flops during the store operation. In order to minimize the risk of disturbing the state of flip flop 2610, transfer may be carried out one word line at a time for a word line within a subset of word lines corresponding to a subset of latches. In this case, the logic state of flip flop 2610 is read out and bit line BLl and BL2 are held at true and complement high/low or low/high values by corresponding sense amplifier/latches for each bit line pair such as bit lines BLl and BL2. Select transistors FETs T5 and T6 are in an ON state, bit line BL2 connected to node N4 holds node Nl at a low (or high) voltage and bit line BLl connected to node N3 holds node N2 at a high (or low) voltage. In this way, program currents flow to bit lines BLl or BL2 (whichever is at a low voltage) without disturbing the state of flip flop 2610. For unselected rows, select transistors corresponding to select transistor FETs T5 and T6 are in an OFF state so that corresponding flip flops are not disturbed.
[0238] In addition to fast programmable logic reconfiguration, NSRAMs may also be used to form high speed embedded nonvolatile caches used in conjunction with NFPGAs, CPUs, ASICs, analog, and other functions all integrated on the same chip. Nanotube Configurable Logic Blocks (NCLB) & Nanotube Programmable Switch Matrix (NPSM) using Nonvolatile NS/R-Controlled Select Circuit to form Nonvolatile Nanotube Configuration Control Register (NCCR)
[0239] Various nonvolatile nanotube configuration control registers (NCCRs) are combined with CLB and PSM functions to form NCLB and NPSM building blocks that may be integrated to form NFPGA logic as described with respect to Figures 19-26 illustrated further below. Nonvolatile nanotube configuration control registers (NCCRs) are form using nonvolatile nanotube shift register (N-S/R) stages.
NFPGA Function and Operation using Nonvolatile Nanotube Configuration Control Registers (NCCRs)
[0240] Since FPGA architectures are dominated by interconnects, it may be advantageous to use configuration control registers to provide configuration control bits, typically one control bit per shift register stage (also referred to as a shift register cell). This is because the number of shift register stages and therefore the number of configuration control bits may be increased to any size while keeping the number of inputs the same. In the case of NRAM™s for example, as array size increases to provide more configuration control bits, decoder size increases requiring a larger number of inputs such as inputs illustrated in Figures 22 A and 22B. Configuration control registers may also be used instead of nonvolatile nanotube select circuits illustrated in Figures 1 IB and 12A for example. Configuration control registers formed using typical shift register (S/R) stages are volatile in operation; that is the logic states of the shift register stages are lost when power is lost or removed. In order to replace nonvolatile NRAM™s and nonvolatile nanotube select circuits described further above in various NFPGA architectures, configuration control registers need to be nonvolatile as well. US Patent No. 7,394,687 and US Patent Application No. 12/165007, filed on Jun. 30, 2008, entitled "Non- Volatile Shadow Latch Using a Nanotube Switch," herein incorporated by reference teaches the addition of NV NT switches to shift register stages (cells), typically one NV NT switch per stage, to form nonvolatile nanotube shift registers (NS/Rs) that are used to form nonvolatile nanotube configuration control registers (NCCRs) which operate in a high speed volatile mode at clock rates of 6.7 GHz at 2.5 volts for a CMOS 90 nm technology node for example, or at slower speeds but lower power at a lower voltages such as 1 volt for example. Nonvolatile NCCRs can store information in NV NT switches in the absence of power. When power is restored, the high resistance or low resistance states of each NV NT switch is used to restore individual corresponding NS/R stages to the logic states prior to power shut-down or loss. The NS/R registers described in US Patent No. 7,394,687 and US Patent Application No. 12/165007, filed on Jun. 30, 2008, entitled "Non- Volatile Shadow Latch Using a Nanotube Switch," may provide nonvolatile configuration control bits, one per stage, by connecting a wire directly to the output of each output stage. Alternatively, an FET may be used as a transfer gate to provide configuration control bits when the FET is in an ON state.
[0241] It is desirable for individual NS/R stages to be as small as possible for greater layout density. A configuration control register of greater density involving fewer numbers of FETs and interconnections per stage than those described in US Patent No. 7,394,687 and US Patent Application No. 12/165007, filed on Jun. 30, 2008, entitled "Non- Volatile Shadow Latch Using a Nanotube Switch," is shown in US Patent Application No. 11/835583, filed on Aug. 8, 2007, entitled "Latch Circuits and Operation Circuits Having Scalable Nonvolatile Nanotube Switches as Electronic Fuse Replacement Elements," US Patent Application No. 11/835612, filed on Aug. 8, 2007, entitled "Nonvolatile Resistive Memories Having Scalable Two-Terminal Nanotube Switches,"which is herein incorporated by reference and illustrated in Figure 27. Figure 27 corresponds to similar configuration control registers described in US Patent Re. 34,363.
[0242] Figure 27 illustrates a schematic representation of a configuration control register 2700 showing two stages of a multistage shift register. Although configuration control register 2700 shows two shift register cells, a configuration control register contains as many cells as required to configure the logic element. For example, if seven configuration control bits (logic states) are required as illustrated in Figure 23, then a seven stage configuration control register is used; if six configuration control bits (logical states) are required as illustrated in Figure 24, then a six stage configuration control register is used. A basic shift register cell includes transfer device 2712-1 in series with inverter INV-I ', in series with transfer device 2716-1 which is in series with inverter INV- 1. The output Ql of inverter INV-I is fed back to the input of inverter INV-I ' through transfer device 2714-1 enabling shift register CELL-2710-1 (shift register stage 1) to store a logic state as long as a power source is maintained (volatile shift register operation) to configuration control register 2700 and the HOLD voltage is held high. The output Ql of inverter INV-I also connects to the input of shift register CELL-2710-2, which is identical to shift register cell 1, and also connects to one terminal of transfer device 2718-1. The output Q 1 ' of inverter INV- 1 ' connects to the input of transfer device 2716-1. Two non- overlapping clocks Ψl and Ψ2 connect to control gates of transfer devices 2712-1 and 2716-1, respectively, and to corresponding transfer devices in other shift register cells. Transfer device 2714-1 and corresponding devices in other cells enable or disable the feedback path between INV-I output and INV-I ' input depending on the state of the HOLD input. Desired configuration control bit (logic state) data is transmitted to configuration control register 2700 by a LOGIC INPUT signal until each shift register cell (or stage) such as shift register CELL-2710-1 and CELL-2710-2 store the desired bit (logic state). Then APPLY control input is activated, and outputs Ql and Q2 supply outputs configuration control bits such as Cl and C2 that may be applied to reconfigurable logic functions such as illustrated in Figure 23 and programmable switch matrices such as illustrated in Figure 24. Outputs Q2 and Q2' in shift register CELL- 2710-2 corresponds to outputs Ql and Ql ' in shift register CELL-2710-1, respectively, described further above.
[0243] In operation, the entire configuration control register 2700 may be set to a high or low voltage by setting Ψl and Ψ2 voltage high and HOLD voltage low. Alternatively, with HOLD set at a high voltage, clocks Ψl and Ψ2 may be used to transfer a logic pattern of 1 's and O's into the shift register to store desired bit (logic states) in each of the shift register stages. Enough time must be allowed for the input signal LOGIC INPUT to propagate the entire length of configuration control register 2700. At that point in time, APPLY may transition to a positive voltage and outputs Ql and Q2 supply configuration control bits (logic states) C 1 and C2 that may be applied to reconfigurable logic functions such as illustrated in Figure 23 and programmable switch matrices such as illustrated in Figure 24. [0244] It is desirable to have nonvolatile configuration control registers for NFPGA applications. One approach is to use the nonvolatile shift registers described in US Patent No. 7,394,687 and US Patent Application No. 12/165007, filed on Jun. 30, 2008, entitled "Non- Volatile Shadow Latch Using a Nanotube Switch." Such nonvolatile shift registers are compatible with high speed operation and may also be used to hold logic states when power is removed or lost and then logic states recalled (restored) when power is restored as described in US Patent No. 7,394,687 and US Patent Application No. 12/165007, filed on Jun. 30, 2008, entitled "Non- Volatile Shadow Latch Using a Nanotube Switch." However, since in some NFPGA architectures it is desirable for individual NS/R stages to be as small as possible for greater layout density, adding a coupling circuit and one NV NT switch (or NV NT block) to each stage of a configuration control register that uses a fewer number of FETs and interconnections per stage than those described in US Patent No. 7,394,687 and US Patent Application No. 12/165007, filed on Jun. 30, 2008, entitled "Non- Volatile Shadow Latch Using a Nanotube Switch" is desirable. Figure 28 illustrates nonvolatile nanotube configuration control register (NCCR) 2800 formed using two NS/R stages in which one coupling circuit per stage and one NV NT switch (or NV NT block) per stage is added to configuration control register 2700 illustrated in Figure 27. [0245] Figures 28A-28C illustrate an embodiment of a two stage nonvolatile nanotube configuration control register (NCCR) 2800 formed using nonvolatile nanotube shift register (NS/R) stages 2805-1 and 2805-2. NS/R stage 2805-1 includes CELL-2810-1 which corresponds to CELL-2710-1 in Figure 27 with NV NT switch (or NV NT block) 2820-1 coupled to CELL-2810-1 by coupling circuit 2830-1. Optional complementary outputs Cl ' and C2' are included since the outputs of inverters INV-I and INV-I ' are complementary. However, complementary outputs may instead be generated from a single output such as C 1 by adding an inverter to the output C 1 as illustrated in Figure 22A for example. NS/R stage 2805-2, with input driven by the output of NS/R stage 2805-1, includes CELL-2810-2 which corresponds to CELL-2710-2 in Figure 27 with NV NT switch (or NV NT block) 2820-2 coupled to CELL-2810-2 by coupling circuit 2830-2. NS\R stages 2805-1 and 2805-2 are identical so the NS\R stage 2805-1 description applied to NSYR stage 2805-2 as well or to any additional stages (not shown) that may be added. NV NT switch 2820-1 operation corresponds to the operation of NV NT switch 1110 illustrated in Figure 1 IA or NV NT switch NTOO illustrated in Figure 19. [0246] The CELL-2810- 1 schematic and operation correspond to CELL-2710- 1 schematic and operation described further above with respect to Figure 27. Restore FET 2840 ON and OFF states are controlled by a restore voltage (pulse) applied to the gate of restore FET 2840 by wire 2842 which also controls other restore FET devices. A first terminal of restore FET 2840 is connected to node Nl of CELL-2810-1 by wire 2845. Restore FET 2840 is activated (turned ON) during a recall (restore operation) and is used to transfer the stored high or low resistance state corresponding to a bit (logic) state to CELL-2810-1. A second terminal of restore FET 2840 is connected to terminal Tl of NV NT switch 2820-1. Terminal T2 of NV NT switch 2820-1 is connected to common wire 2860 which supplies erase, program, or read pulses VE/P/R to NV NT switch 2820-1 and other NV NT switches. Erase/program FET 2850 ON and OFF states are controlled by an erase/program voltage (pulse) applied to the gate of erase/program FET 2850 by wire 2852 which also controls other erase/program FET devices. Erase/program FET 2850 has a first terminal connected to NV NT switch terminal Tl and a second terminal to common node N2 which is also connected to a first terminal of FET 2855. A second terminal of FET 2855 is connected to a reference voltage such as ground. The gate of FET 2855 is connected to output Ql of CELL-2810-1 by wire 2858. If Ql is at a high voltage such as 2.5 volts for example, then FET 2855 is ON and node N2 is at ground. However, if Ql is a low voltage such as ground (zero volts) then FET 2855 is OFF and there is no continuous path between node N2 and ground. The ON or OFF state of FET 2855 determines whether NV NT switch 2820-1 is programmed to a high or low resistance state which is then stored in a nonvolatile mode.
[0247] In operation, NV NT switches such as NV NT switch 2820-1 are in ON or low resistance state as fabricated although some process methods may be used that result in normally OFF states after fabrication as described with respect to US Patent Application No. 61/074241, filed on Jun. 20, 2008, entitled "NRAM Arrays with Nanotube Blocks, Nanotube Traces, and Nanotube Planes and Methods of Making Same." NV NT switch 2820-1 acts as a shadow nonvolatile storage device which is only activated in a store (save) operation or in a recall (restore) operation. The operation of nonvolatile nanotube configuration control register (NCCR) 2800 is similar to operation of nonvolatile nanotube registers described with respect to US Patent No. 7,394,687 and US Patent Application No. 12/165007, filed on Jun. 30, 2008, entitled "Non- Volatile Shadow Latch Using a Nanotube Switch," and corresponding NV NT switches described in US Patent Application No. 11/280786, filed on Nov. 15, 2005, entitled "Two-Terminal Nanotube Devices and Systems and Methods of Making," US Patent Application No. 11/274967, filed on Nov. 15, 2005, entitled "Memory Arrays Using Nanotube Articles with Reprogrammable ResistanceUS Patent Application No. 11/835583, filed on Aug. 8, 2007, entitled "Latch Circuits and Operation Circuits Having Scalable Nonvolatile Nanotube Switches as Electronic Fuse Replacement Elements," US Patent Application No. 11/835612, filed on Aug. 8, 2007, entitled "Nonvolatile Resistive Memories Having Scalable Two-Terminal Nanotube Switches," US Patent Application Nos. 11/835651 , 11/835759, 11/835845, 11/835852, 11/835856, 11/835865, each filed on Aug. 8, 2007, entitled "Nonvolatile Nanotube Diodes and Nonvolatile Nanotube Blocks and Systems Using Same and Methods of Making Same," and US Patent Application No. 11/835613, filed on Aug. 8, 2007, entitled "Memory Elements and Cross Point Switches and Arrays of Same Using Nonvolatile Nanotube Blocks," as well as the operation of switch 1110 in Figure 1 IA, switches 1155 and 1160 illustrated in Figure 1 IB and switch NTOO illustrated in Figure 19 for example.
[0248] Various operating modes may be used. In this example, NV NT switches such as NV NT switch 2820-1 are assumed to be ON as fabricated. So first, an erase operation is performed on NV NT switches such as NV NT switch 2820-1 resulting in a transition from an ON low resistance state such as 100k Ohms for example to an OFF high resistance state of IG Ohm or higher. In an erase operation, the HOLD voltage is set to a low voltage such as ground and Ψl and Ψ2 voltages are set to a high voltage such as 2.5 volts for example. With LOGIC INPUT held at a high voltage such as 2.5 volts Ql is held at 2.5 volts and turns erase/program FET 2855 ON. ERASE/PROGRAM voltage is set to a high voltage such as 2.5 volts for example and erase/program FET 2850 is turned ON establishing a conductive path between NV NT switch terminal Tl and ground. RESTORE voltage is held at a low voltage such as ground so that restore FET 2840 is OFF. Then, VE/P/R provides erase pulses to node T2 of NV NT switch 2820-1. One or more pulses with voltages in the 5 to 7 volt range and rise times in the nanosecond range may be used for example. NV NT switch 2820-1 transitions from an ON state to an OFF state (low to high resistance state). Corresponding NV NT switch 2820-2 also transitions to an OFF state as illustrated by nonvolatile nanotube configuration control register (NCCR) 2800' in Figure 28B.
[0249] Alternatively, another FET (not shown) may have a first terminal connected to node N2, a second terminal connected to a voltage source which may be pulsed or may be at ground, and a gate controlled by a second erase/program line. In this way, an erase operation for example, may be performed independently of CELL-2810-1. Various coupling circuit examples are described in US Patent No. 7,394,687 and US Patent Application No. 12/165007, filed on Jun. 30, 2008, entitled "Non- Volatile Shadow Latch Using a Nanotube Switch."
[0250] NCCR 2800' is the same circuit as NCCR 2800 except that NV NT switches 2820-1 and 2820-2 are in OFF or high resistance states. CELL-2810-1 and CELL-2810-2 and other stages (not shown) may operate in a volatile mode whenever coupling circuits 2830-1 and 2830-2 decouple corresponding NV NT switches 2820-1 and 2820-2 from CELL-2810-1 and CELL-2810-2, respectively, regardless of the low or high resistance states of NV NT switches. Coupling circuit 2830-1, which is the same as coupling circuit 2830-2, maintains an OFF state by keeping restore FET 2840 and erase/program FET 2850 each in an OFF state with low RESTORE and ERASE/PROGRAM voltages, respectively. Nonvolatile nanotube configuration control registers are operated in a volatile mode with high voltages such as 2.5 volts supplied to inverters INV-I and INV-I ' for example.
[0251] In a store (save) operation, NV NT switches are programmed from a high resistance state to a low resistance state or left in a high resistance state. With restore FET 2840 in an OFF state, erase/program FET 2850 is turned ON. IfFET 2855 is an ON state because Ql is at a high voltage such 2.5 volts, then a continuous path exists between NV NT switch 2820-1 terminal Tl and ground. If one or more programming pulses VE/P/R of 3-5 volts and microsecond rise times are applied to terminal T2 of NV NT switch 2820-1, then NV NT switch 2820-1 transitions from a high resistance state such as IG Ohm to a low resistance state such as 100k Ohms for example. However, if FET 2855 is OFF because Ql is at a low voltage such as ground, then there is no path between NV NT 2820-1 terminal Tl and ground and NV NT switch 2820-1 remains in a high resistance state. After the state of NV NT switch 2820-1 and other NV NT switches such as NV NT switch 2820-1 have been programmed, then power may be removed and the logic state of CELL-2810-1 and CELL-2810-2 are preserved in a nonvolatile mode as corresponding high or low resistance states. In this example, nonvolatile nanotube configuration control register 2800" shows NV NT switch 2820-1 in a high resistance state and NV NT switch 2820-2 programmed to a low resistance state as illustrated in Figure 28C. Note that NCCR 2800, NCCR 2800', and NCCR 2800" all correspond to the same circuit with NV NT switches in various combinations of low (ON) and high (OFF) resistance states. [0252] In a recall (restore) operation, erase/program FET 2850 is held in OFF state. A voltage is applied to CELL-2810-1 (typically, a voltage source is ramped to 2.5 volts for example). With HOLD, Ψl, and Ψ2 at high voltages such as 2.5 volts and a LOGIC INPUT of zero volts, node Nl is held at ground by output Ql of INV-I. Then Ψl voltage transitions to a low voltage state and decouples all individual register stages such as CELL-2810-1 from one another and voltage. With VE/P/R having transitioned to a recall (restore) voltage value applied to wire 2860 and to node T2, restore FET 2840 is turned ON and a conductive path is established between NV NT switch 2820-1 terminal Tl and CELL 2810-1 node Nl. IfNV NT switch 2820-1 is in a low resistance (ON) state, then node Nl is forced to a high voltage state such as 2.5 volts as does the output Ql of INV-I. However, if NV NT switch 2820-1 is in a high resistance (OFF) state, then Nl remains at a low voltage. Restore FET 2840 is turned OFF and the recall (restore) operation is finished. US Patent No. 7,394,687 and US Patent Application No. 12/165007, filed on Jun. 30, 2008, entitled "Non- Volatile Shadow Latch Using a Nanotube Switch" provides various store (save) and recall (restore) examples.
NCLB Function and Operation using Nonvolatile Nanotube Configuration Control Registers (NCCRs)
[0253] Nonvolatile nanotube configuration control registers (NCCBs) may be used to generate nonvolatile configuration control bits (logic states) for NCLB functions. For example, a NCCB may be used instead of nonvolatile nanotube select circuits illustrated by NCLB 1400 shown in Figure 14A. Also, a NCCB may used instead of NRAM™- based nonvolatile configuration control bits (logic states) illustrated by NCLB 2300 shown in Figure 23.
[0254] Figure 29 illustrates an embodiment NCLB 2900 with nonvolatile nanotube configuration control register (NCCB) 2905 generating nonvolatile configuration control bits (logic states) CO, CO', ...,C6 and C6'). NCLB 2900 uses seven NS/R stages CELL 2910- 1 , CELL 2910-2, ...., CELL 2910-7 to provide the required configuration control bits. The operation of NCCR 2900 corresponds to the operation described with respect to NCCR 2800, 2800', and 2800" in Figures 28A-28C. Reconfigurable logic 2915 is the same as shown in Figures 14 and 23 and described in detail further above with respect to these figures. Figure 14B shows logic configurations corresponding to various configuration control bit combinations; and Figure 15 illustrates equivalent circuits as described further above.
NPSM Function and Operation using Nonvolatile Nanotube Configuration Control Registers (NCCRs)
[0255] Nonvolatile nanotube configuration control registers (NCCBs) may be used to generate nonvolatile configuration control bits (logic states) for NPSM functions. For example, a NCCB may be used instead of nonvolatile nanotube select circuits illustrated by NPSM 1600 shown in Figure 16. Also, a NCCB may used instead of NRAM™-based nonvolatile configuration control bits (logic states) illustrated by NPSM 2400 shown in Figure 24.
[0256] Figure 30 illustrates an embodiment NPSM 3000 with nonvolatile nanotube configuration control register (NCCB) 3005 generating nonvolatile configuration control bits (logic states) Cl, C2, ...,C6). NPSM 3000 uses six NS/R stages CELL 3010-1, CELL 3010-2, ...., CELL 3910-6 to provide the required configuration control bits. The operation of NCCR 3000 corresponds to the operation described with respect to NCCR 2800, 2800', and 2800" in Figures 28A-28C. Programmable switch matrix 3015 is the same as shown in Figures 16 and 24 and described in detail further above with respect to these Figures. Incorporated Patent References
[0257] The following commonly-owned patent references, referred to herein as "incorporated patent references," describe various techniques for creating nanotube elements (nanotube fabric articles and switches), e.g., creating and patterning nanotube fabrics, logic circuits formed therefrom, devices and structures using nanotube articles and switches, etc. and are incorporated by reference in their entireties:
US Patent Application No. 11/280786, filed on Nov. 15, 2005, entitled TWO- TERMINAL NANOTUBE DEVICES AND SYSTEMS AND METHODS OF MAKING SAME;
US Patent Application No. 11/835583, filed on Aug. 8, 2007, entitled LATCH CIRCUITS AND OPERATION CIRCUITS HAVING SCALABLE NONVOLATILE NANOTUBE SWITCHES AS ELECTRONIC FUSE REPLACEMENT ELEMENTS;
US Patent Application No. 11/835612, filed on Aug. 8, 2007, entitled
NONVOLATILE RESISTIVE MEMORIES HAVING SCALABLE TWO- TERMINAL NANOTUBE SWITCHES;
US Patent Application No. 11/835651, filed on Aug. 8, 2007, entitled
NONVOLATILE NANOTUBE DIODES AND NONVOLATILE NANOTUBE BLOCKS AND SYSTEMS USING SAME AND METHODS OF MAKING SAME;
US Patent Application No. 11/835759, filed on Aug. 8, 2007, entitled
NONVOLATILE NANOTUBE DIODES AND NONVOLATILE NANOTUBE BLOCKS AND SYSTEMS USING SAME AND METHODS OF MAKING SAME;
US Patent Application No. 11/835845, filed on Aug. 8, 2007, entitled
NONVOLATILE NANOTUBE DIODES AND NONVOLATILE NANOTUBE BLOCKS AND SYSTEMS USING SAME AND METHODS OF MAKING SAME; Patent Application No. 11/835852, filed on Aug. 8, 2007, entitled
NONVOLATILE NANOTUBE DIODES AND NONVOLATILE NANOTUBE BLOCKS AND SYSTEMS USING SAME AND METHODS OF MAKING SAME; Patent Application No. 11/835856, filed on Aug. 8, 2007, entitled
NONVOLATILE NANOTUBE DIODES AND NONVOLATILE NANOTUBE BLOCKS AND SYSTEMS USING SAME AND METHODS OF MAKING SAME; Patent Application No. 11/835865, filed on Aug. 8, 2007, entitled
NONVOLATILE NANOTUBE DIODES AND NONVOLATILE NANOTUBE BLOCKS AND SYSTEMS USING SAME AND METHODS OF MAKING SAME; Patent Application No. 11/835613, filed on Aug. 8, 2007, entitled MEMORY ELEMENTS AND CROSS POINT SWITCHES AND ARRAYS OF SAME USING NONVOLATILE NANOTUBE BLOCKS; Patent Application No. 61/039204, filed on Mar. 25, 2008, entitled CARBON NANOTUBE-BASED NEURAL NETWORKS AND METHODS OF MAKING AND USING SAME; Patent No. 7,394,687, filed on Nov. 15, 2005, entitled NON-VOLATILE SHADOW LATCH USING A NANOTUBE SWITCH; Patent Application No. 12/165007, filed on Jun. 30, 2008, entitled NONVOLATILE SHADOW LATCH USING A NANOTUBE SWITCH' Patent Application No. 11/274967, filed on Nov. 15, 2005, entitled MEMORY ARRAYS USING NANOTUBE ARTICLES WITH REPROGRAMMABLE RESISTANCE; Patent No. 7,115,901, filed on Jun. 9, 2004, entitled NON-VOLATILE
ELECTROMECHANICAL FIELD EFFECT DEVICES AND CIRCUITS USING SAME AND METHODS OF FORMING SAME; Patent No. 7,268,044, filed on Oct. 2. 2006, entitled NON- VOLATILE
ELECTROMECHANICAL FIELD EFFECT DEVICES AND CIRCUITS USING SAME AND METHODS OF FORMING SAME; Patent Application No. 11/731946, filed on Apr. 2, 2007, entitled NONVOLATILE ELECTROMECHANICAL FIELD EFFECT DEVICES AND CIRCUITS USING SAME AND METHODS OF FORMING SAME; Patent No. 6,982,903, filed on Jun. 9, 2004, entitled FIELD EFFECT DEVICES HAVING A SOURCE CONTROLLED VIA A NANOTUBE SWITCHING ELEMENT; Patent No. 7,280,394, filed on Jun. 9, 2004, entitled FIELD EFFECT DEVICES HAVING A DRAIN CONTROLLED VIA A NANOTUBE SWITCHING ELEMENT; Patent No. 7,211,854, filed on Jun. 9, 2004, entitled FIELD EFFECT DEVICES HAVING A GATE CONTROLLED VIA A NANOTUBE SWITCHING ELEMENT; Patent Application No. 11/742290, filed on Apr. 30, 2007, entitled FIELD EFFECT DEVICES HAVING A GATE CONTROLLED VIA A NANOTUBE SWITCHING ELEMENT; Patent No. 7,301,802, filed on Jun. 9, 2004, entitled CIRCUIT ARRAYS HAVING CELLS WITH COMBINATIONS OF TRANSISTORS AND NANOTUBE SWITCHING ELEMENTS; Patent No. 7,112,493, filed on Jun. 9, 2004, entitled METHOD OF MAKING NON- VOLATILE FIELD EFFECT DEVICES AND ARRAYS OF SAME; Patent Application No. 11/527127, filed on Sep. 26, 2006, entitled METHOD OF MAKING NON- VOLATILE FIELD EFFECT DEVICES AND ARRAYS OF SAME; Patent No. 7,115,960, filed on Aug. 13, 2004, entitled NANOTUBE-BASED SWITCHING ELEMENTS; Patent Application No. 11/542524, filed on Oct. 3, 2006, entitled NANOTUBE- BASED SWITCHING ELEMENTS; Patent No. 6,990,009, filed on Aug. 13, 2004, entitled NANOTUBE-BASED SWITCHING ELEMENTS WITH MULTIPLE CONTROLS; Patent No. 7,339,401, filed on Aug. 4, 2005, entitled NANOTUBE-BASED SWITCHING ELEMENTS WITH MULTIPLE CONTROLS; Patent Application No. 11/971476, filed on Jan. 9, 2008, entitled NANOTUBE- BASED SWITCHING ELEMENTS WITH MULTIPLE CONTROLS; Patent No. 7,228,970, filed on Sep. 24, 2001, entitled INTEGRATED NANOTUBE AND FIELD EFFECT SWITCHING DEVICE; Patent Application No. 11/929076, filed on Oct. 30, 2007, entitled INTEGRATED NANOTUBE AND FIELD EFFECT SWITCHING DEVICE; Patent No. 7,329,931, filed on Jan. 10, 2005, entitled RECEIVER CIRCUIT USING NANOTUBE-BASED SWITCHES AND TRANSISTORS; Patent Application No. 12/029118, filed on Feb. 11, 2008, entitled RECEIVER CIRCUIT USING NANOTUBE-BASED SWITCHES AND TRANSISTORS; Patent No. 7,330,709, filed on Jan. 10, 2005, entitled RECEIVER CIRCUIT USING NANOTUBE-BASED SWITCHES AND LOGIC; Patent Application No. 12/029661, filed on Feb. 12, 2008, entitled RECEIVER CIRCUIT USING NANOTUBE-BASED SWITCHES AND LOGIC; Patent No. 7,164,744, filed on Jan. 10, 2005, entitled NANOTUBE-BASED LOGIC DRIVER CIRCUITS; Patent No. 7,265,575, filed on Jan. 16, 2007, entitled NANOTUBE-BASED LOGIC DRIVER CIRCUITS; Patent Application No. 11/897812, filed on Aug. 31 , 2007, entitled NANOTUBE- BASED LOGIC DRIVER CIRCUITS; Patent No. 7,161,403, filed on Jan. 16, 2007, entitled STORAGE ELEMENTS USING NANOTUBE SWITCHING ELEMENTS; Patent No. 7,405,605, filed on Jan. 9, 2007, entitled STORAGE ELEMENTS USING NANOTUBE SWITCHING ELEMENTS; Patent Application No. 12/147315, filed on Jun. 26, 2008, entitled STORAGE ELEMENTS USING NANOTUBE SWITCHING ELEMENTS; Patent No. 7,167,026, filed on Jan. 10, 2005, entitled TRI-STATE CIRCUIT USING NANOTUBE SWITCHING ELEMENTS; Patent No. 7,288,961, filed on Jan. 22, 2007, entitled TRI-STATE CIRCUIT USING NANOTUBE SWITCHING ELEMENTS; Patent Application No. 11/928538, filed on Oct. 30, 2007, entitled TRI-STATE CIRCUIT USING NANOTUBE SWITCHING ELEMENTS; Patent No. 6,706,402, filed on Apr. 23, 2002, entitled NANOTUBE FILMS AND ARTICLES; Patent No. 6,942,921, filed on Feb. 11, 2004, entitled NANOTUBE FILMS AND ARTICLES; Patent Application No. 10/774682, filed on Feb. 9, 2004, entitled NANOTUBE FILMS AND ARTICLES; Patent Application No. 11/111582, filed on Apr. 21, 2005, entitled NANOTUBE FILMS AND ARTICLES; Patent No. 6,835,591, filed on Apr. 23, 2002, entitled METHODS OF NANOTUBE FILMS AND ARTICLES; Patent No. 7,264,990, filed on Dec. 13, 2004, entitled METHODS OF NANOTUBE FILMS AND ARTICLES; Patent No. 7,335,528, filed on Dec. 8, 2004, entitled METHODS OF NANOTUBE FILMS AND ARTICLES; US Patent Application No. 10/341130, filed on Jan. 13, 2003, entitled CARBON NANOTUBE FILMS, LAYERS, FABRICS, RIBBONS, ELEMENTS AND ARTICLES; and
US Patent Application No. 12/486,602, filed on Jun. 17, 2009, entitled NRAM ARRAYS WITH NANOTUBE BLOCKS, NANOTUBE TRACES, AND NANOTUBE PLANES AND METHODS OF MAKING SAME.
[0258] The invention may be embodied in other specific forms without departing from the spirit or essential characteristics thereof. The present embodiments are therefore to be considered in respects as illustrative and not restrictive.

Claims

What is claimed is:
1. A programmable nonvolatile nanotube select circuit comprising: a first two-terminal nonvolatile nanotube switch and a second two-terminal nonvolatile nanotube switch, wherein each of the first and second two-terminal nonvolatile nanotube switches comprises a first terminal and a second terminal,
wherein the first and second terminals of the first nonvolatile nanotube switch are in contact with opposite ends of a first nanotube element and the first and second terminals of the second nonvolatile nanotube switch are in contact with opposite ends of a second nanotube element, and the second terminal of the first nonvolatile nanotube switch and the second terminal of the second nonvolatile nanotube switch share a common node; and a field effect transistor (FET) having a drain region, a source region, a channel region positioned between the drain and source regions, and a gate node in proximity to the channel region, wherein the gate node modulates the conductivity of the channel region and wherein the drain region of the FET is electrically coupled to the common node.
2. The programmable nonvolatile nanotube select circuit of claim 1 wherein the field effect transistor is a nanotube field effect transistor.
3. A programmable nanotube logic circuit comprising: a programmable nonvolatile nanotube select circuit comprising: a first two-terminal nonvolatile nanotube switch and a second two- terminal nonvolatile nanotube switch, wherein each of the first and second two-terminal nonvolatile nanotube switches comprises a first terminal and a second terminal, wherein the first and second terminals of the first nonvolatile nanotube switch are in contact with opposite ends of a first nanotube element and the first and second terminals of the second nonvolatile nanotube switch are in contact with opposite ends of a second nanotube element, and the second terminal of the first nonvolatile nanotube switch and the second terminal of the second nonvolatile nanotube switch share a common node; and a field effect transistor (FET) having a drain region, a source region, a channel region positioned between the drain and source regions, and a gate node in proximity to the channel region, wherein the gate node modulates the conductivity of the channel region and wherein the drain region of the FET is electrically coupled to the common node; a Boolean logic circuit comprising at least one input and an output wherein a first input of the at least one inputs is electrically coupled to the common node of the programmable nonvolatile nanotube select circuit.
4. A programmable nanotube circuit comprising: a programmable nonvolatile nanotube select circuit comprising: a first two-terminal nonvolatile nanotube switch and a second two- terminal nonvolatile nanotube switch, wherein each of the first and second two-terminal nonvolatile nanotube switches comprises a first terminal and a second terminal, wherein the first and second terminals of the first nonvolatile nanotube switch are in contact with opposite ends of a first nanotube element and the first and second terminals of the second nonvolatile nanotube switch are in contact with opposite ends of a second nanotube element, and the second terminal of the first nonvolatile nanotube switch and the second terminal of the second nonvolatile nanotube switch share a common node; and a field effect transistor (FET) having a drain region, a source region, a channel region positioned between the drain and source regions, and a gate node in proximity to the channel region, wherein the gate node modulates the conductivity of the channel region and wherein the drain region of the FET is electrically coupled to the common node; a transfer device comprising an input, an output, and a control terminal wherein the control terminal is electrically coupled to the common node of the programmable nonvolatile nanotube select circuit to enable transfer of a signal at the input of the transfer device to the output of the transfer device.
5. A nonvolatile nanotube configurable logic circuit comprising: a first, second and third plurality of input terminals and at least an output terminal; a plurality of programmable nonvolatile nanotube select circuits, each nonvolatile nanotube select circuit comprising: a first two-terminal nonvolatile nanotube switch and a second two- terminal nonvolatile nanotube switch, wherein each of the first and second two-terminal nonvolatile nanotube switches comprises a first terminal and a second terminal, wherein the first and second terminals of the first nonvolatile nanotube switch are in contact with opposite ends of a first nanotube element and the first and second terminals of the second nonvolatile nanotube switch are in contact with opposite ends of a second nanotube element, and the second terminal of the first nonvolatile nanotube switch and the second terminal of the second nonvolatile nanotube switch share a common node; and a field effect transistor (FET) having a drain region, a source region, a channel region positioned between the drain and source regions, and a gate node in proximity to the channel region, wherein the gate node modulates the conductivity of the channel region and wherein the drain region of the FET is electrically coupled to the common node; wherein the first plurality of input terminals is electrically coupled to the first terminals of the nonvolatile nanotube switches and the second plurality of terminals are electrically coupled to the gate regions of the nonvolatile nanotube switches; a first plurality of transfer devices electrically coupled to the signals on the third plurality of input terminals, the first plurality of transfer devices also electrically coupled to the signals on the common nodes of the nonvolatile nanotube switches; a second plurality of transfer devices electrically coupled to the complementary signals on the third plurality of input terminals, the second plurality of transfer devices also electrically coupled to the complementary signals on the common nodes of the nonvolatile nanotube switches; and wherein the signals on the first plurality of input terminals are able to configure the first plurality of transfer devices and the second plurality of transfer devices to implement a plurality of Boolean logic functions at the output terminal.
6. A nonvolatile nanotube programmable switch matrix comprising: a first, second and third plurality of terminals; a plurality of programmable nonvolatile nanotube select circuits, each nonvolatile nanotube select circuit comprising: a first two-terminal nonvolatile nanotube switch and a second two- terminal nonvolatile nanotube switch, wherein each of the first and second two-terminal nonvolatile nanotube switches comprises a first terminal and a second terminal, wherein the first and second terminals of the first nonvolatile nanotube switch are in contact with opposite ends of a first nanotube element and the first and second terminals of the second nonvolatile nanotube switch are in contact with opposite ends of a second nanotube element, and the second terminal of the first nonvolatile nanotube switch and the second terminal of the second nonvolatile nanotube switch share a common node; and a field effect transistor (FET) having a drain region, a source region, a channel region positioned between the drain and source regions, and a gate node in proximity to the channel region, wherein the gate node modulates the conductivity of the channel region and wherein the drain region of the FET is electrically coupled to the common node; wherein the first plurality of terminals are electrically coupled to the first terminals of the nonvolatile nanotube switches and the second plurality of terminals are electrically coupled to the gate regions of the nonvolatile nanotube switches; and a plurality of transfer devices electrically coupled to the common nodes of the programmable nonvolatile nanotube select circuits, the plurality of transfer devices also electrically coupled to the third plurality of terminals so as to provide routing between any two terminals of the third plurality of terminals.
7. A nanotube bi-directional buffer circuit comprising: a first and second bi-directional terminals; a first and second buffers, each buffer comprising an input, an output, and a transfer device; a programmable nonvolatile select circuit comprising: a first two-terminal nonvolatile nanotube switch and a second two- terminal nonvolatile nanotube switch, wherein each of the first and second two-terminal nonvolatile nanotube switches comprises a first terminal and a second terminal, wherein the first and second terminals of the first nonvolatile nanotube switch are in contact with opposite ends of a first nanotube element and the first and second terminals of the second nonvolatile nanotube switch are in contact with opposite ends of a second nanotube element, and the second terminal of the first nonvolatile nanotube switch and the second terminal of the second nonvolatile nanotube switch share a common node; and a field effect transistor (FET) having a drain region, a source region, a channel region positioned between the drain and source regions, and a gate node in proximity to the channel region, wherein the gate node modulates the conductivity of the channel region and wherein the drain region of the FET is electrically coupled to the common node; wherein the first bi-directional terminal is electrically coupled to the input of the first buffer and the output of the second buffer; wherein the second bi-directional terminal is electrically coupled to the input of the second buffer and the output of the first buffer; wherein the signal on the common node of the programmable nonvolatile nanotube select circuit is electrically coupled to the transfer device of the first buffer to enable signal flow from the second bi-directional terminal to the first bi-directional terminal; wherein the complementary signal on the common node of the programmable nonvolatile nanotube select circuit is electrically coupled to the transfer device of the second buffer to enable signal flow from the first bi-directional terminal to the second bidirectional terminal.
PCT/US2009/052977 2008-08-14 2009-08-06 Nonvolatile nanotube programmable logic devices and field programmable gate array WO2010019441A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US8882808P 2008-08-14 2008-08-14
US61/088,828 2008-08-14

Publications (1)

Publication Number Publication Date
WO2010019441A1 true WO2010019441A1 (en) 2010-02-18

Family

ID=41669206

Family Applications (2)

Application Number Title Priority Date Filing Date
PCT/US2009/052977 WO2010019441A1 (en) 2008-08-14 2009-08-06 Nonvolatile nanotube programmable logic devices and field programmable gate array
PCT/US2009/052975 WO2010019440A1 (en) 2008-08-14 2009-08-06 Nonvolatile nanotube programmable logic devices and nonvolatile nanoture field programmable gate arrays using same

Family Applications After (1)

Application Number Title Priority Date Filing Date
PCT/US2009/052975 WO2010019440A1 (en) 2008-08-14 2009-08-06 Nonvolatile nanotube programmable logic devices and nonvolatile nanoture field programmable gate arrays using same

Country Status (6)

Country Link
US (8) US8357921B2 (en)
EP (1) EP3125249B1 (en)
JP (2) JP6559099B2 (en)
CN (2) CN107004435B (en)
TW (3) TWI543363B (en)
WO (2) WO2010019441A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8188763B2 (en) 2008-08-14 2012-05-29 Nantero, Inc. Nonvolatile nanotube programmable logic devices and a nonvolatile nanotube field programmable gate array using same
CN113410097A (en) * 2021-06-10 2021-09-17 中国电子科技集团公司第二十九研究所 Matrix control circuit and method based on magnetic latching relay switch radio frequency front end

Families Citing this family (108)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006053321A2 (en) * 2004-11-12 2006-05-18 Ati Technologies, Inc. Controlling clock rate using configuration information
US8941094B2 (en) * 2010-09-02 2015-01-27 Nantero Inc. Methods for adjusting the conductivity range of a nanotube fabric layer
US9390790B2 (en) 2005-04-05 2016-07-12 Nantero Inc. Carbon based nonvolatile cross point memory incorporating carbon based diode select devices and MOSFET select devices for memory and logic applications
US7812635B1 (en) * 2006-05-08 2010-10-12 Altera Corporation Programmable logic device architecture with the ability to combine adjacent logic elements for the purpose of performing high order logic functions
WO2008126471A1 (en) * 2007-04-06 2008-10-23 Nec Corporation Semiconductor integrated circuit and its testing method
KR20100052080A (en) * 2008-11-10 2010-05-19 주식회사 하이닉스반도체 Resistive memory device and method for manufacturing the same
US8350360B1 (en) 2009-08-28 2013-01-08 Lockheed Martin Corporation Four-terminal carbon nanotube capacitors
KR101745749B1 (en) 2010-01-20 2017-06-12 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Semiconductor device
US8405189B1 (en) 2010-02-08 2013-03-26 Lockheed Martin Corporation Carbon nanotube (CNT) capacitors and devices integrated with CNT capacitors
WO2011103558A1 (en) * 2010-02-22 2011-08-25 Nantero, Inc. Logic elements comprising carbon nanotube field effect transistor (cntfet) devices and methods of making same
EP2363957A1 (en) * 2010-03-04 2011-09-07 Thomson Licensing Field programmable gate array
EP2363958A1 (en) * 2010-03-04 2011-09-07 Thomson Licensing Field programmable gate array
US8384429B2 (en) * 2010-04-16 2013-02-26 Infineon Technologies Ag Integrated circuit and method for manufacturing same
KR101638976B1 (en) * 2010-08-25 2016-07-13 삼성전자주식회사 Reconfigurable Logic Device
US8125824B1 (en) * 2010-09-02 2012-02-28 Lockheed Martin Corporation Nanotube random access memory (NRAM) and transistor integration
US8374020B2 (en) 2010-10-29 2013-02-12 Honeywell International Inc. Reduced switching-energy magnetic elements
US8427199B2 (en) 2010-10-29 2013-04-23 Honeywell International Inc. Magnetic logic gate
US8358149B2 (en) 2010-10-29 2013-01-22 Honeywell International Inc. Magnetic logic gate
US8358154B2 (en) 2010-10-29 2013-01-22 Honeywell International Inc. Magnetic logic gate
JP2012103772A (en) * 2010-11-08 2012-05-31 Renesas Electronics Corp Processor and image processing system using the same
US8735857B2 (en) * 2010-12-22 2014-05-27 Easic Corporation Via-configurable high-performance logic block architecture
FR2972079B1 (en) * 2011-02-25 2014-01-03 St Microelectronics Sa INTEGRATED DIGITAL CIRCUIT
US8581625B2 (en) * 2011-05-19 2013-11-12 Semiconductor Energy Laboratory Co., Ltd. Programmable logic device
US8427197B2 (en) 2011-06-15 2013-04-23 Honeywell International Inc. Configurable reference circuit for logic gates
TWI413786B (en) * 2011-08-19 2013-11-01 Chroma Ate Inc High pressure test method and equipment for rapid detection of contact loop
KR101088954B1 (en) * 2011-08-26 2011-12-01 권의필 Programmable non-volatile memory
FR2980918B1 (en) * 2011-10-04 2014-03-07 Univ Granada MEMORY POINT RAM HAS A TRANSISTOR
US8563966B2 (en) * 2011-12-30 2013-10-22 Khalifa University of Science, Technology & Research (KUSTAR) Nano metal particles based tunneling field effect transistor and nano-switch
US8816719B2 (en) * 2012-04-26 2014-08-26 Symetrix Corporation Re-programmable antifuse FPGA utilizing resistive CeRAM elements
US8786331B2 (en) * 2012-05-29 2014-07-22 Life Technologies Corporation System for reducing noise in a chemical sensor array
JP6377317B2 (en) * 2012-05-30 2018-08-22 株式会社半導体エネルギー研究所 Programmable logic device
US9461649B2 (en) * 2012-06-01 2016-10-04 The Regents Of The University Of California Programmable logic circuit architecture using resistive memory elements
US8933533B2 (en) * 2012-07-05 2015-01-13 Infineon Technologies Austria Ag Solid-state bidirectional switch having a first and a second power-FET
TWI469325B (en) 2012-07-31 2015-01-11 Ind Tech Res Inst Logic gate
KR20140023609A (en) * 2012-08-16 2014-02-27 삼성전자주식회사 Nonvolatile memory apparatus and operating method thereof
WO2014061567A1 (en) * 2012-10-17 2014-04-24 Semiconductor Energy Laboratory Co., Ltd. Programmable logic device
KR101906966B1 (en) * 2012-11-05 2018-12-07 삼성전자주식회사 Logic device and operating method of the same
US9893731B1 (en) * 2012-12-11 2018-02-13 Lockheed Martin Corporation Programmable application-specific integrated circuit
TWI611419B (en) 2012-12-24 2018-01-11 半導體能源研究所股份有限公司 Programmable logic device and semiconductor device
US8896344B1 (en) * 2013-01-04 2014-11-25 Altera Corporation Heterogeneous programmable device and configuration software adapted therefor
TWI493548B (en) * 2013-01-31 2015-07-21 Ind Tech Res Inst Configurable logic block and operation method thereof
US9689829B2 (en) 2013-03-12 2017-06-27 New Jersey Institute Of Technology Nanoprobe and methods of use
US9007732B2 (en) 2013-03-15 2015-04-14 Nantero Inc. Electrostatic discharge protection circuits using carbon nanotube field effect transistor (CNTFET) devices and methods of making same
CN104112777B (en) * 2013-04-16 2017-12-19 清华大学 Thin film transistor (TFT) and preparation method thereof
FR3005784B1 (en) 2013-05-14 2016-10-07 Aledia OPTOELECTRONIC DEVICE AND METHOD FOR MANUFACTURING THE SAME
FR3005785B1 (en) * 2013-05-14 2016-11-25 Aledia OPTOELECTRONIC DEVICE AND METHOD FOR MANUFACTURING THE SAME
KR101402989B1 (en) * 2013-06-12 2014-06-11 한국과학기술연구원 a fabricating method of carbon nanotube-based field effect transistor and carbon nanotube-based field effect transistor fabricated thereby
CN104252882B (en) * 2013-06-28 2018-01-26 华邦电子股份有限公司 Nonvolatile memory with power supply handoff functionality
US8863059B1 (en) 2013-06-28 2014-10-14 Altera Corporation Integrated circuit device configuration methods adapted to account for retiming
US9331016B2 (en) 2013-07-25 2016-05-03 Qualcomm Incorporated SOC design with critical technology pitch alignment
US9385054B2 (en) * 2013-11-08 2016-07-05 Semiconductor Energy Laboratory Co., Ltd. Data processing device and manufacturing method thereof
JP6541376B2 (en) 2014-03-13 2019-07-10 株式会社半導体エネルギー研究所 Method of operating programmable logic device
TWI643457B (en) 2014-04-25 2018-12-01 日商半導體能源研究所股份有限公司 Semiconductor device
US9276573B2 (en) * 2014-07-28 2016-03-01 Ecole Polytechnique Federale De Lausanne High-performance low-power near-Vt resistive memory-based FPGA
WO2016068980A1 (en) * 2014-10-31 2016-05-06 Hewlett Packard Enterprise Development Lp Sensing circuit for resistive memory
KR102593647B1 (en) 2014-12-18 2023-10-26 라이프 테크놀로지스 코포레이션 High data rate integrated circuit with transmitter configuration
US9299430B1 (en) 2015-01-22 2016-03-29 Nantero Inc. Methods for reading and programming 1-R resistive change element arrays
CN104752231B (en) * 2015-03-27 2016-02-24 京东方科技集团股份有限公司 Thin-film transistor and preparation method, array base palte and preparation method, display unit
US9318196B1 (en) * 2015-05-29 2016-04-19 Floadia Corporation Non-volatile semiconductor memory device
US10340005B2 (en) * 2015-07-29 2019-07-02 Nantero, Inc. Resistive change element arrays with in situ initialization
US10290349B2 (en) * 2015-07-29 2019-05-14 Nantero, Inc. DDR compatible open array architectures for resistive change element arrays
US10762961B2 (en) * 2015-07-29 2020-09-01 Nantero, Inc. Resistive change element arrays using a reference line
US10430618B2 (en) * 2015-10-09 2019-10-01 George Mason University Vanishable logic to enhance circuit security
US10396798B2 (en) * 2015-10-16 2019-08-27 Nec Corporation Reconfigurable circuit
US11270769B2 (en) 2016-01-11 2022-03-08 Crossbar, Inc. Network router device with hardware-implemented lookups including two-terminal non-volatile memory
US9871525B2 (en) * 2016-03-10 2018-01-16 Kabushiki Kaisha Toshiba Semiconductor device
US9947400B2 (en) 2016-04-22 2018-04-17 Nantero, Inc. Methods for enhanced state retention within a resistive change cell
US9934848B2 (en) 2016-06-07 2018-04-03 Nantero, Inc. Methods for determining the resistive states of resistive change elements
US10665798B2 (en) * 2016-07-14 2020-05-26 International Business Machines Corporation Carbon nanotube transistor and logic with end-bonded metal contacts
US10665799B2 (en) 2016-07-14 2020-05-26 International Business Machines Corporation N-type end-bonded metal contacts for carbon nanotube transistors
KR102420735B1 (en) 2016-08-19 2022-07-14 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Power control method for semiconductor devices
US10355206B2 (en) 2017-02-06 2019-07-16 Nantero, Inc. Sealed resistive change elements
KR20180116879A (en) * 2017-04-18 2018-10-26 에스케이하이닉스 주식회사 Semiconductor Apparatus
US10269414B2 (en) * 2017-05-09 2019-04-23 Arm Ltd. Bit-line sensing for correlated electron switch elements
US10515896B2 (en) 2017-08-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for semiconductor device and methods of fabrication thereof
US10699785B2 (en) * 2017-09-29 2020-06-30 Crossbar, Inc. Computing memory architecture
US10290327B2 (en) 2017-10-13 2019-05-14 Nantero, Inc. Devices and methods for accessing resistive change elements in resistive change element arrays
US10269413B1 (en) * 2017-10-17 2019-04-23 R&D 3 Llc Memory device having variable impedance memory cells and time-to-transition sensing of data stored therein
KR102422456B1 (en) * 2017-12-22 2022-07-19 삼성전자주식회사 Apparatus and method of transmitting and receiving data, and semiconductor package including the same
CN110021323A (en) * 2018-01-10 2019-07-16 中电海康集团有限公司 The data storage device and system that write-once is repeatedly read
US10719296B2 (en) * 2018-01-17 2020-07-21 Macronix International Co., Ltd. Sum-of-products accelerator array
CN110197680B (en) * 2018-02-24 2021-11-23 上海磁宇信息科技有限公司 MRAM memory chip adopting fully-depleted silicon-on-insulator FD-SOI field effect transistor
US10790271B2 (en) * 2018-04-17 2020-09-29 International Business Machines Corporation Perpendicular stacked field-effect transistor device
FR3080703B1 (en) * 2018-04-27 2020-04-03 Commissariat A L'energie Atomique Et Aux Energies Alternatives METHOD FOR PROGRAMMING A RESISTIVE MEMORY
US10943652B2 (en) * 2018-05-22 2021-03-09 The Regents Of The University Of Michigan Memory processing unit
KR102301669B1 (en) 2018-08-10 2021-09-14 주식회사 엘지에너지솔루션 Cutting system and cutting method for electrode substrate
US10878929B2 (en) * 2018-08-20 2020-12-29 Taiwan Semiconductor Manufacturing Company Ltd. eFuse circuit, method, layout, and structure
JP7122936B2 (en) * 2018-10-29 2022-08-22 ルネサスエレクトロニクス株式会社 semiconductor equipment
US11125782B2 (en) * 2018-12-07 2021-09-21 Abb Schweiz Ag Line post sensor
JP7361730B2 (en) * 2019-01-29 2023-10-16 株式会社半導体エネルギー研究所 Storage device
CN109905115B (en) * 2019-02-27 2020-08-04 华中科技大学 Reversible logic circuit and operation method thereof
US10847207B2 (en) 2019-04-08 2020-11-24 Micron Technology, Inc. Apparatuses and methods for controlling driving signals in semiconductor devices
US10910027B2 (en) 2019-04-12 2021-02-02 Micron Technology, Inc. Apparatuses and methods for controlling word line discharge
US11270767B2 (en) 2019-05-31 2022-03-08 Crossbar, Inc. Non-volatile memory bank with embedded inline computing logic
US11295810B2 (en) 2019-06-07 2022-04-05 Nantero, Inc. Combinational resistive change elements
US10854273B1 (en) 2019-06-24 2020-12-01 Micron Technology, Inc. Apparatuses and methods for controlling word drivers
US10937476B2 (en) 2019-06-24 2021-03-02 Micron Technology, Inc. Apparatuses and methods for controlling word line discharge
US10854272B1 (en) 2019-06-24 2020-12-01 Micron Technology, Inc. Apparatuses and methods for controlling word line discharge
CN114171086A (en) * 2019-08-02 2022-03-11 北京大学 Operation circuit and operation method of resistance-variable memory
US10854274B1 (en) * 2019-09-26 2020-12-01 Micron Technology, Inc. Apparatuses and methods for dynamic timing of row pull down operations
US11205470B2 (en) 2020-04-20 2021-12-21 Micron Technology, Inc. Apparatuses and methods for providing main word line signal with dynamic well
CN111494001B (en) * 2020-05-12 2021-04-27 北京天星博迈迪医疗器械有限公司 Medical equipment control circuit for generating plasma and plasma medical equipment
US11809165B2 (en) 2020-09-25 2023-11-07 Cbn Nano Technologies Inc. Efficient and manufacturable mechanical computing
FR3117288B1 (en) * 2020-12-09 2023-05-26 Commissariat Energie Atomique Dynamic comparator
US20220197844A1 (en) * 2020-12-23 2022-06-23 Intel Corporation Bootstrapping circuit, sampling apparatuses, receiver, base station, mobile device and method of operating a bootstrapping circuit
US11798623B2 (en) 2021-11-05 2023-10-24 Nantero, Inc. Three dimensional (3D) memories with multiple resistive change elements per cell and corresponding architectures
US11955182B2 (en) * 2022-05-17 2024-04-09 Sandisk Technologies Llc Adaptive pre-programming
TWI828206B (en) * 2022-06-24 2024-01-01 旺宏電子股份有限公司 Memory device and operation method thereof for performing multiply-accumulate operation

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5978256A (en) * 1997-07-03 1999-11-02 Korea Advanced Institute Of Science & Technology Non-volatile memory device using AFM and method for operating the device
WO2005001899A2 (en) * 2003-06-09 2005-01-06 Nantero, Inc. Non-volatile electromechanical field effect devices and circuits using same and methods of forming same
US20080017888A1 (en) * 2003-06-09 2008-01-24 Bertin Claude L Non-volatile electromechanical field effect devices and circuits using same and methods of forming same
US20080079027A1 (en) * 2004-06-09 2008-04-03 Nantero, Inc. Field effect devices having a gate controlled via a nanotube switching element

Family Cites Families (129)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4670749A (en) 1984-04-13 1987-06-02 Zilog, Inc. Integrated circuit programmable cross-point connection technique
CN85103516B (en) * 1985-05-02 1987-04-15 得克萨斯仪器公司 Dynamic memory array with quasi-folded bit lines
US5604755A (en) * 1995-11-20 1997-02-18 International Business Machine Corp. Memory system reset circuit
US5781031A (en) * 1995-11-21 1998-07-14 International Business Machines Corporation Programmable logic array
US6445006B1 (en) 1995-12-20 2002-09-03 Advanced Technology Materials, Inc. Microelectronic and microelectromechanical devices comprising carbon nanotube components, and methods of making same
JP3955127B2 (en) * 1997-05-19 2007-08-08 学 根本 helmet
US5986962A (en) * 1998-07-23 1999-11-16 International Business Machines Corporation Internal shadow latch
US6346189B1 (en) 1998-08-14 2002-02-12 The Board Of Trustees Of The Leland Stanford Junior University Carbon nanotube structures made using catalyst islands
WO2000048195A1 (en) 1999-02-12 2000-08-17 Board Of Trustees Operating Michigan State University Nanocapsules containing charged particles, their uses and methods of forming the same
US6280697B1 (en) * 1999-03-01 2001-08-28 The University Of North Carolina-Chapel Hill Nanotube-based high energy material and method
US6388198B1 (en) * 1999-03-09 2002-05-14 International Business Machines Corporation Coaxial wiring within SOI semiconductor, PCB to system for high speed operation and signal quality
US6256767B1 (en) 1999-03-29 2001-07-03 Hewlett-Packard Company Demultiplexer for a molecular wire crossbar network (MWCN DEMUX)
US6314019B1 (en) 1999-03-29 2001-11-06 Hewlett-Packard Company Molecular-wire crossbar interconnect (MWCI) for signal routing and communications
US6128214A (en) 1999-03-29 2000-10-03 Hewlett-Packard Molecular wire crossbar memory
AUPP976499A0 (en) 1999-04-16 1999-05-06 Commonwealth Scientific And Industrial Research Organisation Multilayer carbon nanotube films
US6308311B1 (en) 1999-05-14 2001-10-23 Xilinx, Inc. Method for reconfiguring a field programmable gate array from a host
US6177807B1 (en) * 1999-05-28 2001-01-23 International Business Machines Corporation High frequency valid data strobe
DE60044972D1 (en) 1999-07-02 2010-10-28 Harvard College NANOSCOPIC WIRE CONTAINING ARRANGEMENT, LOGISC
US7335603B2 (en) 2000-02-07 2008-02-26 Vladimir Mancevski System and method for fabricating logic devices comprising carbon nanotube transistors
EP1170799A3 (en) 2000-07-04 2009-04-01 Infineon Technologies AG Electronic device and method of manufacture of an electronic device
DE10134866B4 (en) 2000-07-18 2005-08-11 Lg Electronics Inc. Method of horizontally growing carbon nanotubes and field effect transistor using the process grown carbon nanotubes
JP2002202441A (en) * 2000-11-02 2002-07-19 Nippon Telegr & Teleph Corp <Ntt> Optical active connector plug for lan and connector port
US6495905B2 (en) 2000-11-09 2002-12-17 Texas Instruments Incorporated Nanomechanical switches and circuits
US6423583B1 (en) 2001-01-03 2002-07-23 International Business Machines Corporation Methodology for electrically induced selective breakdown of nanotubes
US6803840B2 (en) 2001-03-30 2004-10-12 California Institute Of Technology Pattern-aligned carbon nanotube growth and tunable resonator apparatus
AU2002307129A1 (en) 2001-04-03 2002-10-21 Carnegie Mellon University Electronic circuit device, system and method
JP4207398B2 (en) 2001-05-21 2009-01-14 富士ゼロックス株式会社 Method for manufacturing wiring of carbon nanotube structure, wiring of carbon nanotube structure, and carbon nanotube device using the same
JP2003017508A (en) * 2001-07-05 2003-01-17 Nec Corp Field effect transistor
DE10134665C1 (en) 2001-07-20 2002-09-05 Infineon Technologies Ag Operating method for semiconductor element has differential resistance switched to lesser value above given current limit
US7259410B2 (en) * 2001-07-25 2007-08-21 Nantero, Inc. Devices having horizontally-disposed nanofabric articles and methods of making the same
US6574130B2 (en) * 2001-07-25 2003-06-03 Nantero, Inc. Hybrid circuit having nanotube electromechanical memory
US6835591B2 (en) 2001-07-25 2004-12-28 Nantero, Inc. Methods of nanotube films and articles
US6919592B2 (en) * 2001-07-25 2005-07-19 Nantero, Inc. Electromechanical memory array using nanotube ribbons and method for making same
US6924538B2 (en) 2001-07-25 2005-08-02 Nantero, Inc. Devices having vertically-disposed nanofabric articles and methods of making the same
US6706402B2 (en) 2001-07-25 2004-03-16 Nantero, Inc. Nanotube films and articles
US20030096275A1 (en) * 2001-08-20 2003-05-22 Laing Lance G. Biosensor for small molecule analytes
US6839269B2 (en) * 2001-12-28 2005-01-04 Kabushiki Kaisha Toshiba Magnetic random access memory
US20040132070A1 (en) 2002-01-16 2004-07-08 Nanomix, Inc. Nonotube-based electronic detection of biological molecules
US6894359B2 (en) 2002-09-04 2005-05-17 Nanomix, Inc. Sensitivity control for nanotube sensors
AU2003216070A1 (en) 2002-01-18 2003-09-02 California Institute Of Technology Array-based architecture for molecular electronics
EP1341184B1 (en) 2002-02-09 2005-09-14 Samsung Electronics Co., Ltd. Memory device utilizing carbon nanotubes and method of fabricating the memory device
US7049625B2 (en) 2002-03-18 2006-05-23 Max-Planck-Gesellschaft Zur Fonderung Der Wissenschaften E.V. Field effect transistor memory cell, memory device and method for manufacturing a field effect transistor memory cell
US6899945B2 (en) 2002-03-19 2005-05-31 William Marsh Rice University Entangled single-wall carbon nanotube solid material and methods for making same
US6972983B2 (en) * 2002-03-21 2005-12-06 Infineon Technologies Aktiengesellschaft Increasing the read signal in ferroelectric memories
US7335395B2 (en) * 2002-04-23 2008-02-26 Nantero, Inc. Methods of using pre-formed nanotubes to make carbon nanotube films, layers, fabrics, ribbons, elements and articles
US7447877B2 (en) * 2002-06-13 2008-11-04 Intel Corporation Method and apparatus for converting memory instructions to prefetch operations during a thread switch window
US6759693B2 (en) 2002-06-19 2004-07-06 Nantero, Inc. Nanotube permeable base transistor
US6836419B2 (en) * 2002-08-23 2004-12-28 Micron Technology, Inc. Split word line ternary CAM architecture
US6809465B2 (en) 2002-08-23 2004-10-26 Samsung Electronics Co., Ltd. Article comprising MEMS-based two-dimensional e-beam sources and method for making the same
US7051945B2 (en) 2002-09-30 2006-05-30 Nanosys, Inc Applications of nano-enabled large area macroelectronic substrates incorporating nanowires and nanowire composites
US7067867B2 (en) 2002-09-30 2006-06-27 Nanosys, Inc. Large-area nonenabled macroelectronic substrates and uses therefor
JP4124635B2 (en) 2002-12-05 2008-07-23 シャープ株式会社 Semiconductor memory device and memory cell array erasing method
US6919740B2 (en) 2003-01-31 2005-07-19 Hewlett-Packard Development Company, Lp. Molecular-junction-nanowire-crossbar-based inverter, latch, and flip-flop circuits, and more complex circuits composed, in part, from molecular-junction-nanowire-crossbar-based inverter, latch, and flip-flop circuits
US6918284B2 (en) 2003-03-24 2005-07-19 The United States Of America As Represented By The Secretary Of The Navy Interconnected networks of single-walled carbon nanotubes
US7294877B2 (en) 2003-03-28 2007-11-13 Nantero, Inc. Nanotube-on-gate FET structures and applications
CN1717748A (en) * 2003-06-25 2006-01-04 松下电器产业株式会社 Method of driving a non-volatile memory
KR100568425B1 (en) 2003-06-30 2006-04-05 주식회사 하이닉스반도체 Method of manufacturing bit line in a flash device
US7115960B2 (en) 2003-08-13 2006-10-03 Nantero, Inc. Nanotube-based switching elements
EP1665278A4 (en) 2003-08-13 2007-11-07 Nantero Inc Nanotube-based switching elements with multiple controls and circuits made from same
WO2005017967A2 (en) 2003-08-13 2005-02-24 Nantero, Inc. Nanotube device structure and methods of fabrication
US7245520B2 (en) 2004-08-13 2007-07-17 Nantero, Inc. Random access memory including nanotube switching elements
US7375369B2 (en) * 2003-09-08 2008-05-20 Nantero, Inc. Spin-coatable liquid for formation of high purity nanotube films
US6890780B2 (en) 2003-10-10 2005-05-10 General Electric Company Method for forming an electrostatically-doped carbon nanotube device
JP2005285822A (en) 2004-03-26 2005-10-13 Fujitsu Ltd Semiconductor device and semiconductor sensor
US7164744B2 (en) 2004-06-18 2007-01-16 Nantero, Inc. Nanotube-based logic driver circuits
US7329931B2 (en) 2004-06-18 2008-02-12 Nantero, Inc. Receiver circuit using nanotube-based switches and transistors
US7167026B2 (en) 2004-06-18 2007-01-23 Nantero, Inc. Tri-state circuit using nanotube switching elements
US7288970B2 (en) 2004-06-18 2007-10-30 Nantero, Inc. Integrated nanotube and field effect switching device
US7330709B2 (en) 2004-06-18 2008-02-12 Nantero, Inc. Receiver circuit using nanotube-based switches and logic
US7161403B2 (en) 2004-06-18 2007-01-09 Nantero, Inc. Storage elements using nanotube switching elements
TWI348169B (en) * 2004-09-21 2011-09-01 Nantero Inc Resistive elements using carbon nanotubes
DE102004047666B4 (en) * 2004-09-30 2015-04-02 Qimonda Ag Memory with resistance memory cell and evaluation circuit
DE102004058132B3 (en) * 2004-12-02 2006-03-02 Infineon Technologies Ag Data storage circuit for computer has system for evaluation of data stores in CBRAM-resistor storage cell and has bit lines and word lines crossing at right-angles with evaluation circuit on each bit line
US8000127B2 (en) * 2009-08-12 2011-08-16 Nantero, Inc. Method for resetting a resistive change memory element
JP4428284B2 (en) * 2005-04-25 2010-03-10 エルピーダメモリ株式会社 Semiconductor memory device and writing method thereof
US7835170B2 (en) * 2005-05-09 2010-11-16 Nantero, Inc. Memory elements and cross point switches and arrays of same using nonvolatile nanotube blocks
US8513768B2 (en) * 2005-05-09 2013-08-20 Nantero Inc. Nonvolatile nanotube diodes and nonvolatile nanotube blocks and systems using same and methods of making same
US8013363B2 (en) 2005-05-09 2011-09-06 Nantero, Inc. Nonvolatile nanotube diodes and nonvolatile nanotube blocks and systems using same and methods of making same
US8183665B2 (en) 2005-11-15 2012-05-22 Nantero Inc. Nonvolatile nanotube diodes and nonvolatile nanotube blocks and systems using same and methods of making same
US7781862B2 (en) 2005-05-09 2010-08-24 Nantero, Inc. Two-terminal nanotube devices and systems and methods of making same
US7479654B2 (en) 2005-05-09 2009-01-20 Nantero, Inc. Memory arrays using nanotube articles with reprogrammable resistance
US8217490B2 (en) 2005-05-09 2012-07-10 Nantero Inc. Nonvolatile nanotube diodes and nonvolatile nanotube blocks and systems using same and methods of making same
US8102018B2 (en) 2005-05-09 2012-01-24 Nantero Inc. Nonvolatile resistive memories having scalable two-terminal nanotube switches
US9911743B2 (en) 2005-05-09 2018-03-06 Nantero, Inc. Nonvolatile nanotube diodes and nonvolatile nanotube blocks and systems using same and methods of making same
US7394687B2 (en) 2005-05-09 2008-07-01 Nantero, Inc. Non-volatile-shadow latch using a nanotube switch
US7782650B2 (en) 2005-05-09 2010-08-24 Nantero, Inc. Nonvolatile nanotube diodes and nonvolatile nanotube blocks and systems using same and methods of making same
US8008745B2 (en) 2005-05-09 2011-08-30 Nantero, Inc. Latch circuits and operation circuits having scalable nonvolatile nanotube switches as electronic fuse replacement elements
TWI324773B (en) 2005-05-09 2010-05-11 Nantero Inc Non-volatile shadow latch using a nanotube switch
US7598127B2 (en) 2005-05-12 2009-10-06 Nantero, Inc. Nanotube fuse structure
US7269079B2 (en) * 2005-05-16 2007-09-11 Micron Technology, Inc. Power circuits for reducing a number of power supply voltage taps required for sensing a resistive memory
EP1725025A1 (en) * 2005-05-18 2006-11-22 STMicroelectronics (Research & Development) Limited Method for operating an electronic imaging system, and electronic imaging system
US7575693B2 (en) 2005-05-23 2009-08-18 Nantero, Inc. Method of aligning nanotubes and wires with an etched feature
US7915122B2 (en) * 2005-06-08 2011-03-29 Nantero, Inc. Self-aligned cell integration scheme
US7541216B2 (en) 2005-06-09 2009-06-02 Nantero, Inc. Method of aligning deposited nanotubes onto an etched feature using a spacer
US20060292716A1 (en) * 2005-06-27 2006-12-28 Lsi Logic Corporation Use selective growth metallization to improve electrical connection between carbon nanotubes and electrodes
US7245535B2 (en) * 2005-09-21 2007-07-17 Actel Corporation Non-volatile programmable memory cell for programmable logic array
US7221317B2 (en) * 2005-10-10 2007-05-22 The Boeing Company Space-based lever arm correction in navigational systems employing spot beams
US7511532B2 (en) * 2005-11-03 2009-03-31 Cswitch Corp. Reconfigurable logic structures
US7885103B2 (en) 2005-11-22 2011-02-08 Agate Logic, Inc. Non-volatile electromechanical configuration bit array
US8063455B2 (en) * 2005-11-22 2011-11-22 Agate Logic, Inc. Multi-terminal electromechanical nanocsopic switching device with control and release electrodes
WO2007086534A1 (en) 2006-01-26 2007-08-02 Semiconductor Energy Laboratory Co., Ltd. Organic field effect transistor and semiconductor device
WO2008015759A1 (en) 2006-08-04 2008-02-07 Hitachi Chemical Co., Ltd. Film adhesive, adhesive sheet, and semiconductor device using the same
CN101541489B (en) * 2006-08-08 2013-03-06 南泰若股份有限公司 Memory elements and cross point switches and arrays of same using nonvolatile nanotube blocks
JP2008065953A (en) * 2006-09-11 2008-03-21 Fujitsu Ltd Nonvolatile semiconductor memory device and read-out method therefor
KR100788280B1 (en) * 2006-12-29 2007-12-27 옵토팩 주식회사 Package for semiconductor device and packaging method thereof
US7982209B2 (en) 2007-03-27 2011-07-19 Sandisk 3D Llc Memory cell comprising a carbon nanotube fabric element and a steering element
US7667999B2 (en) 2007-03-27 2010-02-23 Sandisk 3D Llc Method to program a memory cell comprising a carbon nanotube fabric and a steering element
US7583546B2 (en) * 2007-06-08 2009-09-01 Qimonda Ag Apparatus and method of operating an integrated circuit
KR101367659B1 (en) * 2007-07-12 2014-02-25 삼성전자주식회사 Multi-level phase change memory device for reducing read error and read method thereof
US7764533B2 (en) * 2007-09-18 2010-07-27 International Business Machines Corporation Multi-level memory cell utilizing measurement time delay as the characteristic parameter for level definition
JP2009117003A (en) * 2007-11-09 2009-05-28 Toshiba Corp Data reading method for nonvolatile memory device
US8236623B2 (en) 2007-12-31 2012-08-07 Sandisk 3D Llc Memory cell that employs a selectively fabricated carbon nano-tube reversible resistance-switching element and methods of forming the same
US8558220B2 (en) 2007-12-31 2013-10-15 Sandisk 3D Llc Memory cell that employs a selectively fabricated carbon nano-tube reversible resistance-switching element formed over a bottom conductor and methods of forming the same
US20090166610A1 (en) 2007-12-31 2009-07-02 April Schricker Memory cell with planarized carbon nanotube layer and methods of forming the same
US7719902B2 (en) * 2008-05-23 2010-05-18 Sandisk Corporation Enhanced bit-line pre-charge scheme for increasing channel boosting in non-volatile storage
US8587989B2 (en) 2008-06-20 2013-11-19 Nantero Inc. NRAM arrays with nanotube blocks, nanotube traces, and nanotube planes and methods of making same
US8357921B2 (en) * 2008-08-14 2013-01-22 Nantero Inc. Integrated three-dimensional semiconductor system comprising nonvolatile nanotube field effect transistors
US9263126B1 (en) * 2010-09-01 2016-02-16 Nantero Inc. Method for dynamically accessing and programming resistive change element arrays
US8115344B2 (en) * 2008-08-22 2012-02-14 California Institute Of Technology Very low voltage, ultrafast nanoelectromechanical switches and resonant switches
US8027209B2 (en) * 2008-10-06 2011-09-27 Sandisk 3D, Llc Continuous programming of non-volatile memory
JP5039079B2 (en) * 2009-03-23 2012-10-03 株式会社東芝 Nonvolatile semiconductor memory device
US8351239B2 (en) * 2009-10-23 2013-01-08 Nantero Inc. Dynamic sense current supply circuit and associated method for reading and characterizing a resistive memory array
JP5359798B2 (en) * 2009-11-10 2013-12-04 ソニー株式会社 Memory device and reading method thereof
JP2011258288A (en) * 2010-06-10 2011-12-22 Toshiba Corp Semiconductor memory device
US8854873B1 (en) * 2011-05-05 2014-10-07 Adesto Technologies Corporation Memory devices, architectures and methods for memory elements having dynamic change in property
US9042152B2 (en) * 2011-08-25 2015-05-26 Samsung Electronics Co., Ltd. Data read circuit, a non-volatile memory device having the same, and a method of reading data from the non-volatile memory device
KR20140106770A (en) * 2013-02-25 2014-09-04 삼성전자주식회사 Semiconductor memory device, method of testing the same and method of operating the same
KR102169681B1 (en) * 2013-12-16 2020-10-26 삼성전자주식회사 Sense amplifier, nonvolatile memory device and sensing method using thereof
US9299430B1 (en) * 2015-01-22 2016-03-29 Nantero Inc. Methods for reading and programming 1-R resistive change element arrays

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5978256A (en) * 1997-07-03 1999-11-02 Korea Advanced Institute Of Science & Technology Non-volatile memory device using AFM and method for operating the device
WO2005001899A2 (en) * 2003-06-09 2005-01-06 Nantero, Inc. Non-volatile electromechanical field effect devices and circuits using same and methods of forming same
US20080017888A1 (en) * 2003-06-09 2008-01-24 Bertin Claude L Non-volatile electromechanical field effect devices and circuits using same and methods of forming same
US20080079027A1 (en) * 2004-06-09 2008-04-03 Nantero, Inc. Field effect devices having a gate controlled via a nanotube switching element

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
DONG ET AL.: "3-D nFPGA: A Reconfigurable Architecture for 3-D CMOS/Nanomaterial Hybrid Digital Circuits", IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS - L: REGULAR PAPERS, vol. 54, no. 11, November 2007 (2007-11-01), pages 2489 - 2501, Retrieved from the Internet <URL:http://www.icims.csl.uiuc.edu/-dchen/nFPGA-TCAS.pdf> [retrieved on 20090924] *

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8188763B2 (en) 2008-08-14 2012-05-29 Nantero, Inc. Nonvolatile nanotube programmable logic devices and a nonvolatile nanotube field programmable gate array using same
CN113410097A (en) * 2021-06-10 2021-09-17 中国电子科技集团公司第二十九研究所 Matrix control circuit and method based on magnetic latching relay switch radio frequency front end
CN113410097B (en) * 2021-06-10 2022-09-06 中国电子科技集团公司第二十九研究所 Matrix control circuit and method based on magnetic latching relay switch radio frequency front end

Also Published As

Publication number Publication date
US9852793B2 (en) 2017-12-26
TWI684179B (en) 2020-02-01
TW201717201A (en) 2017-05-16
CN107004435B (en) 2019-12-31
EP3125249B1 (en) 2019-09-25
US8319205B2 (en) 2012-11-27
WO2010019440A1 (en) 2010-02-18
US8357921B2 (en) 2013-01-22
TWI552154B (en) 2016-10-01
US20100078723A1 (en) 2010-04-01
JP6820962B2 (en) 2021-01-27
US7847588B2 (en) 2010-12-07
US7852114B2 (en) 2010-12-14
CN106409334B (en) 2021-03-09
US20100039138A1 (en) 2010-02-18
US8541843B2 (en) 2013-09-24
US20100072459A1 (en) 2010-03-25
TWI543363B (en) 2016-07-21
US20100079165A1 (en) 2010-04-01
JP2017033622A (en) 2017-02-09
TW201030754A (en) 2010-08-16
JP6559099B2 (en) 2019-08-14
US20170032839A1 (en) 2017-02-02
CN107004435A (en) 2017-08-01
US9412447B1 (en) 2016-08-09
EP3125249A1 (en) 2017-02-01
US8188763B2 (en) 2012-05-29
US20100134141A1 (en) 2010-06-03
CN106409334A (en) 2017-02-15
TW201025596A (en) 2010-07-01
JP2019109957A (en) 2019-07-04
US20100038625A1 (en) 2010-02-18

Similar Documents

Publication Publication Date Title
US8188763B2 (en) Nonvolatile nanotube programmable logic devices and a nonvolatile nanotube field programmable gate array using same
US6239613B1 (en) Tristate structures for programmable logic devices
US6226201B1 (en) Techniques to configure nonvolatile cells and cell arrays
EP2313892B1 (en) Gate level reconfigurable magnetic logic
KR100267425B1 (en) Programmable memory array and programmale address decoder and programmable mutual-connection circuit(field programmable memory array)
US5291079A (en) Configuration control unit for programming a field programmable gate array and reading array status
US5812450A (en) Nonvolatile SRAM cells and cell arrays
US5365125A (en) Logic cell for field programmable gate array having optional internal feedback and optional cascade
JP3973251B2 (en) Memory cell for integrated circuit, programmable logic device having memory cell, system having memory cell, memory cell, and dynamic memory cell
US7276935B1 (en) Input buffer with selectable threshold and hysteresis option
US5646547A (en) Logic cell which can be configured as a latch without static one&#39;s problem
US6018476A (en) Nonvolatile configuration cells and cell arrays
US6882177B1 (en) Tristate structures for programmable logic devices
Strukov et al. Reconfigurable nano-crossbar architectures
US5914904A (en) Compact electrically erasable memory cells and arrays
KR19980032304A (en) Function Generator for Programmable Gate Array

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 09807093

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 09807093

Country of ref document: EP

Kind code of ref document: A1