WO2013026032A1 - Adaptive clocking scheme to accommodate supply voltage transients - Google Patents

Adaptive clocking scheme to accommodate supply voltage transients Download PDF

Info

Publication number
WO2013026032A1
WO2013026032A1 PCT/US2012/051451 US2012051451W WO2013026032A1 WO 2013026032 A1 WO2013026032 A1 WO 2013026032A1 US 2012051451 W US2012051451 W US 2012051451W WO 2013026032 A1 WO2013026032 A1 WO 2013026032A1
Authority
WO
WIPO (PCT)
Prior art keywords
clock
path
supply voltage
frequency
ring oscillator
Prior art date
Application number
PCT/US2012/051451
Other languages
French (fr)
Inventor
Paul Penzes
Mark Fullerton
Original Assignee
Broadcom Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Broadcom Corporation filed Critical Broadcom Corporation
Publication of WO2013026032A1 publication Critical patent/WO2013026032A1/en

Links

Classifications

    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K3/00Circuits for generating electric pulses; Monostable, bistable or multistable circuits
    • H03K3/02Generators characterised by the type of circuit or by the means used for producing pulses
    • H03K3/027Generators characterised by the type of circuit or by the means used for producing pulses by the use of logic circuits, with internal or external positive feedback
    • H03K3/03Astable circuits
    • H03K3/0315Ring oscillators
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03LAUTOMATIC CONTROL, STARTING, SYNCHRONISATION, OR STABILISATION OF GENERATORS OF ELECTRONIC OSCILLATIONS OR PULSES
    • H03L7/00Automatic control of frequency or phase; Synchronisation
    • H03L7/06Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop
    • H03L7/08Details of the phase-locked loop
    • H03L7/0802Details of the phase-locked loop the loop being adapted for reducing power consumption
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/14Protection against unauthorised use of memory or access to memory
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F21/00Security arrangements for protecting computers, components thereof, programs or data against unauthorised activity
    • G06F21/30Authentication, i.e. establishing the identity or authorisation of security principals
    • G06F21/44Program or device authentication
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/01Modifications for accelerating switching
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K3/00Circuits for generating electric pulses; Monostable, bistable or multistable circuits
    • H03K3/02Generators characterised by the type of circuit or by the means used for producing pulses
    • H03K3/027Generators characterised by the type of circuit or by the means used for producing pulses by the use of logic circuits, with internal or external positive feedback
    • H03K3/037Bistable circuits
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K3/00Circuits for generating electric pulses; Monostable, bistable or multistable circuits
    • H03K3/02Generators characterised by the type of circuit or by the means used for producing pulses
    • H03K3/027Generators characterised by the type of circuit or by the means used for producing pulses by the use of logic circuits, with internal or external positive feedback
    • H03K3/037Bistable circuits
    • H03K3/0375Bistable circuits provided with means for increasing reliability; for protection; for ensuring a predetermined initial state when the supply voltage has been applied; for storing the actual state when the supply voltage fails
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K5/00Manipulating of pulses not covered by one of the other main groups of this subclass
    • H03K5/13Arrangements having a single output and transforming input signals into pulses delivered at desired time intervals
    • H03K5/133Arrangements having a single output and transforming input signals into pulses delivered at desired time intervals using a chain of active delay devices
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03LAUTOMATIC CONTROL, STARTING, SYNCHRONISATION, OR STABILISATION OF GENERATORS OF ELECTRONIC OSCILLATIONS OR PULSES
    • H03L7/00Automatic control of frequency or phase; Synchronisation
    • H03L7/06Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop
    • H03L7/08Details of the phase-locked loop
    • H03L7/085Details of the phase-locked loop concerning mainly the frequency- or phase-detection arrangement including the filtering or amplification of its output signal
    • H03L7/097Details of the phase-locked loop concerning mainly the frequency- or phase-detection arrangement including the filtering or amplification of its output signal using a comparator for comparing the voltages obtained from two frequency to voltage converters
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03LAUTOMATIC CONTROL, STARTING, SYNCHRONISATION, OR STABILISATION OF GENERATORS OF ELECTRONIC OSCILLATIONS OR PULSES
    • H03L7/00Automatic control of frequency or phase; Synchronisation
    • H03L7/06Automatic control of frequency or phase; Synchronisation using a reference signal applied to a frequency- or phase-locked loop
    • H03L7/08Details of the phase-locked loop
    • H03L7/099Details of the phase-locked loop concerning mainly the controlled oscillator of the loop
    • H03L7/0995Details of the phase-locked loop concerning mainly the controlled oscillator of the loop the oscillator comprising a ring oscillator
    • H03L7/0997Controlling the number of delay elements connected in series in the ring oscillator
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3206Monitoring of events, devices or parameters that trigger a change in power modality
    • G06F1/3228Monitoring task completion, e.g. by use of idle timers, stop commands or wait commands
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K5/00Manipulating of pulses not covered by one of the other main groups of this subclass
    • H03K2005/00013Delay, i.e. output pulse is delayed after input pulse and pulse length of output pulse is dependent on pulse length of input pulse
    • H03K2005/00019Variable delay
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K5/00Manipulating of pulses not covered by one of the other main groups of this subclass
    • H03K2005/00013Delay, i.e. output pulse is delayed after input pulse and pulse length of output pulse is dependent on pulse length of input pulse
    • H03K2005/00019Variable delay
    • H03K2005/00026Variable delay controlled by an analog electrical signal, e.g. obtained after conversion by a D/A converter
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K5/00Manipulating of pulses not covered by one of the other main groups of this subclass
    • H03K2005/00013Delay, i.e. output pulse is delayed after input pulse and pulse length of output pulse is dependent on pulse length of input pulse
    • H03K2005/00019Variable delay
    • H03K2005/00058Variable delay controlled by a digital setting

Definitions

  • the present invention relates generally to data clocking in an integrated circuit
  • Much of the supply voltage variations are typically transient (e.g., less than 50 ns).
  • the average supply voltage (over a 500 ns time window, for example) is fairly constant.
  • worst-case voltage transients are extremely infrequent.
  • the maximum clock frequency of the IC is selected based on the worst case voltage level. As such, although most of the time the voltage provided to the IC can support a higher clock frequency, the clock frequency is still constrained to accommodate the worst-case voltage transients.
  • FIG. 1 illustrates a conventional synchronous clocking scheme.
  • FIG. 2 illustrates another conventional synchronous clocking scheme.
  • FIG. 3 illustrates an example clocking scheme according to an embodiment of the present invention.
  • FIG. 4 illustrates another example clocking scheme according to an embodiment of the present invention.
  • FIG. 5 illustrates another example clocking scheme according to an embodiment of the present invention.
  • FIG. 6 is an example that illustrates timing variations of data traversing a logic path.
  • FIG. 7 illustrates another example embodiment of the present invention.
  • FIG. 8 is a process flowchart of a method for regulating a clock frequency of an integrated circuit (IC) according to an embodiment of the present invention.
  • Embodiments of the present invention provide systems and methods for dynamically regulating the clock frequency of an integrated circuit (IC) based on the supply voltage provided to the IC. By doing so, the clock frequency is no longer constrained by a worst-case voltage level (typically, corresponding to worst-case voltage transients), and a higher overall clock frequency can be supported.
  • IC integrated circuit
  • FIG. 1 illustrates a conventional synchronous clocking scheme used to synchronize functional blocks of an example system 100.
  • example system 100 includes a first functional block 102, a second functional block 104, a flip- flop or latch circuit 106, a flip-flop or latch circuit 108, a logic path 110 (which may include elements such as amplifiers, inverters, AND gates, NOR gates, etc.), and a phase- locked loop (PLL) 112.
  • Example system 100 may be implemented in an integrated circuit (IC).
  • IC integrated circuit
  • example system 100 may be a System-on-Chip (SoC).
  • SoC System-on-Chip
  • PLL 112 generates a system clock 116 based on a reference clock 114.
  • the operation of a PLL to generate a clock based on a reference clock is well known in the art and will not be described herein.
  • Reference clock 116 is distributed to different parts of example system 100. For example, as shown in FIG. 1, reference clock 116 is distributed to flip-flops 106 and 108 as clock signals 118 and 120, respectively.
  • Functional blocks 102 and 104 communicate data via logic path 110.
  • flip-flop 106 samples an output of functional block 102 according to clock signal 118 to send a data value over logic path 110.
  • flip-flop 108 samples logic path 110 according to clock signal 120 to receive the data value and provide the data value to functional block 104.
  • flip-flop 120 In order for the data value sent by functional block 102 to be properly received by functional block 104, flip-flop 120 must sample logic path 110 at an appropriate time (i.e., when the data value has reached the other end of logic path 110). As noted above, flip-flops 118 and 120 are clocked according to clock signals 118 and 120, which are derived from system clock 116. As such, by relating the time period of system clock 116 to the propagation delay of logic path 110 (e.g., selecting the frequency of system clock 116 such that the propagation delay of logic path 110 is equal to the time period of system clock 116), flip-flops 118 and 120 can be synchronized to send and receive data.
  • any timing variations between clock signals 118 and 120 must be negligible. In practice, however, routing delays from PLL 112 to flip-flops 118 and 120 may introduce timing variations between clock signals 118 and 120, which must be accounted for. This problem becomes more significant when the frequency of system clock 116 is increased to enable faster data transmission from functional block 102 to functional block 104.
  • the above described synchronous clocking scheme also suffers from another problem when higher data rates are required between functional blocks 102 and 104.
  • multiple data values need to be sent over logic path 110 at the same time (i.e., the capacity of logic path 110 must be increased).
  • a data value is sent by functional block 102 before a previous data value is received by functional block 104.
  • one or more data holding stages e.g., flip-flops or latch circuits
  • FIG. 2 shows a flip-flop 202 added in logic path 110.
  • Flip-flop 202 allows for two data values to traverse logic path 110 at the same time.
  • a second data value is output by flip-flop 106 in the direction of flip-flop 202.
  • the propagation delay of logic path 110 will have an increased variance (e.g., due to timing variations in the various data holding stages, jitter, etc.), which introduces an unpredictable clock skew between the data signal (at the input of flip-flop 108) and clock signal 120. As such, data values transmitted from functional block 102 may be lost.
  • FIGs. 3-6 illustrate one approach for regulating the IC clock frequency based on variations of the supply voltage provided to the IC, while at the same time attempting to maximize the capacity utilization of logic paths.
  • the approach relies on a delay line that is exposed to the same voltage component as the rest of the IC logic.
  • Supply voltage variations result in delay variations in the delay line, which, in turn, can be used to regulate the clock frequency provided to the IC.
  • the delay variations are provided using a feedback path to a voltage controlled oscillator (VCO) used to generate the clock frequency.
  • VCO voltage controlled oscillator
  • the delay line and at least one inverter form a ring oscillator that directly generates the clock frequency.
  • FIG. 3 illustrates an example clocking scheme according to an embodiment of the present invention.
  • example system 300 includes first functional block 102, second functional block 104, flip-flop 106, flip-flop 108, and logic path 110. Furthermore, example system 300 includes a clock module 304 and a clock path 302.
  • Example system 300 may be implemented in an integrated circuit (IC). For example, example system 300 may be a System-on-Chip (SoC).
  • SoC System-on-Chip
  • Clock module 304 generates a system clock 308 based on a reference clock 306.
  • Clock module 304 may include a PLL or a frequency synthesizer.
  • clock module 304 includes a voltage controlled oscillator (VCO), implemented using a ring oscillator.
  • VCO voltage controlled oscillator
  • Clock module 304 provides system clock 308 to flip-flop 106, which samples an output of functional block 102 according to system clock 308 to send a data value over logic path 110.
  • system clock 308 is sent to flip-flop 108 over clock path 302.
  • clock path 302 is adapted to have substantially similar propagation delay as logic path 110. As such, when a data value and system clock 308 are transmitted concurrently over logic path 110 and clock path 302, respectively, the data value and system clock 308 reach flip-flop 108 within an appropriate window (setup) of one another such that flip-flop 108 samples the data value, when the data has settled, using the received system clock 308.
  • Both the transmitted data and system clock 308 experience timing variations over logic path 110 and clock path 302, respectively.
  • the times at which the data and system clock 308 reach flip-flop 108 may vary.
  • a system margin separates the earliest possible clock time (i.e., the earliest time that system clock 308 may reach flip-flop 108) from the latest possible data time (i.e., the latest time that a previous data value may reach flip-flop 108) and the latest possible clock time (i.e., the latest time that system clock 308 may reach flip-flop 108) from the earliest possible data time (i.e., the earliest time that a subsequent data value may reach flip-flop 108), the clocking scheme described in FIG. 3 can support data transfer without data loss.
  • FIG. 4 illustrates another example clocking scheme according to an embodiment of the present invention.
  • the example clocking scheme is described with respect to an example system 400.
  • example system 400 includes first functional block 102, second functional block 104, flip-flop 106, flip-flop 108, and logic path 110.
  • example system 400 includes a clock path 402 and a feedback path 404.
  • Example system 400 may be implemented in an integrated circuit (IC).
  • IC integrated circuit
  • example system 400 may be a System-on-Chip (SoC).
  • SoC System-on-Chip
  • logic path 110 is the critical path of the IC, having the largest delay of all logic paths of the IC.
  • clock path 402 has two endpoints 406 and 408, which couple clock path 402 to flip-flops 106 and 108, respectively.
  • Flip-flop 106 is controlled by a clock signal 410.
  • Clock signal 410 is also sent over clock path 402 to flip-flop 108.
  • clock path 402 is adapted to have substantially similar propagation delay as logic path 110. As such, when a data value and clock signal 410 are transmitted concurrently over logic path 110 and clock path 402, respectively, the data value and clock signal 410 reach flip-flop 108 within an appropriate window (setup) of one another such that flip-flop 108 samples the data value, when the data has settled, using the received clock signal 410.
  • Feedback path 404 couples endpoints 408 and 406 of clock path 402. As such, feedback path 404 serves to provide feedback information regarding the actual propagation delay of clock path 402. Because clock path 402 is adapted to have substantially similar propagation delay as logic path 110, feedback path 404 also provides feedback information regarding the propagation delay of logic path 110. Further, because clock path 402 is exposed to the same supply voltage as logic path 110, supply voltage variations will cause substantially similar delay variations in clock path 402 as in logic path 110. These delay variations are reflected by feedback path 404, and automatically by clock signal 410. Accordingly, supply voltage variations are automatically reflected in clock frequency variations. As the supply voltage increases/decreases, the delay of clock path 402 decreases/increases, leading to an increase/decrease in the frequency of clock signal 410.
  • feedback path 404 forms a ring oscillator whose output frequency (e.g., the output frequency of clock signal 410) is a function of the actual propagation delay of clock path 402 (or the propagation delay of logic path 110).
  • the ring oscillator is implemented using an odd number of inverters coupled in series. Accordingly, feedback path 404 and clock path 402 enable an automatic self-correcting mechanism for adjusting the data rate between functional block 102 and functional block 104, as a function of the propagation delay of logic path 110.
  • clock path 402 is moved inside of a clock module, such as a PLL, which adjusts its output clock phase and frequency based on the feedback provided by feedback path 404.
  • a clock module such as a PLL
  • FIG. 5 illustrates another example clocking scheme according to an embodiment of the present invention.
  • the example clocking scheme is described with respect to an example system 500, which is similar to example system 400.
  • example system 500 includes first functional block 102, second functional block 104, flip-flop 106, flip-flop 108, logic path 110, and clock path 402.
  • Example system 500 may be implemented in an integrated circuit (IC).
  • IC integrated circuit
  • example system 500 may be a System-on-Chip (SoC).
  • SoC System-on-Chip
  • logic path 110 is the critical path of the IC, having the largest delay of all logic paths of the IC.
  • Example system 500 improves on example system 400 by allowing for the data rate between functional block 102 and functional block 104 (in addition to being automatically adjusted based on the propagation delay of logic path 110) to be set so as to increase the capacity utilization of logic path 110.
  • system 500 uses a feedback path 502 from a tap point 506 of clock path 402 (as used herein, the term "tap point” refers to a point along clock path 402 that is not an endpoint).
  • tap point 506 is selected so that timing variations between the data signal and the clock signal do not result in data loss at flip-flop 108.
  • Timing variations between the data signal and the clock signal include propagation delay variations between logic path 110 and clock path 402 (which are a function of the characteristics of each of the paths as well temperature, process, and voltage).
  • FIG. 6 is an example 600 that illustrates timing variations between the data signal and the clock signal in an example system, such as system 500, for example.
  • example 600 shows a logic path 110 having a plurality of data holding stages 602, 604, 606, 608 and 610.
  • the example system like system 500 for example, also has a clock path (not shown in FIG. 6) adapted to have a substantially similar propagation delay as logic path 110.
  • the clock signal is transmitted over the clock path and is used to clock the data signal at each holding stage.
  • Both the data signal and the clock signal have timing variations at each holding stage, such that both the data signal and the clock signal can reach the holding stage earlier or later than a reference time. Assuming that the reference time is given by the clock signal (even though the clock typically varies), the timing variation of the data signal relative to the clock signal can be illustrated as shown in FIG. 6. At each holding stage, the data signal may vary within a time interval "At" relative to the clock signal (the data may be early or late relative to the clock) in FIG. 6.
  • a margin (shown as "X" in FIG. 6) must be maintained between the time intervals, typically, of the last two holding stages.
  • the value of the system margin “X” is dependent on timing variations that are inherent in the system (e.g., timing variations due logic path 110 and the clock path) and the data rate at which data is being transmitted over logic path 110. Further, the margin "X” varies with temperature, process, and voltage variations. As the data rate is increased, the margin "X" decreases.
  • tap point 506 is selected so that the margin "X" remains sufficiently high that data loss is not incurred. This entails selecting tap point 506 to be sufficiently distant from end point 406 along clock path 402, that the data rate does not exceed the capacity of logic path 110 and eliminate the margin "X.” For example, at design time, a minimum distance between end point 406 and tap 506 can be determined. Tap point 506 is selected to be at least that minimum distance away from end point 406 along clock path 402. At the same time, tap point 506 is selected so that the capacity utilization of logic path 110 is increased. This implies selecting tap point 506 as close as possible to end point 406, without violating the minimum distance requirement, so as to increase the transmission data rate.
  • FIG. 7 illustrates another approach for regulating the clock frequency provided to the IC based on supply voltage variations.
  • FIG. 7 illustrates an example system 700 according to an embodiment of the present invention.
  • example system includes a power management unit (PMU) 704, an integrated circuit (IC) 702, a phase locked loop (PLL) 710, and a clock regulating module 714.
  • IC 702 may be a processor chip, for example.
  • PMU 704 provides a supply voltage 706 to IC 702.
  • Supply voltage 706 is also provided to clock regulating module 714.
  • IC 702 also receives a clock 708 from PLL 710.
  • PLL 710 generates clock signal 708 based on a reference clock 712.
  • Clock regulating module 714 acts a "supply voltage watchdog" that measures/estimates the supply voltage over a predetermined time period (e.g., 1000 cycles) and that controls PLL 710 accordingly.
  • the predetermined time period is selected to be small compared to a known period of the supply voltage (typically, the PMU output has a slow periodic sinusoidal component which frequency can be determined).
  • clock regulating module 714 includes a ring oscillator 716, a counter 720, a lookup table (LUT) 724, and a control module 728.
  • Ring oscillator 716 produces an oscillating output signal 718.
  • the frequency of output signal 718 is affected by supply voltage 706 used to power ring oscillator 716. Specifically, the frequency of output signal 718 varies directly proportionally with supply voltage 706. As such, output signal 718 can be used to infer the average of supply voltage 706 over a time period.
  • a counter 720 receives output signal 718 from ring oscillator 716 and periodically outputs a signal 722, representative of the number of times that ring oscillator 716 has spun during the time period (or the speed of the ring oscillator). Signal 722 is then used by LUT 724 to retrieve a voltage value 726, indicative of the average supply voltage provided to ring oscillator 716 during the same time period.
  • LUT 724 is generated by a priori characterization of ring oscillator 716.
  • Control module 728 uses voltage value 726 to determine a new clock frequency for IC 702, and sends a control signal 730, determined based on the new clock frequency, to PLL 710.
  • the new clock frequency is determined using another lookup table that provides the maximum supported clock frequency as a function of voltage.
  • Control signal 730 modulates clock signal 708 to adjust its frequency to the new clock frequency.
  • Control signal 730 may control different components of PLL 710 to modulate clock signal 708, including, for example, controlling the voltage controlled oscillator (VCO) or the feedback loop of PLL 710.
  • FIG. 8 is a process flowchart 800 of a method of regulating the clock frequency of an integrated circuit (IC) according to an embodiment of the present invention.
  • step 802 begins in step 802, which includes estimating a supply voltage average over a time period.
  • step 802 is performed by determining the average speed of a ring oscillator (which is provided the same supply voltage as the IC) over the time period, and using the determined average speed of the ring oscillator to infer the supply voltage average over the same time period.
  • step 802 further includes determining, based on an output of a ring oscillator, a number representative of an average speed of the ring oscillator over the time period; and mapping the determined number using to a supply voltage average.
  • determining the average speed of the ring oscillator is done using a counter, which is coupled to the output of the ring oscillator. The counter counts the number of times that the ring oscillator has spun over the time period and outputs a number representative of the speed of the ring oscillator.
  • Mapping the determined number to the supply voltage average is performed, in an embodiment, using a lookup table.
  • the lookup table may be generated by a priori characterization of the ring oscillator. For example, the ring oscillator may be operated at various supply voltage values and its speed measured and stored in the lookup table.
  • Process 800 continues at step 804, which includes determining an operating clock frequency for the IC based on the supply voltage average.
  • the determined operating clock frequency is the maximum frequency supported by the IC based on the supply voltage average.
  • a second lookup table is used to map the supply voltage average to an operating clock frequency for the IC.
  • process 800 terminates in step 806, which includes adjusting the clock frequency of the IC to the determined operating clock frequency.
  • Step 806 may be performed using any of the means for adjusting the clock frequency, as described above, or as known in the art.
  • Embodiments have been described above with the aid of functional building blocks illustrating the implementation of specified functions and relationships thereof. The boundaries of these functional building blocks have been arbitrarily defined herein for the convenience of the description. Alternate boundaries can be defined so long as the specified functions and relationships thereof are appropriately performed.

Abstract

Adaptive clocking schemes for synchronized on-chip functional blocks are provided. The clocking schemes enable synchronous clocking which can be adapted according to changes in signal path propagation delay due temperature, process, and voltage variations, for example. In embodiments, the clocking schemes allow for the capacity utilization of a logic path to be increased.

Description

ADAPTIVE CLOCKING SCHEME TO ACCOMMODATE SUPPLY VOLTAGE
TRANSIENTS
BACKGROUND OF THE INVENTION Field of the Invention
[0001] The present invention relates generally to data clocking in an integrated circuit
(IC).
Background Art
[0002] Power consumption of an integrated circuit (IC) can vary dramatically based on active functions on it. In order to minimize power consumption, functions are started and stopped as needed. This however leads to large changes in the load current. Large load steps, in turn, cause dynamic voltage variations in the supply voltage provided to the IC.
[0003] Much of the supply voltage variations are typically transient (e.g., less than 50 ns).
In fact, the average supply voltage (over a 500 ns time window, for example) is fairly constant. In addition, worst-case voltage transients are extremely infrequent. Conventionally, however, the maximum clock frequency of the IC is selected based on the worst case voltage level. As such, although most of the time the voltage provided to the IC can support a higher clock frequency, the clock frequency is still constrained to accommodate the worst-case voltage transients.
[0004] Accordingly, there is a need for adaptive clock schemes that accommodate voltage transients.
BRIEF DESCRIPTION OF THE DRAWINGS/FIGURES
[0005] The accompanying drawings, which are incorporated herein and form a part of the specification, illustrate the present invention and, together with the description, further serve to explain the principles of the invention and to enable a person skilled in the pertinent art to make and use the invention.
[0006] FIG. 1 illustrates a conventional synchronous clocking scheme.
[0007] FIG. 2 illustrates another conventional synchronous clocking scheme. [0008] FIG. 3 illustrates an example clocking scheme according to an embodiment of the present invention.
[0009] FIG. 4 illustrates another example clocking scheme according to an embodiment of the present invention.
[0010] FIG. 5 illustrates another example clocking scheme according to an embodiment of the present invention.
[0011] FIG. 6 is an example that illustrates timing variations of data traversing a logic path.
[0012] FIG. 7 illustrates another example embodiment of the present invention.
[0013] FIG. 8 is a process flowchart of a method for regulating a clock frequency of an integrated circuit (IC) according to an embodiment of the present invention.
[0014] The present invention will be described with reference to the accompanying drawings. Generally, the drawing in which an element first appears is typically indicated by the leftmost digit(s) in the corresponding reference number.
DETAILED DESCRIPTION OF EMBODIMENTS
[0015] Embodiments of the present invention provide systems and methods for dynamically regulating the clock frequency of an integrated circuit (IC) based on the supply voltage provided to the IC. By doing so, the clock frequency is no longer constrained by a worst-case voltage level (typically, corresponding to worst-case voltage transients), and a higher overall clock frequency can be supported.
[0016] FIG. 1 illustrates a conventional synchronous clocking scheme used to synchronize functional blocks of an example system 100. As shown in FIG. 1, example system 100 includes a first functional block 102, a second functional block 104, a flip- flop or latch circuit 106, a flip-flop or latch circuit 108, a logic path 110 (which may include elements such as amplifiers, inverters, AND gates, NOR gates, etc.), and a phase- locked loop (PLL) 112. Example system 100 may be implemented in an integrated circuit (IC). For example, example system 100 may be a System-on-Chip (SoC).
[0017] PLL 112 generates a system clock 116 based on a reference clock 114. The operation of a PLL to generate a clock based on a reference clock is well known in the art and will not be described herein. Reference clock 116 is distributed to different parts of example system 100. For example, as shown in FIG. 1, reference clock 116 is distributed to flip-flops 106 and 108 as clock signals 118 and 120, respectively.
[0018] Functional blocks 102 and 104 communicate data via logic path 110. To enable data transmission from functional block 102 to functional block 104, flip-flop 106 samples an output of functional block 102 according to clock signal 118 to send a data value over logic path 110. At the receiving end of logic path 110, flip-flop 108 samples logic path 110 according to clock signal 120 to receive the data value and provide the data value to functional block 104.
[0019] In order for the data value sent by functional block 102 to be properly received by functional block 104, flip-flop 120 must sample logic path 110 at an appropriate time (i.e., when the data value has reached the other end of logic path 110). As noted above, flip-flops 118 and 120 are clocked according to clock signals 118 and 120, which are derived from system clock 116. As such, by relating the time period of system clock 116 to the propagation delay of logic path 110 (e.g., selecting the frequency of system clock 116 such that the propagation delay of logic path 110 is equal to the time period of system clock 116), flip-flops 118 and 120 can be synchronized to send and receive data.
[0020] Ideally, any timing variations between clock signals 118 and 120 must be negligible. In practice, however, routing delays from PLL 112 to flip-flops 118 and 120 may introduce timing variations between clock signals 118 and 120, which must be accounted for. This problem becomes more significant when the frequency of system clock 116 is increased to enable faster data transmission from functional block 102 to functional block 104.
[0021] The above described synchronous clocking scheme also suffers from another problem when higher data rates are required between functional blocks 102 and 104. To enable higher data rates, multiple data values need to be sent over logic path 110 at the same time (i.e., the capacity of logic path 110 must be increased). For example, a data value is sent by functional block 102 before a previous data value is received by functional block 104. To allow for multiple data values to be present over logic path 110, one or more data holding stages (e.g., flip-flops or latch circuits) must be added in logic path 110. This is illustrated, for example, in FIG. 2, which shows a flip-flop 202 added in logic path 110. Flip-flop 202 allows for two data values to traverse logic path 110 at the same time. For example, while a first data value is output by flip-flop 202 in the direction of flip-flop 108, a second data value is output by flip-flop 106 in the direction of flip-flop 202. As more data holding stages are added in logic path 110, the propagation delay of logic path 110 will have an increased variance (e.g., due to timing variations in the various data holding stages, jitter, etc.), which introduces an unpredictable clock skew between the data signal (at the input of flip-flop 108) and clock signal 120. As such, data values transmitted from functional block 102 may be lost.
[0022] For the reasons described above, conventional synchronous clocking schemes are less desirable for use in applications requiring very high data rates. In addition, conventional synchronous clocking schemes do not account for temperature, process, and voltage variations, which can introduce further clock skew in the system.
[0023] FIGs. 3-6 illustrate one approach for regulating the IC clock frequency based on variations of the supply voltage provided to the IC, while at the same time attempting to maximize the capacity utilization of logic paths. The approach relies on a delay line that is exposed to the same voltage component as the rest of the IC logic. Supply voltage variations result in delay variations in the delay line, which, in turn, can be used to regulate the clock frequency provided to the IC. In an embodiment, the delay variations are provided using a feedback path to a voltage controlled oscillator (VCO) used to generate the clock frequency. In another embodiment, the delay line and at least one inverter form a ring oscillator that directly generates the clock frequency. FIG. 3 illustrates an example clocking scheme according to an embodiment of the present invention. The example clocking scheme is described with respect to an example system 300. Like example system 100, example system 300 includes first functional block 102, second functional block 104, flip-flop 106, flip-flop 108, and logic path 110. Furthermore, example system 300 includes a clock module 304 and a clock path 302. Example system 300 may be implemented in an integrated circuit (IC). For example, example system 300 may be a System-on-Chip (SoC).
[0024] Clock module 304 generates a system clock 308 based on a reference clock 306.
Clock module 304 may include a PLL or a frequency synthesizer. In an embodiment, clock module 304 includes a voltage controlled oscillator (VCO), implemented using a ring oscillator.
[0025] Clock module 304 provides system clock 308 to flip-flop 106, which samples an output of functional block 102 according to system clock 308 to send a data value over logic path 110. In addition, system clock 308 is sent to flip-flop 108 over clock path 302. In an embodiment, clock path 302 is adapted to have substantially similar propagation delay as logic path 110. As such, when a data value and system clock 308 are transmitted concurrently over logic path 110 and clock path 302, respectively, the data value and system clock 308 reach flip-flop 108 within an appropriate window (setup) of one another such that flip-flop 108 samples the data value, when the data has settled, using the received system clock 308.
[0026] Both the transmitted data and system clock 308 experience timing variations over logic path 110 and clock path 302, respectively. For example, the times at which the data and system clock 308 reach flip-flop 108 may vary. However, so long that a system margin separates the earliest possible clock time (i.e., the earliest time that system clock 308 may reach flip-flop 108) from the latest possible data time (i.e., the latest time that a previous data value may reach flip-flop 108) and the latest possible clock time (i.e., the latest time that system clock 308 may reach flip-flop 108) from the earliest possible data time (i.e., the earliest time that a subsequent data value may reach flip-flop 108), the clocking scheme described in FIG. 3 can support data transfer without data loss. Complications may arise, however, because the system margin varies over different process, temperature, and voltage conditions, which makes predicting the maximum data rate that can be supported quite complicated. To avoid data loss, therefore, the system is designed to have a maximum data rate according to a worst case margin, thereby resulting in a sub-optimal data rate.
[0027] FIG. 4 illustrates another example clocking scheme according to an embodiment of the present invention. The example clocking scheme is described with respect to an example system 400. Like example system 100, example system 400 includes first functional block 102, second functional block 104, flip-flop 106, flip-flop 108, and logic path 110. Furthermore, example system 400 includes a clock path 402 and a feedback path 404. Example system 400 may be implemented in an integrated circuit (IC). For example, example system 400 may be a System-on-Chip (SoC). In an embodiment, logic path 110 is the critical path of the IC, having the largest delay of all logic paths of the IC.
[0028] As shown in FIG. 4, clock path 402 has two endpoints 406 and 408, which couple clock path 402 to flip-flops 106 and 108, respectively. Flip-flop 106 is controlled by a clock signal 410. Clock signal 410 is also sent over clock path 402 to flip-flop 108. According to embodiments, clock path 402 is adapted to have substantially similar propagation delay as logic path 110. As such, when a data value and clock signal 410 are transmitted concurrently over logic path 110 and clock path 402, respectively, the data value and clock signal 410 reach flip-flop 108 within an appropriate window (setup) of one another such that flip-flop 108 samples the data value, when the data has settled, using the received clock signal 410.
[0029] Feedback path 404 couples endpoints 408 and 406 of clock path 402. As such, feedback path 404 serves to provide feedback information regarding the actual propagation delay of clock path 402. Because clock path 402 is adapted to have substantially similar propagation delay as logic path 110, feedback path 404 also provides feedback information regarding the propagation delay of logic path 110. Further, because clock path 402 is exposed to the same supply voltage as logic path 110, supply voltage variations will cause substantially similar delay variations in clock path 402 as in logic path 110. These delay variations are reflected by feedback path 404, and automatically by clock signal 410. Accordingly, supply voltage variations are automatically reflected in clock frequency variations. As the supply voltage increases/decreases, the delay of clock path 402 decreases/increases, leading to an increase/decrease in the frequency of clock signal 410.
[0030] In an embodiment, together with clock path 402, feedback path 404 forms a ring oscillator whose output frequency (e.g., the output frequency of clock signal 410) is a function of the actual propagation delay of clock path 402 (or the propagation delay of logic path 110). In an embodiment, the ring oscillator is implemented using an odd number of inverters coupled in series. Accordingly, feedback path 404 and clock path 402 enable an automatic self-correcting mechanism for adjusting the data rate between functional block 102 and functional block 104, as a function of the propagation delay of logic path 110.
[0031] In an embodiment, rather than spreading clock path 402 between flip-flops 106 and 108, as shown in FIG. 4, clock path 402 is moved inside of a clock module, such as a PLL, which adjusts its output clock phase and frequency based on the feedback provided by feedback path 404.
[0032] FIG. 5 illustrates another example clocking scheme according to an embodiment of the present invention. The example clocking scheme is described with respect to an example system 500, which is similar to example system 400. Like example system 400, example system 500 includes first functional block 102, second functional block 104, flip-flop 106, flip-flop 108, logic path 110, and clock path 402. Example system 500 may be implemented in an integrated circuit (IC). For example, example system 500 may be a System-on-Chip (SoC). In an embodiment, logic path 110 is the critical path of the IC, having the largest delay of all logic paths of the IC.
[0033] Example system 500 improves on example system 400 by allowing for the data rate between functional block 102 and functional block 104 (in addition to being automatically adjusted based on the propagation delay of logic path 110) to be set so as to increase the capacity utilization of logic path 110.
[0034] As shown in FIG. 5, instead of using a feedback path 404 from endpoint 408 of clock path 402 like example system 400, system 500 uses a feedback path 502 from a tap point 506 of clock path 402 (as used herein, the term "tap point" refers to a point along clock path 402 that is not an endpoint). In an embodiment, tap point 506 is selected so that timing variations between the data signal and the clock signal do not result in data loss at flip-flop 108. Timing variations between the data signal and the clock signal include propagation delay variations between logic path 110 and clock path 402 (which are a function of the characteristics of each of the paths as well temperature, process, and voltage).
[0035] FIG. 6 is an example 600 that illustrates timing variations between the data signal and the clock signal in an example system, such as system 500, for example. In particular, example 600 shows a logic path 110 having a plurality of data holding stages 602, 604, 606, 608 and 610. The example system, like system 500 for example, also has a clock path (not shown in FIG. 6) adapted to have a substantially similar propagation delay as logic path 110. The clock signal is transmitted over the clock path and is used to clock the data signal at each holding stage.
[0036] Both the data signal and the clock signal have timing variations at each holding stage, such that both the data signal and the clock signal can reach the holding stage earlier or later than a reference time. Assuming that the reference time is given by the clock signal (even though the clock typically varies), the timing variation of the data signal relative to the clock signal can be illustrated as shown in FIG. 6. At each holding stage, the data signal may vary within a time interval "At" relative to the clock signal (the data may be early or late relative to the clock) in FIG. 6.
[0037] As the data signal traverses logic path 110 (and the clock signal simultaneously traverses the clock path), the maximum relative variation between the data and the clock increases, as propagation delay variations between logic path 110 and the clock path (e.g., due to timing variations in holding stages, metal delay, jitter, etc.) tend to accumulate. Thus, as shown in FIG. 6, the time intervals "At" become wider as the data traverses logic path 110.
[0038] To avoid data loss, a margin (shown as "X" in FIG. 6) must be maintained between the time intervals, typically, of the last two holding stages. The value of the system margin "X" is dependent on timing variations that are inherent in the system (e.g., timing variations due logic path 110 and the clock path) and the data rate at which data is being transmitted over logic path 110. Further, the margin "X" varies with temperature, process, and voltage variations. As the data rate is increased, the margin "X" decreases.
[0039] Referring back to FIG. 5, in an embodiment, tap point 506 is selected so that the margin "X" remains sufficiently high that data loss is not incurred. This entails selecting tap point 506 to be sufficiently distant from end point 406 along clock path 402, that the data rate does not exceed the capacity of logic path 110 and eliminate the margin "X." For example, at design time, a minimum distance between end point 406 and tap 506 can be determined. Tap point 506 is selected to be at least that minimum distance away from end point 406 along clock path 402. At the same time, tap point 506 is selected so that the capacity utilization of logic path 110 is increased. This implies selecting tap point 506 as close as possible to end point 406, without violating the minimum distance requirement, so as to increase the transmission data rate.
[0040] FIG. 7 illustrates another approach for regulating the clock frequency provided to the IC based on supply voltage variations. In particular, FIG. 7 illustrates an example system 700 according to an embodiment of the present invention. As shown in FIG. 7, example system includes a power management unit (PMU) 704, an integrated circuit (IC) 702, a phase locked loop (PLL) 710, and a clock regulating module 714. IC 702 may be a processor chip, for example. [0041] PMU 704 provides a supply voltage 706 to IC 702. Supply voltage 706 is also provided to clock regulating module 714. IC 702 also receives a clock 708 from PLL 710. PLL 710 generates clock signal 708 based on a reference clock 712.
[0042] Clock regulating module 714 acts a "supply voltage watchdog" that measures/estimates the supply voltage over a predetermined time period (e.g., 1000 cycles) and that controls PLL 710 accordingly. In an embodiment, the predetermined time period is selected to be small compared to a known period of the supply voltage (typically, the PMU output has a slow periodic sinusoidal component which frequency can be determined). In an embodiment, as shown in FIG. 7, clock regulating module 714 includes a ring oscillator 716, a counter 720, a lookup table (LUT) 724, and a control module 728.
[0043] Ring oscillator 716 produces an oscillating output signal 718. The frequency of output signal 718 is affected by supply voltage 706 used to power ring oscillator 716. Specifically, the frequency of output signal 718 varies directly proportionally with supply voltage 706. As such, output signal 718 can be used to infer the average of supply voltage 706 over a time period.
[0044] In example system 700, a counter 720 receives output signal 718 from ring oscillator 716 and periodically outputs a signal 722, representative of the number of times that ring oscillator 716 has spun during the time period (or the speed of the ring oscillator). Signal 722 is then used by LUT 724 to retrieve a voltage value 726, indicative of the average supply voltage provided to ring oscillator 716 during the same time period. In an embodiment, LUT 724 is generated by a priori characterization of ring oscillator 716.
[0045] Control module 728 uses voltage value 726 to determine a new clock frequency for IC 702, and sends a control signal 730, determined based on the new clock frequency, to PLL 710. In an embodiment, the new clock frequency is determined using another lookup table that provides the maximum supported clock frequency as a function of voltage. Control signal 730 modulates clock signal 708 to adjust its frequency to the new clock frequency. Control signal 730 may control different components of PLL 710 to modulate clock signal 708, including, for example, controlling the voltage controlled oscillator (VCO) or the feedback loop of PLL 710. [0046] FIG. 8 is a process flowchart 800 of a method of regulating the clock frequency of an integrated circuit (IC) according to an embodiment of the present invention.
[0047] As shown in FIG. 8, process 800 begins in step 802, which includes estimating a supply voltage average over a time period. In an embodiment, step 802 is performed by determining the average speed of a ring oscillator (which is provided the same supply voltage as the IC) over the time period, and using the determined average speed of the ring oscillator to infer the supply voltage average over the same time period.
[0048] Thus, in an embodiment, step 802 further includes determining, based on an output of a ring oscillator, a number representative of an average speed of the ring oscillator over the time period; and mapping the determined number using to a supply voltage average. In an embodiment, determining the average speed of the ring oscillator is done using a counter, which is coupled to the output of the ring oscillator. The counter counts the number of times that the ring oscillator has spun over the time period and outputs a number representative of the speed of the ring oscillator. Mapping the determined number to the supply voltage average is performed, in an embodiment, using a lookup table. The lookup table may be generated by a priori characterization of the ring oscillator. For example, the ring oscillator may be operated at various supply voltage values and its speed measured and stored in the lookup table.
[0049] Process 800 continues at step 804, which includes determining an operating clock frequency for the IC based on the supply voltage average. In an embodiment, the determined operating clock frequency is the maximum frequency supported by the IC based on the supply voltage average. In an embodiment, a second lookup table is used to map the supply voltage average to an operating clock frequency for the IC.
[0050] Finally, process 800 terminates in step 806, which includes adjusting the clock frequency of the IC to the determined operating clock frequency. Step 806 may be performed using any of the means for adjusting the clock frequency, as described above, or as known in the art. Embodiments have been described above with the aid of functional building blocks illustrating the implementation of specified functions and relationships thereof. The boundaries of these functional building blocks have been arbitrarily defined herein for the convenience of the description. Alternate boundaries can be defined so long as the specified functions and relationships thereof are appropriately performed. [0051] The foregoing description of the specific embodiments will so fully reveal the general nature of the invention that others can, by applying knowledge within the skill of the art, readily modify and/or adapt for various applications such specific embodiments, without undue experimentation, without departing from the general concept of the present invention. Therefore, such adaptations and modifications are intended to be within the meaning and range of equivalents of the disclosed embodiments, based on the teaching and guidance presented herein. It is to be understood that the phraseology or terminology herein is for the purpose of description and not of limitation, such that the terminology or phraseology of the present specification is to be interpreted by the skilled artisan in light of the teachings and guidance.
[0052] The breadth and scope of embodiments of the present invention should not be limited by any of the above-described exemplary embodiments, but should be defined only in accordance with the following claims and their equivalents.

Claims

WHAT IS CLAIMED IS:
1. A system, comprising:
a first functional block;
a first latch circuit, coupled to an output of the first functional block;
a second functional block;
a second latch circuit, coupled to an input of the second functional block;
a logic path that couples a data output of the first latch circuit and a data input of the second latch circuit;
a clock path coupled at a first endpoint to a clock input of the first latch circuit and at a second endpoint to a clock input of the second latch circuit; and
a feedback path, coupled between a tap point of the clock path and the first endpoint of the clock path,
wherein the first latch circuit is configured to receive a system clock at its clock input and to sample the output of the first functional block according to the system clock, to send data clocked according to the system clock over the logic path, and
wherein the second latch circuit is configured to receive the system clock at its clock input and to sample its data input according to the system clock, to receive the data from the logic path.
2. The system of claim 1, further comprising:
a clock module configured to generate the system clock and to provide the system clock to the first latch circuit.
3. The system of claim 2, wherein the clock module sends the system clock over the clock path to the second latch circuit.
4. The system of claim 2, wherein the clock path and the feedback path are provided a same supply voltage as the logic path.
5. The system of claim 1, wherein the clock path and the feedback path form a ring oscillator circuit.
6. The system of claim 5, wherein an output frequency of the ring oscillator is a function of a propagation delay of the clock path.
7. The system of claim 6, wherein the output frequency of the ring oscillator is proportional to a propagation delay of a fraction of the clock path.
8. The system of claim 1, wherein the output frequency of the ring oscillator varies according to changes in supply voltage, thereby adjusting a frequency of the system clock based on supply voltage variations.
9. The system of claim 1, wherein a frequency of the system clock is a function of a propagation delay of the clock path.
10. The system of claim 9, wherein the propagation delay of the clock path is configured to be equal to a propagation delay of the logic path.
11. The system of claim 9, wherein the frequency of the system clock varies according to temperature, process, and voltage variations of the propagation delay of the clock path.
12. The system of claim 1, wherein the tap point is selected to be at least a minimum distance away from the first endpoint along the clock path so that the data sent over the logic path does not exceed a capacity of the logic path.
13. The system of claim 1, wherein the clock path is inside a phase-locked loop
(PLL).
14. A method for regulating a clock frequency of an integrated circuit (IC), comprising:
estimating a supply voltage average over a time period;
determining an operating clock frequency for the IC based on the supply voltage average; and
adjusting the clock frequency of the IC to the determined operating clock frequency.
15. The method of claim 14, wherein estimating a supply voltage average comprises: determining, based on an output of a ring oscillator, a number representative of an average speed of the ring oscillator over the time period; and
mapping the determined number to the supply voltage average.
16. The method of claim 15, wherein determining the number representative of the average speed comprises coupling the output of the ring oscillator to a counter.
17. The method of claim 15, wherein mapping the determined number to the supply voltage average is performed using a lookup table, generated by a priori characterization of the ring oscillator.
18. The method of claim 15, wherein the ring oscillator is provided a same supply voltage as the IC.
19. The method of claim 14, wherein the determined operating clock frequency is the maximum frequency supported by the IC based on the supply voltage average.
20. A system for regulating a clock frequency of an integrated circuit (IC), comprising:
a ring oscillator, provided a same supply voltage as the IC;
a counter, coupled to an output of the ring oscillator, that determines a number representative of an average speed of the ring oscillator over a time period;
a first lookup table, coupled to the counter, that maps the determined number to a supply voltage average;
a second lookup table that maps the supply voltage average to an operating clock frequency for the IC; and
means for adjusting the clock frequency of the IC to the operating clock frequency.
PCT/US2012/051451 2011-08-17 2012-08-17 Adaptive clocking scheme to accommodate supply voltage transients WO2013026032A1 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201161524538P 2011-08-17 2011-08-17
US61/524,538 2011-08-17
US13/331,874 2011-12-20
US13/331,874 US9312862B2 (en) 2011-08-17 2011-12-20 Adaptive clocking scheme to accommodate supply voltage transients

Publications (1)

Publication Number Publication Date
WO2013026032A1 true WO2013026032A1 (en) 2013-02-21

Family

ID=47712228

Family Applications (3)

Application Number Title Priority Date Filing Date
PCT/US2012/051451 WO2013026032A1 (en) 2011-08-17 2012-08-17 Adaptive clocking scheme to accommodate supply voltage transients
PCT/US2012/051477 WO2013026039A2 (en) 2011-08-17 2012-08-17 Proactive power management using a power management unit
PCT/US2012/051479 WO2013026040A1 (en) 2011-08-17 2012-08-17 System for clocking an integrated circuit

Family Applications After (2)

Application Number Title Priority Date Filing Date
PCT/US2012/051477 WO2013026039A2 (en) 2011-08-17 2012-08-17 Proactive power management using a power management unit
PCT/US2012/051479 WO2013026040A1 (en) 2011-08-17 2012-08-17 System for clocking an integrated circuit

Country Status (2)

Country Link
US (18) US8954017B2 (en)
WO (3) WO2013026032A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107483180A (en) * 2017-08-21 2017-12-15 湖南大学 A kind of high stability physics unclonable function circuit and its response production method

Families Citing this family (144)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8286046B2 (en) * 2001-09-28 2012-10-09 Rambus Inc. Integrated circuit testing module including signal shaping interface
US9946667B2 (en) * 2008-11-12 2018-04-17 Microchip Technology Incorporated Microcontroller with configurable logic array
US8682639B2 (en) * 2010-09-21 2014-03-25 Texas Instruments Incorporated Dedicated memory window for emulation address
FR2965931B1 (en) * 2010-10-08 2013-05-03 Satimo Ind METHOD AND DEVICE FOR ELECTRONIC TESTING OF AN OBJECT
CN103460159B (en) * 2011-04-11 2016-06-08 索尼电脑娱乐公司 Semiconductor integrated circuit
EP2541220B1 (en) * 2011-06-28 2015-04-08 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Device for measuring a temperature of a high-power semiconductor
US8954017B2 (en) 2011-08-17 2015-02-10 Broadcom Corporation Clock signal multiplication to reduce noise coupled onto a transmission communication signal of a communications device
US20130086395A1 (en) * 2011-09-30 2013-04-04 Qualcomm Incorporated Multi-Core Microprocessor Reliability Optimization
KR101840852B1 (en) * 2011-10-10 2018-03-22 삼성전자주식회사 Surface temperature management method of mobile device and memory thermal management method of multichip package
US8831515B2 (en) 2011-10-12 2014-09-09 Broadcom Corporation Shaped load modulation in a near field communications (NFC) device
JP2013088394A (en) * 2011-10-21 2013-05-13 Renesas Electronics Corp Semiconductor device
US20140359350A1 (en) * 2012-02-24 2014-12-04 Jeffrey A PLANK Wear-leveling cores of a multi-core processor
US9344358B2 (en) * 2012-03-12 2016-05-17 Utah State University Aging-aware routing for NoCs
US20150198996A1 (en) * 2012-03-15 2015-07-16 Google Inc. Dynamically adjustable power usage
US20130262654A1 (en) * 2012-03-28 2013-10-03 Sony Corporation Resource management system with resource optimization mechanism and method of operation thereof
WO2013147865A1 (en) 2012-03-30 2013-10-03 Intel Corporation A mechanism for saving and retrieving micro-architecture context
US20130262912A1 (en) * 2012-04-02 2013-10-03 International Business Machines Corporation Managing hardware configuration of a computer node
US20130275353A1 (en) * 2012-04-16 2013-10-17 Apple Inc. Systems and methods for selecting media items
US9229884B2 (en) 2012-04-30 2016-01-05 Freescale Semiconductor, Inc. Virtualized instruction extensions for system partitioning
US8856567B2 (en) * 2012-05-10 2014-10-07 International Business Machines Corporation Management of thermal condition in a data processing system by dynamic management of thermal loads
US9176563B2 (en) * 2012-05-14 2015-11-03 Broadcom Corporation Leakage variation aware power management for multicore processors
US9152587B2 (en) 2012-05-31 2015-10-06 Freescale Semiconductor, Inc. Virtualized interrupt delay mechanism
US10156455B2 (en) 2012-06-05 2018-12-18 Apple Inc. Context-aware voice guidance
US9886794B2 (en) 2012-06-05 2018-02-06 Apple Inc. Problem reporting in maps
US8965696B2 (en) 2012-06-05 2015-02-24 Apple Inc. Providing navigation instructions while operating navigation application in background
US9997069B2 (en) 2012-06-05 2018-06-12 Apple Inc. Context-aware voice guidance
US9482296B2 (en) 2012-06-05 2016-11-01 Apple Inc. Rendering road signs during navigation
US9111380B2 (en) 2012-06-05 2015-08-18 Apple Inc. Rendering maps
US9418672B2 (en) 2012-06-05 2016-08-16 Apple Inc. Navigation application with adaptive instruction text
US8918066B2 (en) 2012-06-08 2014-12-23 Apple Inc. Facilitating switching between transmitting antennas in portable electronic devices
US8880749B2 (en) 2012-06-30 2014-11-04 Silicon Laboratories Inc. Apparatus for mixed signal interface circuitry and associated methods
US8762586B2 (en) * 2012-06-30 2014-06-24 Silicon Laboratories Inc. Apparatus for mixed signal interface acquisition circuitry and associated methods
US9086865B2 (en) * 2012-07-09 2015-07-21 International Business Machines Corporation Power napping technique for accelerated negative bias temperature instability (NBTI) and/or positive bias temperature instability (PBTI) recovery
WO2014023354A1 (en) * 2012-08-09 2014-02-13 Telefonaktiebolaget L M Ericsson (Publ) Method and module for providing instructions for setting a supply voltage
US9442870B2 (en) * 2012-08-09 2016-09-13 Freescale Semiconductor, Inc. Interrupt priority management using partition-based priority blocking processor registers
US9436626B2 (en) * 2012-08-09 2016-09-06 Freescale Semiconductor, Inc. Processor interrupt interface with interrupt partitioning and virtualization enhancements
US9239604B2 (en) * 2012-08-31 2016-01-19 Vixs Systems, Inc. Video processing device with ring oscillator for power adjustment and methods for use therewith
US9524012B2 (en) * 2012-10-05 2016-12-20 Dell Products L.P. Power system utilizing processor core performance state control
US8996902B2 (en) 2012-10-23 2015-03-31 Qualcomm Incorporated Modal workload scheduling in a heterogeneous multi-processor system on a chip
US8655307B1 (en) * 2012-10-26 2014-02-18 Lookout, Inc. System and method for developing, updating, and using user device behavioral context models to modify user, device, and application state, settings and behavior for enhanced user security
JP6146852B2 (en) * 2012-10-30 2017-06-14 シナプティクス・ジャパン合同会社 Display control apparatus and data processing system
US9087146B2 (en) * 2012-12-21 2015-07-21 Intel Corporation Wear-out equalization techniques for multiple functional units
US9451551B2 (en) * 2012-12-21 2016-09-20 Apple Inc. Controlling a power state of a cellular packet data subsystem in a portable electronic device
US10175739B2 (en) 2013-01-29 2019-01-08 Avago Technologies International Sales Pte. Limited Wearable device-aware supervised power management for mobile platforms
US9000805B2 (en) * 2013-01-29 2015-04-07 Broadcom Corporation Resonant inductor coupling clock distribution
US9172383B2 (en) 2013-01-29 2015-10-27 Broadcom Corporation Induction-coupled clock distribution for an integrated circuit
CN103197717B (en) * 2013-02-28 2015-11-25 华为技术有限公司 Adaptive voltage method of adjustment, chip and system
US9417643B2 (en) 2013-03-15 2016-08-16 Qualcomm Incorporated Voltage regulator with variable impedance element
TWI641943B (en) * 2013-03-15 2018-11-21 美商英特爾公司 Controlling power supply unit power consumption during idle state
TWI498737B (en) * 2013-03-29 2015-09-01 Mstar Semiconductor Inc Debug authorization determining method for motherboard control module and motherboard control module thereof
WO2014165431A1 (en) 2013-04-05 2014-10-09 Antique Books, Inc. Method and system providing a picture password proof of knowledge
US10409353B2 (en) * 2013-04-17 2019-09-10 Qualcomm Incorporated Dynamic clock voltage scaling (DCVS) based on application performance in a system-on-a-chip (SOC), and related methods and processor-based systems
CN104112095B (en) * 2013-04-18 2017-09-22 晨星半导体股份有限公司 For motherboard control module except wrong authority determination methods and motherboard control module
US20140344827A1 (en) * 2013-05-16 2014-11-20 Nvidia Corporation System, method, and computer program product for scheduling a task to be performed by at least one processor core
TWI485367B (en) * 2013-05-17 2015-05-21 Advanced Semiconductor Eng Process, voltage and temperature(pvt)sensor and method for operating the same
US20140344592A1 (en) * 2013-05-20 2014-11-20 Advanced Micro Devices, Inc. Methods and apparatus for powering up an integrated circuit
US9471088B2 (en) * 2013-06-25 2016-10-18 Intel Corporation Restricting clock signal delivery in a processor
US9602083B2 (en) 2013-07-03 2017-03-21 Nvidia Corporation Clock generation circuit that tracks critical path across process, voltage and temperature variation
KR102187505B1 (en) * 2013-07-22 2020-12-08 삼성전자 주식회사 Method and apparatus for contriolling display of electronic device
US10103719B2 (en) 2013-07-22 2018-10-16 Nvidia Corporation Integrated voltage regulator with in-built process, temperature and aging compensation
DE102013216699A1 (en) * 2013-08-22 2015-02-26 Siemens Ag Österreich Method and circuit arrangement for securing against scanning of an address space
US9703355B2 (en) * 2013-08-28 2017-07-11 Qualcomm Incorporated Method, devices and systems for dynamic multimedia data flow control for thermal power budgeting
GB2517732A (en) * 2013-08-29 2015-03-04 Sim & Pin Ltd System for accessing data from multiple devices
US9071581B2 (en) * 2013-09-23 2015-06-30 Nvidia Corporation Secure storage with SCSI storage devices
US9383407B2 (en) * 2013-10-16 2016-07-05 Apple Inc. Instantaneous IR drop measurement circuit
KR20150050135A (en) 2013-10-31 2015-05-08 삼성전자주식회사 Electronic system including a plurality of heterogeneous cores and operating method therof
US10079019B2 (en) 2013-11-12 2018-09-18 Apple Inc. Always-on audio control for mobile device
CN104700886B (en) * 2013-12-06 2019-05-31 恩智浦美国有限公司 Memory circuit with power supply status sensor
US9606843B2 (en) 2013-12-18 2017-03-28 Qualcomm Incorporated Runtime optimization of multi-core system designs for increased operating life and maximized performance
US20150169363A1 (en) * 2013-12-18 2015-06-18 Qualcomm Incorporated Runtime Optimization of Multi-core System Designs for Increased Operating Life and Maximized Performance
US10261875B2 (en) * 2013-12-18 2019-04-16 Qualcomm Incorporated Runtime optimization of multi-core system designs for increased operating life and maximized performance
US9703613B2 (en) 2013-12-20 2017-07-11 Qualcomm Incorporated Multi-core dynamic workload management using native and dynamic parameters
KR20160102446A (en) * 2013-12-23 2016-08-30 마벨 이스라엘 (엠.아이.에스.엘) 리미티드 Apparatus and method for reacting to a change in supply voltage
JP6221792B2 (en) * 2014-02-05 2017-11-01 富士通株式会社 Information processing apparatus, information processing system, and information processing system control method
US10371415B2 (en) 2014-02-19 2019-08-06 The Boeing Company Electronics operation for temperature controlled systems
US9268970B2 (en) 2014-03-20 2016-02-23 Analog Devices, Inc. System and method for security-aware master
TWI548886B (en) 2014-04-18 2016-09-11 創意電子股份有限公司 Aging detection circuit and method thereof
US9300659B2 (en) * 2014-04-22 2016-03-29 Antique Books, Inc. Method and system of providing a picture password for relatively smaller displays
US9323435B2 (en) 2014-04-22 2016-04-26 Robert H. Thibadeau, SR. Method and system of providing a picture password for relatively smaller displays
US9521636B2 (en) * 2014-04-22 2016-12-13 Nxp Usa, Inc. Synchronization circuitry, common public radio interface enable device, and a method of synchronizing a synchronized clock signal of a second transceiver to a clock of a first transceiver
JP6366358B2 (en) * 2014-05-20 2018-08-01 キヤノン株式会社 Information processing apparatus, information processing apparatus control method, and program
EP3146746B1 (en) * 2014-05-21 2019-07-03 Abbott Diabetes Care Inc. Management of multiple devices within an analyte monitoring environment
US9619377B2 (en) * 2014-05-29 2017-04-11 Apple Inc. System on a chip with always-on processor which reconfigures SOC and supports memory-only communication mode
US10031000B2 (en) 2014-05-29 2018-07-24 Apple Inc. System on a chip with always-on processor
US9778728B2 (en) 2014-05-29 2017-10-03 Apple Inc. System on a chip with fast wake from sleep
EP3149985A1 (en) 2014-06-02 2017-04-05 Antique Books Inc. Advanced proof of knowledge authentication
EP3149645B1 (en) 2014-06-02 2018-05-16 Antique Books Inc. Device for entering graphical password on small displays with cursor offset
US20150363116A1 (en) * 2014-06-12 2015-12-17 Advanced Micro Devices, Inc. Memory controller power management based on latency
WO2015199754A1 (en) * 2014-06-24 2015-12-30 Ruckus Wireless, Inc. Provisioning radios associated with acess points for testing a wireless network
US9720868B2 (en) * 2014-07-07 2017-08-01 Xilinx, Inc. Bridging inter-bus communications
US9367442B2 (en) * 2014-07-12 2016-06-14 Lenovo Enterprise Solutions (Singapore) Pte. Ltd. Allocating memory usage based on voltage regulator efficiency
US10079906B2 (en) * 2014-07-16 2018-09-18 Comcast Cable Communications, Llc Device mode settings to provide an enhanced user experience
EP3180725A1 (en) 2014-08-11 2017-06-21 Antique Books Inc. Methods and systems for securing proofs of knowledge for privacy
US20160091950A1 (en) * 2014-09-26 2016-03-31 Apple Inc. Peak current management
KR101637712B1 (en) 2014-10-31 2016-07-20 현대자동차주식회사 System for guiding economic driving, Vehicle applied to the same, and Method thereof
US9967417B2 (en) * 2015-01-21 2018-05-08 Canon Kabushiki Kaisha Managing apparatus power states
US9785211B2 (en) * 2015-02-13 2017-10-10 Qualcomm Incorporated Independent power collapse methodology
CN111176748B (en) 2015-05-08 2022-02-11 荣耀终端有限公司 Configuration method of setting information, terminal and server
CN106293644B (en) * 2015-05-12 2022-02-01 超威半导体产品(中国)有限公司 Power budget method considering time thermal coupling
US11265165B2 (en) 2015-05-22 2022-03-01 Antique Books, Inc. Initial provisioning through shared proofs of knowledge and crowdsourced identification
US9804666B2 (en) * 2015-05-26 2017-10-31 Samsung Electronics Co., Ltd. Warp clustering
US10234926B2 (en) * 2015-06-16 2019-03-19 Dell Products, Lp Method and apparatus for customized energy policy based on energy demand estimation for client systems
CN104933984B (en) * 2015-07-20 2017-12-05 京东方科技集团股份有限公司 Display device and its driving method and drive module
US10073718B2 (en) 2016-01-15 2018-09-11 Intel Corporation Systems, methods and devices for determining work placement on processor cores
US9825620B2 (en) * 2016-01-21 2017-11-21 Apple Inc. Method and apparatus for digital undervoltage detection and control
US10552219B2 (en) 2016-02-19 2020-02-04 Microsoft Technology Licensing, Llc Computing resource management of computing devices
US9806828B2 (en) 2016-02-24 2017-10-31 Frontier Engineering, Llc Radio frequency generator automated test system
KR101758267B1 (en) * 2016-03-10 2017-07-17 한국과학기술원 Communication apparatus for predicting power consumption of mobile application, communication system having the same, method of predicting power consumption of mobile application and method of providing predicted power consumption of mobile application
US10496811B2 (en) * 2016-08-04 2019-12-03 Data I/O Corporation Counterfeit prevention
US10310548B2 (en) 2016-11-07 2019-06-04 Microsoft Technology Licensing, Llc Expected lifetime management
DE102016124962A1 (en) * 2016-12-20 2018-06-21 Infineon Technologies Ag A memory device and method for controlling a memory support function
EP3343313A1 (en) * 2016-12-30 2018-07-04 Intel IP Corporation Devices and methods for thermal management
US10115471B1 (en) * 2017-05-01 2018-10-30 Western Digital Technologies, Inc. Storage system and method for handling overheating of the storage system
US11551990B2 (en) 2017-08-11 2023-01-10 Advanced Micro Devices, Inc. Method and apparatus for providing thermal wear leveling
US11742038B2 (en) 2017-08-11 2023-08-29 Advanced Micro Devices, Inc. Method and apparatus for providing wear leveling
US11131502B2 (en) * 2017-08-14 2021-09-28 Ut-Battelle, Llc Heating system with induction power supply and electromagnetic acoustic transducer with induction power supply
KR102362107B1 (en) * 2017-11-21 2022-02-11 구글 엘엘씨 Low Power Ambient Computing System with Machine Learning Capabilities
TWI664555B (en) * 2017-11-30 2019-07-01 大陸商北京集創北方科技股份有限公司 Key pairing method between display screen and motherboard of handheld device and handheld device using same
KR102604735B1 (en) * 2017-11-30 2023-11-22 삼성전자주식회사 Apparatus and method for manegementing consumption power in a electrolic device
WO2019117961A1 (en) * 2017-12-15 2019-06-20 Intel Corporation Power governance of processing unit
GB201806465D0 (en) 2018-04-20 2018-06-06 Nordic Semiconductor Asa Memory-access controll
CN110490008B (en) * 2018-05-14 2021-08-10 英韧科技(上海)有限公司 Security device and security chip
CN110196824B (en) * 2018-05-31 2022-12-09 腾讯科技(深圳)有限公司 Method and device for realizing data transmission and electronic equipment
GB201810662D0 (en) 2018-06-28 2018-08-15 Nordic Semiconductor Asa Peripheral Access On A Secure-Aware Bus System
GB201810659D0 (en) * 2018-06-28 2018-08-15 Nordic Semiconductor Asa Secure-Aware Bus System
GB201810653D0 (en) 2018-06-28 2018-08-15 Nordic Semiconductor Asa Secure peripheral interconnect
CN109144214B (en) * 2018-08-06 2022-05-03 交叉信息核心技术研究院(西安)有限公司 Energy management system, method, electronic device, device and nonvolatile processor
US20210247839A1 (en) * 2018-09-03 2021-08-12 Drexel University On-chip voltage assignment through particle swarm optimization
US11531385B2 (en) 2018-09-17 2022-12-20 Samsung Electronics Co., Ltd. Voltage droop monitoring circuits, system-on chips and methods of operating the system-on chips
KR102639095B1 (en) 2018-10-29 2024-02-23 삼성전자주식회사 Electronic device for managing degradation degree
TWI675293B (en) * 2018-11-02 2019-10-21 神雲科技股份有限公司 A host boot detection method and its system
US10969843B2 (en) * 2018-11-06 2021-04-06 International Business Machines Corporation Device-to-device wireless power transfer to provide content display continuity
CN111506530A (en) * 2019-01-30 2020-08-07 智原科技股份有限公司 Interrupt management system and management method thereof
US11543872B2 (en) 2019-07-02 2023-01-03 Microsoft Technology Licensing, Llc Dynamically adjusting device operating voltage based on device performance
US10996266B2 (en) 2019-08-09 2021-05-04 Stmicroelectronics International N.V. System and method for testing voltage monitors
US11567555B2 (en) * 2019-08-30 2023-01-31 Intel Corporation Software assisted power management
US11204766B2 (en) * 2019-08-30 2021-12-21 Intel Corporation Proactive Di/Dt voltage droop mitigation
CN113051199A (en) 2019-12-26 2021-06-29 阿里巴巴集团控股有限公司 Data transmission method and device
EP3866356B1 (en) * 2020-02-17 2023-08-23 Rohde & Schwarz GmbH & Co. KG Method of measuring a total radiated power of a device under test as well as test system
TWI749580B (en) * 2020-06-08 2021-12-11 星河半導體股份有限公司 Multi-channel antenna chip test system and method
CN111769824B (en) * 2020-07-13 2022-06-14 电子科技大学 Configurable delay circuit
US11843939B2 (en) * 2020-12-16 2023-12-12 Itron, Inc. Secure messaging for outage events
US11592894B2 (en) * 2021-04-12 2023-02-28 Dell Products L.P. Increasing power efficiency for an information handling system
CN113655994B (en) * 2021-10-21 2022-02-18 北京壁仞科技开发有限公司 Current change slope control method, control device and medium for multi-core processor

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020135343A1 (en) * 2001-03-22 2002-09-26 Underbrink Paul A. Critical path adaptive power control
WO2005088424A2 (en) * 2004-03-10 2005-09-22 Koninklijke Philips Electronics N.V. Calibration of clock generators in system-on-chip integrated circuits
US6995621B1 (en) * 2003-09-17 2006-02-07 Hewlett-Packard Development Company, L.P. On-chip variable oscillator method and apparatus
US7129763B1 (en) * 2004-11-08 2006-10-31 Western Digital Technologies, Inc. Adjusting power consumption of digital circuitry by generating frequency error representing error in propagation delay
US20080068100A1 (en) * 2006-09-12 2008-03-20 Goodnow Kenneth J Power management architecture and method of modulating oscillator frequency based on voltage supply
US20080204158A1 (en) * 2007-02-27 2008-08-28 Infineon Technologies Ag Apparatus and method for generating a supply voltage-dependent clock signal
WO2010058249A1 (en) * 2008-11-24 2010-05-27 Freescale Semiconductor, Inc. Method and apparatus for generating a clock signal
US20110140752A1 (en) * 2009-12-14 2011-06-16 Qualcomm Incorporated Adaptive Clock Generators, Systems, and Methods

Family Cites Families (158)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4124848A (en) * 1977-09-21 1978-11-07 Automation Industries, Inc. Range limited area protection system
US4479216A (en) * 1982-12-22 1984-10-23 At&T Bell Laboratories Skew-free clock circuit for integrated circuit chip
US4775997A (en) * 1984-09-18 1988-10-04 Metrofone, Inc. System for interfacing a standard telephone set with a radio transceiver
US4882752A (en) * 1986-06-25 1989-11-21 Lindman Richard S Computer security system
US5107208A (en) * 1989-12-19 1992-04-21 North American Philips Corporation System for partitioning and testing submodule circuits of an integrated circuit
JP2530051B2 (en) 1990-07-24 1996-09-04 株式会社東芝 Semiconductor integrated circuit device
WO1994000934A1 (en) 1992-06-29 1994-01-06 Baxter Diagnostics Inc. High-speed time-multiplexed data transmission system
US5339445A (en) * 1992-11-16 1994-08-16 Harris Corporation Method of autonomously reducing power consumption in a computer sytem by compiling a history of power consumption
FI92786C (en) * 1993-03-30 1994-12-27 Nokia Telecommunications Oy Procedure for searching signaling channel in a radio system
SE501190C2 (en) * 1993-04-28 1994-12-05 Ellemtel Utvecklings Ab Digitally controlled crystal oscillator
US5502838A (en) * 1994-04-28 1996-03-26 Consilium Overseas Limited Temperature management for integrated circuits
EP0652516A1 (en) * 1993-11-03 1995-05-10 Advanced Micro Devices, Inc. Integrated microprocessor
WO1995031782A1 (en) * 1994-05-12 1995-11-23 Ast Research, Inc. Cpu activity monitoring through cache watching
US5873039A (en) * 1994-11-28 1999-02-16 Interonics Corporation Cellular telephone-modem interface for data communication
US5745375A (en) * 1995-09-29 1998-04-28 Intel Corporation Apparatus and method for controlling power usage
US5959872A (en) * 1996-10-28 1999-09-28 Samsung Electronics Co., Ltd. Apparatus and method for bidirectional scanning of video coefficients
JP3137034B2 (en) * 1997-06-06 2001-02-19 日本電気株式会社 Address trap comparison circuit for easy failure verification
US6192439B1 (en) * 1998-08-11 2001-02-20 Hewlett-Packard Company PCI-compliant interrupt steering architecture
US6253076B1 (en) * 1999-02-25 2001-06-26 Ericsson Inc. Manufacturing method for wireless communications devices employing potentially different versions of integrated circuits
US6658237B1 (en) * 1999-03-02 2003-12-02 Skyworks Solutions, Inc. Multi-Band transceiver utilizing direct conversion receiver
JP3895496B2 (en) * 1999-04-09 2007-03-22 富士通株式会社 Charging control circuit, charging device, and charging control method
US6194940B1 (en) 1999-09-27 2001-02-27 Lucent Technologies Inc. Automatic clock switching
US6855690B2 (en) 2000-06-01 2005-02-15 Children's Medical Center Corporation Methods and compositions for treating ocular disorders
DE10034262C1 (en) * 2000-07-14 2001-09-20 Infineon Technologies Ag Semiconducting device, especially for motor vehicle, has temperature regulation, and control unit causes integrated circuit to perform dummy working cycles if temperature below threshold
US6782486B1 (en) 2000-08-11 2004-08-24 Advanced Micro Devices, Inc. Apparatus for stopping and starting a clock in a clock forwarded I/O system depending on the presence of valid data in a receive buffer
US6320438B1 (en) * 2000-08-17 2001-11-20 Pericom Semiconductor Corp. Duty-cycle correction driver with dual-filter feedback loop
US6977979B1 (en) * 2000-08-31 2005-12-20 Hewlett-Packard Development Company, L.P. Enhanced clock forwarding data recovery
US20020087904A1 (en) * 2000-12-28 2002-07-04 Zhong-Ning (George) Cai Method and apparatus for thermal sensitivity based dynamic power control
US6771087B1 (en) * 2001-06-04 2004-08-03 Advanced Micro Devices, Inc. System and method for testing integrated circuit modules
US6668357B2 (en) * 2001-06-29 2003-12-23 Fujitsu Limited Cold clock power reduction
GB0119629D0 (en) * 2001-08-10 2001-10-03 Cryptomathic As Data certification method and apparatus
US6931562B1 (en) 2001-08-23 2005-08-16 Hewlett-Packard Development Company, L.P. System and method for transferring data from a higher frequency clock domain to a lower frequency clock domain
US7145903B2 (en) * 2001-09-06 2006-12-05 Meshnetworks, Inc. Multi-master bus architecture for system-on-chip designs
US8286046B2 (en) * 2001-09-28 2012-10-09 Rambus Inc. Integrated circuit testing module including signal shaping interface
US7313740B2 (en) * 2002-07-25 2007-12-25 Inapac Technology, Inc. Internally generating patterns for testing in an integrated circuit device
US7265570B2 (en) * 2001-09-28 2007-09-04 Inapac Technology, Inc. Integrated circuit testing module
US6804632B2 (en) * 2001-12-06 2004-10-12 Intel Corporation Distribution of processing activity across processing hardware based on power consumption considerations
US6883102B2 (en) * 2001-12-18 2005-04-19 Arm Limited Apparatus and method for performing power management functions
US6586971B1 (en) * 2001-12-18 2003-07-01 Hewlett-Packard Development Company, L.P. Adapting VLSI clocking to short term voltage transients
US6877057B2 (en) * 2002-01-25 2005-04-05 Dell Products L.P. Information handling system with dynamic interrupt allocation apparatus and methodology
US7123155B2 (en) * 2002-04-05 2006-10-17 Hewlett-Packard Development Company, L.P. Operational mode-based battery monitoring for a battery-powered electronic device
JP4031671B2 (en) 2002-06-11 2008-01-09 松下電器産業株式会社 Clock recovery circuit
JP2004021574A (en) * 2002-06-17 2004-01-22 Hitachi Ltd Semiconductor device
US7486894B2 (en) * 2002-06-25 2009-02-03 Finisar Corporation Transceiver module and integrated circuit with dual eye openers
US7561855B2 (en) * 2002-06-25 2009-07-14 Finisar Corporation Transceiver module and integrated circuit with clock and data recovery clock diplexing
TWI285302B (en) 2002-07-26 2007-08-11 Mstar Semiconductor Inc Logic system with adaptive supply voltage control
US6908227B2 (en) * 2002-08-23 2005-06-21 Intel Corporation Apparatus for thermal management of multiple core microprocessors
KR100486256B1 (en) 2002-09-04 2005-05-03 삼성전자주식회사 Semiconductor memory device comprising duty cycle correction circuit and circuit of interpolating clock signals in the semiconductor memory device
JP3905005B2 (en) * 2002-09-18 2007-04-18 富士通株式会社 Portable device and semiconductor integrated circuit device
US7149862B2 (en) * 2002-11-18 2006-12-12 Arm Limited Access control in a data processing apparatus
US7309999B2 (en) * 2002-11-27 2007-12-18 Inapac Technology, Inc. Electronic device having an interface supported testing mode
US7010713B2 (en) 2002-12-19 2006-03-07 Mosaid Technologies, Inc. Synchronization circuit and method with transparent latches
US7305253B2 (en) 2002-12-19 2007-12-04 Sony Ericsson Mobile Communications Ab Combination audio/charger jack
US7505541B1 (en) * 2003-01-17 2009-03-17 Xilinx, Inc. NRZ/PAM-4/PRML triple mode phase and data detector
US6956923B1 (en) * 2003-01-17 2005-10-18 Xilinx, Inc. High speed phase detector architecture
US6941232B2 (en) * 2003-01-28 2005-09-06 Texas Instruments Incorporated Method and apparatus for performing multi-site integrated circuit device testing
US7565531B2 (en) * 2003-05-13 2009-07-21 Chi-Chian Yu Locking programming interface
WO2005008424A2 (en) 2003-07-11 2005-01-27 Finanalytica, Inc. Providing optimization of a financial portfolio using a parametric leptokurtic distribution
US7197652B2 (en) * 2003-12-22 2007-03-27 International Business Machines Corporation Method and system for energy management in a simultaneous multi-threaded (SMT) processing system including per-thread device usage monitoring
US8095813B2 (en) * 2004-03-22 2012-01-10 Integrated Device Technology, Inc Integrated circuit systems having processor-controlled clock signal generators therein that support efficient power management
US7213172B2 (en) * 2004-03-31 2007-05-01 Intel Corporation Debugging power management
JP3834323B2 (en) * 2004-04-30 2006-10-18 日本電気株式会社 Cache memory and cache control method
US20050257016A1 (en) * 2004-05-17 2005-11-17 Brian Boles Digital signal controller secure memory partitioning
JP3805344B2 (en) * 2004-06-22 2006-08-02 株式会社ソニー・コンピュータエンタテインメント Processor, information processing apparatus and processor control method
US7565562B2 (en) * 2004-09-03 2009-07-21 Intel Corporation Context based power management
US20060049886A1 (en) 2004-09-08 2006-03-09 Agostinelli Victor M Jr On-die record-of-age circuit
WO2006056824A2 (en) * 2004-09-10 2006-06-01 Freescale Semiconductor, Inc. Apparatus and method for controlling voltage and frequency
US7773964B2 (en) * 2004-10-25 2010-08-10 Qualcomm Incorporated Systems, methods and apparatus for determining a radiated performance of a wireless device
US7814485B2 (en) * 2004-12-07 2010-10-12 Intel Corporation System and method for adaptive power management based on processor utilization and cache misses
US7199607B2 (en) 2004-12-22 2007-04-03 Infineon Technologies Ag Pin multiplexing
US7149645B2 (en) * 2004-12-30 2006-12-12 Intel Corporation Method and apparatus for accurate on-die temperature measurement
JP2006236064A (en) * 2005-02-25 2006-09-07 Oki Electric Ind Co Ltd Memory control device and memory system
DE602005021549D1 (en) * 2005-03-30 2010-07-08 Freescale Semiconductor Inc METHOD AND DEVICE FOR SUBMITTING A SEQUENCE OF TRANSMISSION BURSTS
US7219175B1 (en) 2005-03-31 2007-05-15 Emc Corporation Method and system for improving the latency in a data transmission system
US7558984B2 (en) * 2005-04-27 2009-07-07 Texas Instruments Incorporated Apparatus and method for test and debug of a processor/core having advanced power management
US7562234B2 (en) * 2005-08-25 2009-07-14 Apple Inc. Methods and apparatuses for dynamic power control
US7813459B2 (en) 2005-10-03 2010-10-12 Spansion Llc Digital data transfer between different clock domains
JP4520394B2 (en) 2005-10-27 2010-08-04 ルネサスエレクトロニクス株式会社 DLL circuit and test method thereof
US7417482B2 (en) 2005-10-31 2008-08-26 Qualcomm Incorporated Adaptive voltage scaling for an electronics device
US7444528B2 (en) * 2005-12-06 2008-10-28 Intel Corporation Component reliability budgeting system
US7592876B2 (en) 2005-12-08 2009-09-22 Intel Corporation Leakage oscillator based aging monitor
US7925899B2 (en) * 2005-12-29 2011-04-12 Intel Corporation Method, system, and apparatus for runtime power estimation
WO2007090431A1 (en) * 2006-02-09 2007-08-16 Freescale Semiconductor, Inc. Electronic apparatus and method of conserving energy
CN101390067B (en) * 2006-02-28 2012-12-05 英特尔公司 Improvement in the reliability of a multi-core processor
US7716511B2 (en) * 2006-03-08 2010-05-11 Freescale Semiconductor, Inc. Dynamic timing adjustment in a circuit device
US8656143B2 (en) * 2006-03-13 2014-02-18 Laurence H. Cooke Variable clocked heterogeneous serial array processor
US7902654B2 (en) * 2006-05-10 2011-03-08 Qualcomm Incorporated System and method of silicon switched power delivery using a package
US8502505B2 (en) * 2006-05-30 2013-08-06 Panasonic Corporation Battery driving device, load control method, integrated circuit and load control program
US7987358B1 (en) * 2006-06-09 2011-07-26 Xilinx, Inc. Methods of authenticating a user design in a programmable integrated circuit
US7589435B2 (en) * 2006-08-02 2009-09-15 Cisco Technology, Inc. Reporting power requirements of a powered device
TW200809748A (en) * 2006-08-09 2008-02-16 Ind Tech Res Inst Method for simulating circuit reliability and system thereof
US7887235B2 (en) * 2006-08-30 2011-02-15 Freescale Semiconductor, Inc. Multiple sensor thermal management for electronic devices
US9582060B2 (en) * 2006-08-31 2017-02-28 Advanced Silicon Technologies Llc Battery-powered device with reduced power consumption based on an application profile data
US20080126652A1 (en) * 2006-09-27 2008-05-29 Intel Corporation Managing Interrupts in a Partitioned Platform
JP4907312B2 (en) * 2006-11-28 2012-03-28 ユニデン株式会社 Constant voltage constant current power supply
US7793119B2 (en) * 2006-12-21 2010-09-07 Texas Instruments Incorporated Adaptive voltage scaling with age compensation
JP5023695B2 (en) * 2006-12-27 2012-09-12 富士通株式会社 Electronic file system, operation device, and computer program
US8412981B2 (en) * 2006-12-29 2013-04-02 Intel Corporation Core sparing on multi-core platforms
JP4623683B2 (en) * 2007-01-16 2011-02-02 パナソニック株式会社 Integrated circuit device, operation control method of integrated circuit device, and manufacturing method of integrated circuit device
US20080222581A1 (en) * 2007-03-09 2008-09-11 Mips Technologies, Inc. Remote Interface for Managing the Design and Configuration of an Integrated Circuit Semiconductor Design
US7761268B2 (en) * 2007-05-02 2010-07-20 National Changua University of Education Non-linear transient analysis module and method for phase locked loop
US8175545B2 (en) * 2007-05-17 2012-05-08 Broadcom Corporation Communication devices with integrated thermal sensing circuit and methods for use therewith
US20080307240A1 (en) * 2007-06-08 2008-12-11 Texas Instruments Incorporated Power management electronic circuits, systems, and methods and processes of manufacture
US8122232B2 (en) * 2007-06-21 2012-02-21 Arm Limited Self programming slave device controller
US8045670B2 (en) * 2007-06-22 2011-10-25 Texas Instruments Incorporated Interpolative all-digital phase locked loop
US20090049314A1 (en) * 2007-08-13 2009-02-19 Ali Taha Method and System for Dynamic Voltage and Frequency Scaling (DVFS)
US7886172B2 (en) * 2007-08-27 2011-02-08 International Business Machines Corporation Method of virtualization and OS-level thermal management and multithreaded processor with virtualization and OS-level thermal management
US7934110B2 (en) * 2007-09-25 2011-04-26 Intel Corporation Dynamically managing thermal levels in a processing system
US8331898B2 (en) * 2007-10-03 2012-12-11 Texas Instruments Incorporated Power-saving receiver circuits, systems and processes
US7778345B2 (en) 2007-11-07 2010-08-17 Texas Instruments Incorporated Distortion compensation in a communication system
US8635380B2 (en) * 2007-12-20 2014-01-21 Intel Corporation Method, system and apparatus for handling events for partitions in a socket with sub-socket partitioning
US7971084B2 (en) * 2007-12-28 2011-06-28 Intel Corporation Power management in electronic systems
US8176352B2 (en) 2008-04-16 2012-05-08 Adavanced Micro Devices, Inc. Clock domain data transfer device and methods thereof
US8134411B2 (en) * 2008-04-17 2012-03-13 Texas Instruments Incorporated Computation spreading utilizing dithering for spur reduction in a digital phase lock loop
US8090965B1 (en) * 2008-04-17 2012-01-03 Lsi Corporation System and method for testing memory power management modes in an integrated circuit
EP2283400B1 (en) * 2008-06-05 2017-11-29 Siemens Aktiengesellschaft Method for operating a modular automation device
KR101460665B1 (en) * 2008-07-16 2014-11-12 삼성전자주식회사 SoC DEVICE VERIFICATION MODEL USING MEMORY INTERFACE
US8306174B2 (en) * 2008-07-30 2012-11-06 Texas Instruments Incorporated Fractional interpolative timing advance and retard control in a transceiver
US8274903B2 (en) 2008-08-20 2012-09-25 Qualcomm Incorporated Methods and apparatus for switching between a base channel and a 60 GHz channel
US8051467B2 (en) * 2008-08-26 2011-11-01 Atmel Corporation Secure information processing
JP5384910B2 (en) 2008-11-11 2014-01-08 ルネサスエレクトロニクス株式会社 Semiconductor integrated circuit and clock synchronization control method
FR2938552B1 (en) 2008-11-19 2010-12-03 Gervais Danone Sa HYPOCHOLESTEROLEMIANT STRAIN OF LACTOBACILLUS DELBRUECKII
US9172117B2 (en) * 2008-12-04 2015-10-27 Domingo Enterprises, Llc User-controlled application-based power management
US9189049B2 (en) * 2008-12-24 2015-11-17 Stmicroelectronics International N.V. Power management in a device
US8081003B2 (en) 2009-02-02 2011-12-20 Infineon Technologies Ag Circuit arrangement with a test circuit and a reference circuit and corresponding method
US8190931B2 (en) * 2009-04-30 2012-05-29 Texas Instruments Incorporated Power management events profiling
US8775846B2 (en) * 2009-07-10 2014-07-08 Protonex Technology Corporation Portable power manager having one or more device ports for connecting with external power loads
US8129953B2 (en) * 2009-08-04 2012-03-06 Broadcom Corporation Power management unit for a wireless device
US8538484B2 (en) * 2009-08-14 2013-09-17 Google Inc. Providing a user with feedback regarding power consumption in battery-operated electronic devices
US8477631B2 (en) * 2009-08-25 2013-07-02 Texas Instruments Incorporated Dynamic low power radio modes
US20110138395A1 (en) * 2009-12-08 2011-06-09 Empire Technology Development Llc Thermal management in multi-core processor
US8887171B2 (en) * 2009-12-28 2014-11-11 Intel Corporation Mechanisms to avoid inefficient core hopping and provide hardware assisted low-power state selection
US9235251B2 (en) * 2010-01-11 2016-01-12 Qualcomm Incorporated Dynamic low power mode implementation for computing devices
US8198868B2 (en) * 2010-01-20 2012-06-12 Broadcom Corporation Power management unit for a wireless device
US20110181315A1 (en) * 2010-01-25 2011-07-28 Broadcom Corporation Adaptive Device Aging Monitoring and Compensation
WO2011093003A1 (en) 2010-01-29 2011-08-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor memory device
US8595731B2 (en) * 2010-02-02 2013-11-26 International Business Machines Corporation Low overhead dynamic thermal management in many-core cluster architecture
US8466707B2 (en) * 2010-03-03 2013-06-18 Qualcomm Incorporated Method and apparatus for testing a memory device
US8549630B2 (en) * 2010-03-05 2013-10-01 The Regents Of The University Of California Trojan-resistant bus architecture and methods
US8489904B2 (en) * 2010-03-25 2013-07-16 International Business Machines Corporation Allocating computing system power levels responsive to service level agreements
US8354875B2 (en) * 2010-03-25 2013-01-15 Qualcomm Incorporated Low voltage temperature sensor and use thereof for autonomous multiprobe measurement device
US20110265090A1 (en) * 2010-04-22 2011-10-27 Moyer William C Multiple core data processor with usage monitoring
TWI423017B (en) * 2010-06-21 2014-01-11 Ind Tech Res Inst Performance scaling device, processor with the same, and method of scaling performance thereof
US20120032894A1 (en) * 2010-08-06 2012-02-09 Nima Parivar Intelligent management for an electronic device
US8370667B2 (en) * 2010-12-22 2013-02-05 Intel Corporation System context saving based on compression/decompression time
WO2012086072A1 (en) * 2010-12-24 2012-06-28 富士通株式会社 Storage apparatus, control apparatus, and control method
US8463970B2 (en) * 2011-01-04 2013-06-11 Qualcomm Incorporated Method and system for managing sleep states of interrupt controllers in a portable computing device
US9374787B2 (en) * 2011-02-10 2016-06-21 Alcatel Lucent Method and apparatus of smart power management for mobile communication terminals using power thresholds
US9043665B2 (en) * 2011-03-09 2015-05-26 Intel Corporation Functional fabric based test wrapper for circuit testing of IP blocks
US8782645B2 (en) * 2011-05-11 2014-07-15 Advanced Micro Devices, Inc. Automatic load balancing for heterogeneous cores
US8667128B2 (en) * 2011-05-17 2014-03-04 Apple Inc. Collecting information regarding electronic aging of products
US8578143B2 (en) * 2011-05-17 2013-11-05 Apple Inc. Modifying operating parameters based on device use
US8671170B2 (en) * 2011-05-17 2014-03-11 Apple Inc. Modifying operating parameters of a device based on aging information
US8583844B2 (en) * 2011-05-31 2013-11-12 Lsi Corporation System and method for optimizing slave transaction ID width based on sparse connection in multilayer multilevel interconnect system-on-chip architecture
US20130007492A1 (en) * 2011-06-30 2013-01-03 Sokol Jr Joseph Timer interrupt latency
US8954017B2 (en) 2011-08-17 2015-02-10 Broadcom Corporation Clock signal multiplication to reduce noise coupled onto a transmission communication signal of a communications device
US8984311B2 (en) * 2011-12-30 2015-03-17 Intel Corporation Method, apparatus, and system for energy efficiency and energy conservation including dynamic C0-state cache resizing
US20130257583A1 (en) * 2012-03-29 2013-10-03 Motorola Mobility, Inc. Wireless communication device and method with ultrasonic detection

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020135343A1 (en) * 2001-03-22 2002-09-26 Underbrink Paul A. Critical path adaptive power control
US6995621B1 (en) * 2003-09-17 2006-02-07 Hewlett-Packard Development Company, L.P. On-chip variable oscillator method and apparatus
WO2005088424A2 (en) * 2004-03-10 2005-09-22 Koninklijke Philips Electronics N.V. Calibration of clock generators in system-on-chip integrated circuits
US7129763B1 (en) * 2004-11-08 2006-10-31 Western Digital Technologies, Inc. Adjusting power consumption of digital circuitry by generating frequency error representing error in propagation delay
US20080068100A1 (en) * 2006-09-12 2008-03-20 Goodnow Kenneth J Power management architecture and method of modulating oscillator frequency based on voltage supply
US20080204158A1 (en) * 2007-02-27 2008-08-28 Infineon Technologies Ag Apparatus and method for generating a supply voltage-dependent clock signal
WO2010058249A1 (en) * 2008-11-24 2010-05-27 Freescale Semiconductor, Inc. Method and apparatus for generating a clock signal
US20110140752A1 (en) * 2009-12-14 2011-06-16 Qualcomm Incorporated Adaptive Clock Generators, Systems, and Methods

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107483180A (en) * 2017-08-21 2017-12-15 湖南大学 A kind of high stability physics unclonable function circuit and its response production method
CN107483180B (en) * 2017-08-21 2020-05-01 湖南大学 High-stability physical unclonable function circuit

Also Published As

Publication number Publication date
US8823447B2 (en) 2014-09-02
US8810300B2 (en) 2014-08-19
US20180309455A1 (en) 2018-10-25
WO2013026040A1 (en) 2013-02-21
US20130047166A1 (en) 2013-02-21
US20160226498A1 (en) 2016-08-04
US10033391B2 (en) 2018-07-24
US9312862B2 (en) 2016-04-12
US8650633B2 (en) 2014-02-11
US20130043939A1 (en) 2013-02-21
WO2013026039A2 (en) 2013-02-21
US9160348B2 (en) 2015-10-13
US20130046967A1 (en) 2013-02-21
US9225343B2 (en) 2015-12-29
US8745724B2 (en) 2014-06-03
US20130047000A1 (en) 2013-02-21
US8575993B2 (en) 2013-11-05
WO2013026040A8 (en) 2013-08-08
US20130047250A1 (en) 2013-02-21
US8782314B2 (en) 2014-07-15
US20130047272A1 (en) 2013-02-21
US20130043927A1 (en) 2013-02-21
US20130047023A1 (en) 2013-02-21
US20140312947A1 (en) 2014-10-23
US20130044844A1 (en) 2013-02-21
US8856559B2 (en) 2014-10-07
US10804906B2 (en) 2020-10-13
US20130043914A1 (en) 2013-02-21
US9312863B2 (en) 2016-04-12
US8954017B2 (en) 2015-02-10
US20130043916A1 (en) 2013-02-21
US20130046915A1 (en) 2013-02-21
US8744368B2 (en) 2014-06-03
US9209816B2 (en) 2015-12-08
US9407272B2 (en) 2016-08-02
US20130045779A1 (en) 2013-02-21
US20140028344A1 (en) 2014-01-30
US20130047012A1 (en) 2013-02-21
WO2013026039A3 (en) 2014-05-08

Similar Documents

Publication Publication Date Title
US10804906B2 (en) Adaptive clocking scheme
US7129800B2 (en) Compensation technique to mitigate aging effects in integrated circuit components
TWI240172B (en) Apparatus and method for bus signal termination compensation during detected quiet cycle
WO2013023393A1 (en) Circuit control system and method for dynamically adjusting voltage and frequency
EP3273359B1 (en) Configurable clock tree
CN107769906B (en) Semiconductor device, data transmission system, and method for operating semiconductor device
US20080258700A1 (en) Method and apparatus for adjusting on-chip delay with power supply control
US9036436B2 (en) Supporting calibration for sub-rate operation in clocked memory systems
US9564907B2 (en) Multi-channel delay locked loop
CN111344951A (en) Master/slave frequency locked loop
JP2008219866A (en) Semiconductor memory device and method of driving same
JP2013528011A (en) Apparatus and method for compensating injection locking
US20050111572A1 (en) Data transmission system and data transmission apparatus
US8854101B2 (en) Adaptive clock generating apparatus and method thereof
CN109787625B (en) Voltage burr protection system that system overclocking arouses based on two PLL
TW201813303A (en) Mitigation of long wake-up delay of a crystal oscillator
WO2022267591A1 (en) Clock switching method and apparatus, electronic device, and computer readable storage medium
JP6064930B2 (en) Electrical / electronic equipment, circuits, and communication systems
JP2017017671A (en) Electronic circuit, and method of controlling oscillator
US9690319B2 (en) Semiconductor device
JP2000243910A (en) Semiconductor integrated circuit
KR100651510B1 (en) Dynamic clock changing apparatus and method thereof
KR100651511B1 (en) PLL ON/OFF switching apparatus and method thereof
KR100857873B1 (en) Semiconductor memory device and the method for operating the same
KR20170101749A (en) Method and apparatus for aligning clock phase

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 12768924

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 12768924

Country of ref document: EP

Kind code of ref document: A1