WO2013127891A1 - Method and device for controlling the surface temperature of a susceptor of a substrate coating apparatus - Google Patents

Method and device for controlling the surface temperature of a susceptor of a substrate coating apparatus Download PDF

Info

Publication number
WO2013127891A1
WO2013127891A1 PCT/EP2013/053986 EP2013053986W WO2013127891A1 WO 2013127891 A1 WO2013127891 A1 WO 2013127891A1 EP 2013053986 W EP2013053986 W EP 2013053986W WO 2013127891 A1 WO2013127891 A1 WO 2013127891A1
Authority
WO
WIPO (PCT)
Prior art keywords
susceptor
temperature
values
heating
substrate
Prior art date
Application number
PCT/EP2013/053986
Other languages
German (de)
French (fr)
Inventor
Ralf Leiers
Markus LÜNENBÜRGER
Gerhard Karl Strauch
Bernd Schineller
Karl-Heinz BÜCHEL
Original Assignee
Aixtron Se
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Aixtron Se filed Critical Aixtron Se
Priority to DE112013001238.3T priority Critical patent/DE112013001238A5/en
Priority to CN201380012023.8A priority patent/CN104204291B/en
Publication of WO2013127891A1 publication Critical patent/WO2013127891A1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D23/00Control of temperature
    • G05D23/19Control of temperature characterised by the use of electric means
    • G05D23/1927Control of temperature characterised by the use of electric means using a plurality of sensors
    • G05D23/193Control of temperature characterised by the use of electric means using a plurality of sensors sensing the temperaure in different places in thermal relationship with one or more spaces
    • G05D23/1932Control of temperature characterised by the use of electric means using a plurality of sensors sensing the temperaure in different places in thermal relationship with one or more spaces to control the temperature of a plurality of spaces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Definitions

  • the invention relates to a method for treating at least one substrate in a process chamber of a reactor housing, wherein the one or more substrate is placed on a susceptor which can be heated from below with heating elements, wherein spatially associated zones of the susceptor are heated with the heating elements.
  • Surface zones of the side of the susceptor facing the process chamber are uniquely assigned to the heating elements, temperatures of the surface zones or the at least one substrate arranged there being measured at a plurality of measuring points by means of optical measuring sensors, and the measured values ascertained with the sensors are fed to a control device , with which the heating power of the heating elements is regulated.
  • the invention further relates to an apparatus for treating at least one substrate having a reactor housing and a process chamber arranged therein, which has a susceptor, for receiving the at least one substrate, a plurality of heating elements arranged below the susceptor and a plurality of temperature sensors, each at one Measuring point to provide a temperature reading of the surface of the susceptor or a substrate arranged there, with a control device to which the measured values are supplied and which controls the heating elements measured with the measurement points functionally associated with the respective heating element.
  • DE 10 2004 007 984 A1 describes a CVD reactor with a process chamber arranged in a reactor housing.
  • the bottom of the process chamber is formed by a susceptor which carries the substrates to be treated, in particular to be coated.
  • the process chamber ceiling is covered by a gas inlet member formed having inlet openings through which the process gases can enter the process chamber.
  • a heater is arranged to heat the susceptor to the treatment temperature.
  • the surface temperature of the susceptor is measured by means of a multiplicity of temperature measuring sensors.
  • No. 6,492,625 B1 describes a device for thermal treatment, in particular for coating substrates resting on a susceptor, the susceptor being heated from below. Below the susceptor are several heating elements that can be controlled individually. Each heater is assigned a controller that receives actual values of the surface temperature of the susceptor. The actual values are determined with optical measuring sensors. Each heating zone is functionally assigned to several measuring sensors.
  • the temperature profile on the surface of the susceptor facing the process chamber on which the substrates lie is of great importance for the quality of the layers deposited on the substrates.
  • the temperature on the susceptor surface should preferably have the same value at all locations on the susceptor.
  • No. 6,706,541 B1 describes an apparatus for carrying out a CVD method with an automatic process control unit that is capable of controlling the temperatures of a plurality of surface zones.
  • Substrates are to be coated with the device described there.
  • Sensor elements are provided which observe the layer thickness of the substrates during layer growth. These measured values are input data for the control device.
  • US 2003/0038112 A1 describes a method for stabilizing a plasma in a process chamber of a plasma reactor. This purpose is served by a control system which uses a multiplicity of measured values determined with optical sensors.
  • US 2006/0027169 A1 describes a method with which a temperature profile monitoring is performed on the surface of a substrate holder. It uses a controller that receives readings from temperature sensors that detect the temperatures of heating zones.
  • No. 5,782,974 describes a temperature measuring system in which the temperature of a rear side of a susceptor is determined pyrometrically.
  • US 5,970,214 describes an apparatus for heat treatment of semiconductor substrates with a plurality of photosensitive sensors, which determine a surface temperature of the substrate. The measured values of the sensors are fed to a controller, which controls lamps.
  • US Pat. No. 6,079,874 describes a device with which the surface temperature of a substrate can be measured at various locations. By means of a controller, a heating device is regulated. The controller uses the measured values supplied by the pyrometers for regulation.
  • US Pat. No. 5,871,805 describes a CVD apparatus in which the temperature of a susceptor, on which a substrate rests, is regulated by means of a regulating device.
  • US 6,034,357 describes an apparatus for determining the surface temperature of a substrate in a process chamber using temperature sensors that interact with a controller that uses a correction factor to control a lamp heater.
  • the temperature profile of the susceptor depends not only on the degree of loading of the susceptor with substrates, but also on other process parameters such as total gas pressure in the process chamber, the chemical composition of the gases that are introduced into the process chamber for treating the substrates, the material of the susceptor, the type of the substrate and the aging state of the susceptor, in particular its coating, from.
  • the heating elements are located on one side of a susceptor.
  • the heating elements lie directly below the surface zones directly heated by them.
  • the surface zones and their associated heating elements are arranged on ring zones which are adjacent to one another.
  • the temperature sensors are located on the side of the susceptor opposite the heating elements. The introduced by a heating element in the susceptor heating power not only heats the their associated surface zone.
  • the heating power of an individual heating element not only influences the temperature of the associated surface zone, but also influences the temperatures of all surface zones.
  • the immediately adjacent surface zones are affected the most and the furthest away the surface zones.
  • the measuring sensors thus provide mutually coupled temperature measured values.
  • the invention has for its object to further optimize the generic method and the generic device in terms of temperature control.
  • the object is achieved by the invention specified in the claims.
  • the claims indicate various variants of the generic method or of the generic device in which the heating power fed into a heating element not only determines the measured value supplied to the respective heating element or a surface zone assigned to the individual surface zone associated with the heating element. Instead, a combination of the measured values of a plurality of temperature measuring sensors is used.
  • the control takes place with varying combinations of measured values. While in the prior art each control device is functionally firmly connected to their associated actual encoders in the form of temperature sensors, the invention pursues the concept of making this functional linkage variable. Not all of the available measured values or temperature Measuring sensors to be used for the scheme, but only an individual selection thereof. The selection is a combination of measurements that depends on the operating parameters.
  • Operating parameters affecting the quality of the combination include the target surface zone temperatures, the total gas pressure in the process chamber, the chemical composition of the gas phase in the process chamber, the susceptor material, the type of substrates to be coated, and the susceptor population with the substrates and / or the aging state of the susceptor.
  • the device used to carry out the method has a susceptor, which preferably has the shape of a circular disk and which can be driven in rotation about its axis of symmetry.
  • the gas inlet member disposed above the susceptor may be in the form of a shower head.
  • the openings of the shower head can be used as an optical channel, through which the temperature measuring sensors arranged above the openings receive in particular optical (pyrometric) information about the surface of the susceptor. It is provided a plurality of radially arranged sensors, wherein the individual temperature sensors may have an equal distance from each other. Each temperature sensor preferably determines optically / pyrometrically the surface temperature of the susceptor at a location below it. As the susceptor is rotated, these measuring points travel on circular paths over the susceptor and also cover the substrate surfaces. In the gas inlet member, a gas mixture is fed in a known manner.
  • the gas inlet member may include a plurality of chambers so that various gas mixtures are introduced into the process chamber separately from one another.
  • organometallic compounds of the II. Or III. Main group initiated in the process chamber.
  • a component of the V. or VI. Main group is introduced in the form of a hydride in the process chamber.
  • the process gases decompose py- rolytically such that layers are deposited on the substrates.
  • the layers depend essentially on the gas composition.
  • the layer composition also depends strongly on the surface temperature of the substrate.
  • the surface temperature of the substrate depends not only on the heating powers of the arranged below the susceptor heating elements.
  • the surface temperature also depends on other growth parameters, which in particular affect the heat dissipation from the substrate surface. These are the aforementioned process parameters. If the height of the process chamber can be varied, then the heat flow and thus the temperature distribution on the surface of the susceptor also depends on the height of the process chamber.
  • the individual heating zones are locally assigned surface zones of the susceptor whose surface temperature is significantly influenced by the particular underlying heating elements. However, it has been shown that adjacent surface zones are also influenced to a considerable extent by temperature. This influence depends on the operating parameters. It is thus of advantage if the temperature measuring sensors used according to the invention for controlling control the surface temperature of the susceptor at different points, depending on the set of operating parameter set.
  • the method according to the invention it is possible to locally vary the measuring points used for regulation, without having to intervene in the structural design of the sensor field.
  • a selection which may possibly only be limited to a single temperature sensor, is used.
  • each are qualitatively and quantitatively different combinations of temperature sensors, which are used.
  • the combinations of the measured values used for control can be determined on the one hand by the number of Deten or unused measuring points of the respective surface, on the other hand, but also by their weighting based on the respective surface zone differ.
  • the measuring sensors arranged at the edge of the zone for temperature control of one of a plurality of radial surface zones, or alternatively to use only the temperature sensors arranged in the middle of the zone.
  • the heating zones are rotationally symmetrical about the center of rotation, wherein the heating zones are adjacent to each other in the radial direction. They are thus arranged concentrically to one another.
  • the measured value of individual temperature sensors is used by a plurality of control devices. It is also possible to weight the contribution of a single temperature sensor to the control.
  • the weighting can be between zero and one. Which sensors are used for certain operating parameters and which sensors are disregarded for control is the result of preliminary tests or computer-aided simulation calculations. It is essential that mutually different operating parameters are each assigned a different combination of the measured values used in the control.
  • the operating parameters which are input as an input to the selection device, can also act directly on the control devices.
  • the control characteristic values can be input as additional input variables, that is to say, for example, for proportional-integral-differential controllers, the proportional component, the integral component and / or the differential component.
  • the selection device it is also possible for the selection device to determine these characteristic values on the basis of the process parameters, for example from a table stored in the selection device.
  • a further aspect of the invention is concerned with the problem that the temperature measured values supplied by the measured values are coupled to one another from the respective heating element to the susceptor due to the heat transfer mechanisms. Basically, each heating element affects the surface temperature of each surface zone of the susceptor.
  • characteristic temperatures are determined which are each assigned to a surface zone.
  • Each characteristic temperature may be an average value, in particular a weighted mean value of the temperature measured values of a multiplicity of temperature sensors.
  • the structure of the device according to the invention substantially corresponds to the structure of the device described above.
  • a number of measuring sensors are arranged one behind the other in the radial direction above the rotationally drivable susceptor.
  • the number of temperature measuring sensors can be significantly greater than the number of separately heatable surface zones. However, it is sufficient if each of these separately heatable surface zones is assigned only one sensor.
  • a multiplicity of temperature measured values is obtained during the rotation of the susceptor, so that after a rotation a complete lateral temperature profile is available.
  • the temperature profile consists of a grid-like field distribution, the temperature of each measuring field being known.
  • the measuring fields are distributed uniformly over the surface of the susceptor in the radial direction and in the circumferential direction. They thus include fields that lie on substrate surfaces or lie on areas of the susceptor that are not covered by substrates.
  • the characteristic temperatures can be obtained by considering only those measuring fields which lie on a substrate or not on a substrate in the case of a certain surface zone. Depending on the field size or the position of the field, the contribution of a single field to determine the mean value, ie the characteristic temperature, weighted.
  • the characteristic temperatures determined in this way are fed to a control device.
  • the number of characteristic temperatures preferably corresponds to the number of heating elements or the number of surface zones.
  • the control device contains a decoupling device, which effectively decouples the characteristic temperature measured values coupled with each other.
  • the control device thus provides, so to speak, decoupled control signals in order to supply the heating elements with heating power.
  • the control device has an input, which receives a characteristic temperature measured value as input data for each individual surface zone.
  • the control device has an output which supplies a control signal for each heating element individually assigned to a surface zone, which control signal determines the heating power to be supplied to the heating element.
  • the control signals are converted from coupled values, each converted value having a contribution of a plurality of characteristic temperatures, or each converted value containing a contribution of a plurality of characteristic temperatures.
  • each characteristic temperature is supplied to an individual controller as an input variable.
  • the number of regulators preferably corresponds to the number of temperature-regulating surface zones.
  • the controllers provide first, mutually coupled values. These values are converted by a decoupling device.
  • the decoupling device uses, for example, a decoupling matrix, which is applied to the first values, so that second values are calculated, which are then, as it were, decoupled from one another.
  • the second values are amplified by an amplifier and assigned to the heating elements of the surface zones as heat output control values.
  • the decoupling device is capable of converting first values individually associated with a characteristic temperature but influenced by a plurality of heating elements into second values. Every second value is individually assigned to a heating element. Its height corresponds to the heating power of the heating element.
  • the second values with the first values that every other value contains a contribution of multiple first values Just as the first values (characteristic temperatures) via the heat input of the heating elements into the susceptor have a contribution of several second values (heating powers), the second values (heating powers) each have contributions of several first values (characteristic temperatures).
  • the decoupling device compensates for the coupling caused by the controlled system.
  • the second values (heating power values) are thus the result of a compensation of the coupling of the first values (characteristic temperature measured values).
  • the controlled system is formed by the respective controller, an amplifier, the heating elements, the heated susceptor and the temperature sensors. According to the invention, this controlled system is supplemented by the decoupling element.
  • the decoupling element together with the regulators, the amplifiers, the heating elements, the susceptor and the temperature sensors is element of the control loop. From the point of view of the controller, the decoupling element is regarded as belonging to the controlled system and compensates for the couplings within the heating elements and the susceptor. With a perfectly designed decoupling element, the independent controllers work with a controlled system that is extended by the decoupling element and whose inner coupling of the heating zones to the outside, ie not visible to the controller. This improves the control behavior and simplifies the tuning of the controllers. To determine the decoupling matrix used in the decoupling device, a gain matrix is determined.
  • the contributions by which the heating element of a certain surface zone influences the characteristic temperatures of all surface zones are determined in preliminary tests or in model calculations. For example, in an arrangement consisting of four surface zones, one of the four heating elements influences the temperature of all four surface zones, the surface zone directly associated with the heating element being most strongly influenced and the surface zone furthest away from the heating element being least affected. Consequently, the diagonal elements
  • the gain matrix has the largest values, while the diagonally most distant matrix elements have the lowest values. Since each of the four surface zones provides four matrix entries, the gain matrix in the example consists of 4 ⁇ 4 matrix elements.
  • An inversion of the amplification matrix forms the decoupling matrix. By matrix multiplication of the first values with the decoupling matrix, the second values are formed.
  • the characteristic temperatures can be determined by repeated recording of thermal images.
  • the thermal images can be recorded with the above-mentioned measuring sensors, wherein the measuring sensors arranged in a radial line provide a three-dimensional thermal image of the surface.
  • the susceptor is rotated below the measuring sensors, which may be photodiodes.
  • the method of determining the characteristic temperatures by means of a thermal image is carried out by the following process steps: recording a thermal image, evaluating the thermal image, whereby the characteristic temperatures are calculated, forwarding the characteristic temperatures as temperature actual values to the respective temperature controller, calculation of the heating power under consideration - transmission of operating parameters, adjustment of heating power, recording of the next thermal image.
  • the selection of the measuring points or of the measuring sensors used for the regulation takes place via a neural network.
  • Two-stage neural networks can be used. Each measuring sensor, ie each measuring diode, is in each case connected to a node of the hidden layer of the neural network. Each point of this layer is then connected to all the output nodes of the neural network which serve as the input circuit for the subsequent control.
  • the system can be be learned in learning mode. For this purpose, the system is set to a constant temperature.
  • the neural network is told which temperature has been set.
  • the learning sequence can contain up to 100 different profiles. The profiles can be designed such that the measuring points are located only on the substrates, only on the free surface areas of the susceptor or on both zones.
  • the neural network can interact with a one-dimensional, that is a linear measuring sensor matrix. However, it can also interact with a two-dimensional measuring sensor matrix.
  • temperature images are processed as an input variable. In this case, not only a number of nodes exist in the hidden layer but an entire area of nodes.
  • Each measuring sensor can be connected to a node of the neural network.
  • the weighting factors can be in the range of zero and one.
  • MOCVD reactor with a total of thirty five temperature sensors, each measuring the surface temperature at a measuring point on the
  • the measuring points having mutually different radial distances from the center of rotation of the susceptor 108, a plan view of the susceptor 108 with indicated coaxially arranged heating zones 109, 110, 111,
  • FIG. 3 shows the influence of the heating elements on the surface along a line III-III in Fig. 2, 4 is a view according to FIG. 1, wherein a first combination of temperature sensors 1 - 35 is used for temperature control,
  • FIG. 5 is a view according to FIG. 4, wherein a second combination of temperature sensors 1 - 35 is used for temperature control,
  • Fig. 6 is a view according to FIG. 1, wherein a third combination of
  • FIG. 7 is a schematic view of a representation according to FIG. 1 of a further exemplary embodiment, FIG.
  • FIG. 8 schematically shows the plan view of a susceptor and the arrangement of the surface zones or the surface area of a respective surface zone used to determine characteristic temperatures.
  • FIG. 9 shows a representation similar to FIG. 3 for determining a gain matrix K.
  • Fig. 1 shows schematically the cross section through a process chamber.
  • the bottom of the process chamber 101 is formed by a susceptor 108 which is rotatable about an axis of rotation 120.
  • Below the susceptor 108 are in concentric arrangement three heating zones 109, 110, 111.
  • the heating zone 109 is located below the center of the susceptor 108 and is surrounded by the heating zone 110 annular. The latter is in turn surrounded annularly by the outermost heating zone 111.
  • the heating zones 109, 110, 111 are formed by infrared heating elements or RF heating elements and are capable of heating the surface of the susceptor 108 in three surface zones 112, 113, 114.
  • FIG. 2 shows, in FIGS.
  • receptacle pockets 119 not shown for the sake of clarity and arranged in a circle around the center of rotation for receiving a respective substrate 105, 106, 107.
  • the substrates 105, 106, 107 are located thus with different radial distance away from the axis of rotation 120th
  • the ceiling of the process chamber 101 running parallel to the extension direction of the susceptor 108 is formed by a gas inlet element 103 in the form of a showerhead.
  • the latter is shown only schematically. It has a multiplicity of sieve-like arranged openings 104, through which process gases fed into a gas distribution chamber of the shower head 103 can enter the process chamber 101.
  • the process gases may be organometallic compounds of elements of the III. or II. main group as well as hydrides of the V. or VI. Main group act.
  • a carrier gas for example hydrogen, or another inert gas can be fed into the process chamber.
  • the process gases decompose pyrolytically on the surface of the substrates 105, 106, 107 in order to deposit a layer there.
  • a sensor arrangement 102 with optical temperature sensors 1 to 35 Above the gas outlet openings 104 is a sensor arrangement 102 with optical temperature sensors 1 to 35.
  • the optical temperature sensors 1 to 35 are arranged such that they measure, for example pyrolytically, the temperature at an individually assigned measuring point, the individual measuring points having different radial distances from the axis of rotation - have 120.
  • the measuring points travel on concentric circles across the surface of the susceptor 108 and over the surfaces of the substrates 105, 106, 107 thereon.
  • the temperature sensors 1 to 35 are connected to a selection electronics 118. This selection electronics 118 links the measured values coming from the sensor arrangement 102 with control devices 115, 116, 117.
  • Each of the three heating elements 109, 110, 111 is individually assigned a control device 115, 116, 117.
  • the respective control device 115, 116, 117 receives temperatures to which the surface zones 112, 113, 114 are to be regulated.
  • the control devices 115, 116, 117 receive measured values determined by the temperature sensors 1 to 35. However, the control devices 115, 116, 117 do not receive all the temperature measured values, but only those of a selection of the entirety of the
  • Temperature sensors 1 to 35 measured values. These are the numbers schematically entered in the rectangles 115, 116, 117 symbolizing the control devices.
  • the selection electronics 118 receives an input variable P.
  • This input variable P contains information about the operating parameters of the respective method carried out in the process chamber. These operating parameters include i.a. the target temperatures of the surface zones 112, 113, 114, the total pressure in the process chamber 101, the chemical composition of the gas phase in the process chamber 101, ie the type of process gases used, the material of the susceptor 108, for example.
  • Graphite or coated graphite the Type of substrate, so its crystalline property and crystalline composition, the placement of the susceptor 108 with substrates, so the distribution of the substrates on the receiving pockets 119, unless allchirta- see 110 are equipped with substrates and / or the aging state of the susceptor 108, For example, the number of production steps that the susceptor has behind.
  • the selection electronics 18 determine the combination of the measured values used for the control.
  • a single temperature sensor is used to control the heating element 109, which is arranged above the surface zone 112, so for example.
  • a single temperature sensor 13 to 23 which is located above the surface zone 113.
  • a single temperature sensor 23 to 35 arranged above the surface zone 114 is used to control the heating element 111.
  • the control device 116 to control the surface temperature 113, namely the measured values of the temperature sensors 14, 15, 16, 17, 18, 19, 21, 22
  • the measured values of the temperature sensors 12 to 21 and in the exemplary embodiment illustrated in FIG. 6 are the measured values of the temperature measuring sensors 12 and 15 to 24.
  • the control device 117 which is associated with the surface zone 114, that is, the heating element
  • the control device 117 which is associated with the surface zone 114, that is, the heating element
  • only the measured values of the temperature measuring sensors 25 to 33 are used and, in the exemplary embodiment illustrated in FIG. 5, only the measured values of the temperature sensors 25 to 34 and in that shown in FIG Embodiment, only the measured values of the temperature sensors 26 to 35th
  • FIGS. 4 to 6 are merely examples. It is also possible that, for example, only the measured value of every second or every third measuring sensor can be used, or that only measuring sensors 1, 11, 12, 13, 22, 23, 24, 34, 35 are used, that is to say measuring sensors corresponding to
  • Edge of the respective surface zone 112, 113, 114 are assigned. It is also conceivable to use only the sensors 6, 7, 18, 19, 28, 29, ie those temperature measuring sensors which are assigned to the central region of each surface zone 112, 113, 114.
  • FIG. 3 shows schematically the influence of the individual heating elements 109, 110, 111 on the temperature profile over a diagonal line over the susceptor.
  • the heating element 109 not only affects the temperature in the central area of the susceptor, but also, but less so, the temperature in the periphery. This also applies to the influence of the heating element 110, which is shown by B in FIG.
  • the heating element 110 not only influences the temperature in the radially middle region of the susceptor, that is, in the surface zone 113, but also the temperatures in the adjacent surface zones 112, 114.
  • the curve C represents the influence of the radially outermost heating element 111 on the surface temperature. This heating element 111 also influences the temperature in the adjacent surface zone 113.
  • the measured values of individual sensors are either taken into account or not taken into account.
  • the measured values of individual temperature measuring sensors for controlling mutually different heating elements 109, 110, 111, for example, the measured values of the temperature sensors 12, 13 or 23, 24 can each be used by two control devices 115, 116, 117 become.
  • the individual measured values weighted for the control for example with a weighting factor between zero and one.
  • FIG. 7 schematically shows a cross section through a process chamber, as shown in FIGS. 1, 4, 5 and 6.
  • the temperature sensors are indicated here only symbolically. They provide characteristic temperatures Ti, T 2 , T 3 to T n .
  • Each characteristic temperature Ti to T n is individually assigned to a surface zone 112, 113, 113 ', 114.
  • the characteristic temperatures Ti to T n can be determined with a sensor arrangement, as shown in FIGS. 1, 4, 5 and 6. The determination of the characteristic temperatures Ti to T n will be discussed further below.
  • the device shown in FIG. 7 has a control device 122 which comprises regulators 115, 116, 116 ', 117, a decoupling device 113 and an amplifier 124.
  • the control device 122 supplies from the characteristic measured values Ti to T n control data Pi, P 2 , P 3 to P n , with which in each case one heating element 109, 110, 110 ', 111 is actuated.
  • the heating elements 109, 110, 110 ', 111 are below a susceptor 108 which is rotatable about a rotation axis 120.
  • the heating elements 110, 110 ', 111 are in concentric arrangement around a central heating element 109.
  • the heating elements 109 to 111 are the already mentioned surface zones 112 to 114 assigned locally. This means that the surface zones 112 to 114 lie in concentric arrangement above the heating elements 109 to 111.
  • the temperature measuring sensors which in the exemplary embodiment are designed as optical sensors arranged on a strip. In the simplest case, however, it is sufficient if, for each surface zone 112 to 114, an individual temperature measuring sensor is provided, which supplies the characteristic temperature Ti to T n .
  • the regulators 115 to 117 receive the characteristic temperature Ti to T n .
  • each heating element 109 to 111 Due to the thermal radiation of the heating elements 109 to 111 not only in the direction of its associated surface zone 112 to 114, but also to adjacent surface zones, and the heat conduction within the example of graphite, molybdenum or other thermally conductive material existing susceptor 108, as well as due to a convective Heat transport within the process chamber, each heating element 109 to 111 affects the characteristic temperature Ti to T n of each surface zone 112 to 114. Accordingly, the first values supplied by the regulators 115 to 117 are U ' i, U 2 , U'3 to U ' n coupled with each other.
  • the first values U ' i to U n are converted by the decoupling device 123 into decoupled second values Ui, U2, U3, U n , which are amplified in the amplifier 124.
  • the decoupling carried out within the decoupling device 123 has the consequence that the first value U ' i to U ' n delivered by each of the regulators 115 to 117 influences each second value Ui to U n delivered by the decoupling device 123.
  • the second values Ui to U n are only amplified, so that control values Pi to P n are provided for the individual heating elements 109 to 111.
  • the decoupling device is used to improve the control behavior of the described multivariable system.
  • FIG. 8 shows the plan view of a susceptor which carries in the center a substrate 106 and six further substrates 107 arranged in an arrangement which surrounds the center annularly.
  • the surface zones 112, 113, 113 ', 114 are shown in FIG. 8 with ring zones bounded by dashed lines. Individual hatched areas A, B, C, D of the surface zones 112 to 114 form areas which are used to determine the characteristic temperature Ti, T2.
  • the figure 8 also shows a grid-like arrangement.
  • Each field of the polar grid corresponds to a temperature reading obtained from one of the temperature measurement sensors 1 through 35 during one revolution of the susceptor 108.
  • the measuring sensors 1 to 35 thus provide a large number of angle-dependent measurement data which leads to the mentioned thermal image.
  • a plurality of thermal images are recorded, in which the heating elements 109 to 111 are supplied with different heating powers.
  • FIG. 9 shows a diagram obtained from such thermal images, on the abscissa of which, starting from the center of rotation 120, a radial R, with reference to the surface of the susceptor, has been removed. On the ordinate a gain factor F is removed, which corresponds essentially to a temperature. The graduation on the abscissa indicates a temperature sensor. With the areas A, B, C, D, the surface areas of the radially adjacent surface zones 112, 113, 113 'and 114 are shown. The reference numerals 212, 213, 213 'and 214 are above the Um- fang averaged temperature curves. The curve 212 indicates the influence of the heating element 109 on all surface zones 112 to 114.
  • the curve 212 reflects the influence of the heating element 110 on all surface zones.
  • the curve 213 ' shows the influence of the heating element 110' on the surface temperature of all surface zones and the curve 214 shows the influence of the heating element 111 on all surface zones.
  • the bars labeled K (1, 1) to K (4, 4) represent the matrix elements of the gain matrix K.
  • the gain matrix K is derived from the transfer factor diagram shown in FIG.
  • the surface areas A, B, C, D have been selected taking into account the geometry of the heating elements and the thermal image.
  • the elements of the gain matrix K are the average of the curves within the intervals A, B, C, D.
  • the surface areas A, B, C of the surface zones 111, 113, 113 lie exclusively on the surfaces occupied by the substrates 106 to 107.
  • the surface area D of the surface zone 114 lies on the area of the susceptor 108 that is not covered by the substrates.
  • the decoupling device converts the actuating signals U'i to U ' n into second values Ui to U n . The result is a compensation of the coupling of the characteristic temperature measured values.
  • the inventive device is characterized preferably by a disposed in the controlled system of the control device decoupling device 123 from which to U of the first coupled values U'i 'generates n second values Ui to U n, each of a heating element 109, 110, 110' , 111 individually orderly heat output, wherein the second values Ui to U n contain weighted contributions of the first coupled values U'i to U ' n , wherein the weighting compensates for the coupling. It is thus a weighting which as a result provides the compensation of the coupling of the characteristic temperature measured values.
  • the decoupling device is effectively a stage upstream or downstream of the control device, which supplies control values by suitable combination of the characteristic temperature measured values, so that a change of a first value U'i to U ' n essentially only changes the surface temperature of the surface zone 112 assigned to it , 113, 113 ', 114 and thus causes only a change in the characteristic temperature associated with it.
  • the characteristic temperatures are coupled controlled variables of a complex controlled system, from whose deviation from assigned reference variables (setpoint temperatures) a control device gains actuating variables in the form of heating outputs of the heating elements. According to the invention, the couplings of the controlled variables are largely compensated with a decoupling device.

Abstract

The invention relates to a method for treating at least one substrate (105, 106, 107) in a process chamber (101) of a reactor housing, wherein the one or more substrates (105, 106, 107) are laid on a susceptor (108) that can be heated by means of heating elements (109, 110, 111), wherein by means of the heating elements (109, 110, 111), spatially associated zones of the susceptor (108) are heated, with which respective surfaces zones (112, 113, 113', 114) of the side of the susceptor (108) facing the process chamber (101) are associated, wherein temperatures of the surface zones (112, 113, 113', 114) and/or the at least one substrate (105, 106, 107) arranged there are measured at a plurality of measurement points by means of optical measuring sensors (1 to 35), and the measured values determined by means of the sensors (1 to 35) are fed to a control apparatus (115, 116, 117, 122) by means of which the heating power of the heating elements (109, 110, 110', 111) is controlled. According to the invention, in order to optimize the temperature control, respective combinations of measured temperature values are used to control the heating power of the heating elements (109, 110, 110', 111).

Description

Verfahren und Vorrichtung zur Regelung der Oberflächentemperatur eines Suszeptors einer Substratbeschichtungseinrichtung  Method and device for controlling the surface temperature of a susceptor of a substrate coating device
Die Erfindung betrifft ein Verfahren zum Behandeln mindestens eines Substra- tes in einer Prozesskammer eines Reaktorgehäuses, wobei das ein oder mehrere Substrat auf einem von unten mit Heizelementen beheizbaren Suszeptor aufgelegt wird, wobei mit den Heizelementen räumlich zugeordnete Zonen des Suszeptors beheizt werden. Den Heizelementen sind jeweils Oberflächenzonen der zur Prozesskammer weisenden Seite des Suszeptors eindeutig zugeordnet, wo- bei an einer Mehrzahl von Messpunkten mittels optischer Messsensoren Temperaturen der Oberflächenzonen oder des dort angeordneten mindestens einen Substrates gemessen werden, und die mit den Sensoren ermittelten Messwerte einer Regeleinrichtung zugeführt werden, mit der die Heizleistung der Heizelemente geregelt wird. The invention relates to a method for treating at least one substrate in a process chamber of a reactor housing, wherein the one or more substrate is placed on a susceptor which can be heated from below with heating elements, wherein spatially associated zones of the susceptor are heated with the heating elements. Surface zones of the side of the susceptor facing the process chamber are uniquely assigned to the heating elements, temperatures of the surface zones or the at least one substrate arranged there being measured at a plurality of measuring points by means of optical measuring sensors, and the measured values ascertained with the sensors are fed to a control device , with which the heating power of the heating elements is regulated.
Die Erfindung betrifft darüber hinaus eine Vorrichtung zum Behandeln mindestens eines Substrates mit einem Reaktorgehäuse und einer darin angeordneten Prozesskammer, die einen Suszeptor aufweist, zur Aufnahme des mindestens einen Substrates, einer Mehrzahl unterhalb des Suszeptors angeordneten Heizelementen und einer Mehrzahl von Temperatursensoren, die jeweils an einem Messpunkt einen Temperaturmesswert der Oberfläche des Suszeptors oder eines dort angeordneten Substrates liefern, mit einer Regeleinrichtung, der die Messwerte zugeführt werden und die mit den an dem jeweiligen Heizelement funktionell zugeordneten Messpunkten gemessenen Messwerten die Heizelemente regelt. The invention further relates to an apparatus for treating at least one substrate having a reactor housing and a process chamber arranged therein, which has a susceptor, for receiving the at least one substrate, a plurality of heating elements arranged below the susceptor and a plurality of temperature sensors, each at one Measuring point to provide a temperature reading of the surface of the susceptor or a substrate arranged there, with a control device to which the measured values are supplied and which controls the heating elements measured with the measurement points functionally associated with the respective heating element.
Die DE 10 2004 007 984 AI beschreibt einen CVD-Reaktor mit einer in einem Reaktorgehäuse angeordneten Prozesskammer. Der Boden der Prozesskammer wird von einem Suszeptor gebildet, der die zu behandelnden, insbesondere zu beschichtenden Substrate trägt. Die Prozesskammerdecke wird von einem Gas- einlassorgan ausgebildet, welches Einlassöffnungen aufweist, durch die die Prozessgase in die Prozesskammer eintreten können. Unterhalb des Suszeptors ist eine Heizung angeordnet, um den Suszeptor auf die Behandlungstemperatur aufzuheizen. Die Oberflächentemperatur des Suszeptors wird mit Hilfe ei- ner Vielzahl von Temperatur-Messsensoren gemessen. DE 10 2004 007 984 A1 describes a CVD reactor with a process chamber arranged in a reactor housing. The bottom of the process chamber is formed by a susceptor which carries the substrates to be treated, in particular to be coated. The process chamber ceiling is covered by a gas inlet member formed having inlet openings through which the process gases can enter the process chamber. Below the susceptor, a heater is arranged to heat the susceptor to the treatment temperature. The surface temperature of the susceptor is measured by means of a multiplicity of temperature measuring sensors.
Die US 6,492,625 Bl beschreibt eine Vorrichtung zur thermischen Behandlung, insbesondere zur Beschichtung von auf einem Suszeptor aufliegenden Substraten, wobei der Suszeptor von unten her aufgeheizt wird. Unterhalb des Suszep- tors befinden sich mehrere Heizelemente, die individuell geregelt werden können. Jeder Heizeinrichtung ist ein Regler zugeordnet, der Ist-Werte der Oberflächentemperatur des Suszeptors erhält. Die Ist-Werte werden mit optischen Messsensoren ermittelt. Jeder Heizzone sind funktionell mehrere Messsensoren zugeordnet. No. 6,492,625 B1 describes a device for thermal treatment, in particular for coating substrates resting on a susceptor, the susceptor being heated from below. Below the susceptor are several heating elements that can be controlled individually. Each heater is assigned a controller that receives actual values of the surface temperature of the susceptor. The actual values are determined with optical measuring sensors. Each heating zone is functionally assigned to several measuring sensors.
Aus der EP 1 481 117 Bl geht hervor, dass der Temperaturverlauf auf der zur Prozesskammer weisenden Oberfläche des Suszeptors, auf dem die Substrate liegen, für die Qualität der auf den Substraten abgeschiedenen Schichten von großer Bedeutung ist. Es ist insbesondere gewünscht, das laterale Temperatur- profil derart zu beeinflussen, dass der laterale Temper aturgradient möglichst gering ist. Die Temperatur auf der Suszeptoroberfläche soll möglichst an allen Orten auf dem Suszeptor denselben Wert besitzen. It is apparent from EP 1 481 117 Bl that the temperature profile on the surface of the susceptor facing the process chamber on which the substrates lie is of great importance for the quality of the layers deposited on the substrates. In particular, it is desired to influence the lateral temperature profile in such a way that the lateral temperature gradient is as low as possible. The temperature on the susceptor surface should preferably have the same value at all locations on the susceptor.
Die DE 10 2007 023 970 AI beschreibt einen Suszeptor mit einer Vielzahl hexa- gonal angeordneter Taschen zur Aufnahme jeweils eines Substrates. Üblicherweise besitzen die Substratoberflächen bzw. die auf den Substratoberflächen abgeschiedenen Schichten andere optische Eigenschaften, wie Absorptionsgrad oder Emissionsgrad, als die Oberfläche des sie umgebenden Suszeptors. Bei einem Beschichtungsprozess müssen nicht alle zur Verfügung stehenden Auf- nahmetaschen für die Substrate mit Substraten bestückt sein. Es ist auch zu be- rücksichtigen, dass nur eine Auswahl der zur Verfügung stehenden Aufnahmetaschen mit zu behandelnden Substraten bestückt ist. DE 10 2007 023 970 A1 describes a susceptor with a multiplicity of hexagonally arranged pockets for accommodating in each case one substrate. Usually, the substrate surfaces or the layers deposited on the substrate surfaces have different optical properties, such as the degree of absorption or emissivity, than the surface of the surrounding susceptor. In a coating process, not all available receiving pockets for the substrates need to be equipped with substrates. It is also take into account that only a selection of the available receiving pockets is equipped with substrates to be treated.
Die US 6,706,541 Bl beschreibt eine Vorrichtung zur Durchführung eines CVD- Verfahrens mit einer automatischen Prozesskontrolleinheit, die in der Lage ist, die Temperaturen mehrerer Oberflächenzonen zu kontrollieren. Mit der dort beschriebenen Vorrichtung sollen Substrate beschichtet werden. Es sind Sensorelemente vorgesehen, die die Schichtdicke der Substrate während des Schichtwachstums beobachten. Diese Messwerte sind Eingangsdaten für die Kontrolleinrichtung. No. 6,706,541 B1 describes an apparatus for carrying out a CVD method with an automatic process control unit that is capable of controlling the temperatures of a plurality of surface zones. Substrates are to be coated with the device described there. Sensor elements are provided which observe the layer thickness of the substrates during layer growth. These measured values are input data for the control device.
Die US 2003/0038112 AI beschreibt ein Verfahren, um ein Plasma in einer Prozesskammer eines Plasmareaktors zu stabilisieren. Hierzu dient ein Kontrollsystem, welches eine Vielzahl von mit optischen Sensoren ermittelte Messwerte verwendet. US 2003/0038112 A1 describes a method for stabilizing a plasma in a process chamber of a plasma reactor. This purpose is served by a control system which uses a multiplicity of measured values determined with optical sensors.
Die US 2006/0027169 AI beschreibt ein Verfahren, mit dem eine Temperaturprofilüberwachung auf der Oberfläche eines Substrathalters durchgeführt wird. Dabei wird ein Controller verwendet, der Messwerte von Temperatursensoren empfängt, die die Temperaturen von Heizzonen ermitteln. US 2006/0027169 A1 describes a method with which a temperature profile monitoring is performed on the surface of a substrate holder. It uses a controller that receives readings from temperature sensors that detect the temperatures of heating zones.
Die US 5,782,974 beschreibt ein Temperatur-Messsystem, bei dem pyrometrisch die Temperatur einer Rückseite eines Suszeptors ermittelt wird. Die US 5,970,214 beschreibt eine Vorrichtung zur Wärmebehandlung von Halbleitersubstraten mit einer Vielzahl von lichtempfindlichen Sensoren, die eine Oberflächentemperatur des Substrates ermitteln. Die Messwerte der Sensoren werden einem Controller zugeführt, der Lampen ansteuert. Die US 6,079,874 beschreibt eine Vorrichtung, mit der die Oberflächentemperatur eines Substrates an verschiedenen Stellen gemessen werden kann. Mittels eines Controllers wird eine Heizvorrichtung geregelt. Der Controller verwendet zur Regelung die von den Pyrometern gelieferten Messwerte. No. 5,782,974 describes a temperature measuring system in which the temperature of a rear side of a susceptor is determined pyrometrically. US 5,970,214 describes an apparatus for heat treatment of semiconductor substrates with a plurality of photosensitive sensors, which determine a surface temperature of the substrate. The measured values of the sensors are fed to a controller, which controls lamps. US Pat. No. 6,079,874 describes a device with which the surface temperature of a substrate can be measured at various locations. By means of a controller, a heating device is regulated. The controller uses the measured values supplied by the pyrometers for regulation.
Die US 5,871,805 beschreibt eine CVD- Vorrichtung, bei der die Temperatur eines Suszeptors, auf dem ein Substrat aufliegt, mittels einer Regeleinrichtung geregelt wird. Die US 6,034,357 beschreibt eine Vorrichtung zur Ermittlung der Oberflächentemperatur eines Substrates in einer Prozesskammer, wobei Temperatursensoren verwendet werden, die mit einem Controller zusammenwirken, der einen Korrekturfaktor verwendet, um eine Lampenheizung zu steuern. Das Temperaturprofil des Suszeptors hängt nicht nur mit dem Bestückungsgrad des Suszeptors mit Substraten, sondern auch von anderen Prozessparametern wie Totalgasdruck in der Prozesskammer, die chemische Zusammensetzung der Gase, die zur Behandlung der Substrate in die Prozesskammer eingeleitet werden, dem Material des Suszeptors, der Art des Substrates und dem Alterungszustand des Suszeptors, insbesondere dessen Beschichtung, ab. US Pat. No. 5,871,805 describes a CVD apparatus in which the temperature of a susceptor, on which a substrate rests, is regulated by means of a regulating device. US 6,034,357 describes an apparatus for determining the surface temperature of a substrate in a process chamber using temperature sensors that interact with a controller that uses a correction factor to control a lamp heater. The temperature profile of the susceptor depends not only on the degree of loading of the susceptor with substrates, but also on other process parameters such as total gas pressure in the process chamber, the chemical composition of the gases that are introduced into the process chamber for treating the substrates, the material of the susceptor, the type of the substrate and the aging state of the susceptor, in particular its coating, from.
Bei einer Vorrichtung zum Behandeln von Halbleitersubstraten in einer Prozesskammer beziehungsweise bei einem derartigen Verfahren liegen die Heizelemente auf der einen Seite eines Suszeptors. Die Heizelemente liegen dabei unmittelbar unterhalb der von ihnen unmittelbar beheizten Oberflächenzonen. Bei einem rotationssymmetrisch aufgebauten Suszeptor sind die Oberflächenzonen und die ihnen zugeordneten Heizelemente auf Ringzonen angeordnet, die einander benachbart sind. Die Temperatursensoren befinden sich auf der den Heizelementen gegenüberliegenden Seite des Suszeptors. Die von einem Heizelement in den Suszeptor eingebrachte Heizleistung erwärmt nicht nur die ihr zugeordnete Oberflächenzone. Zufolge von Wärmetransportmechanismen innerhalb des Suszeptors, also im Wesentlichen der Wärmeleitung und einer Wärmestrahlung des Heizelementes zu anderen Oberflächenbereichen des Suszeptors wird durch die Heizleistung eines individuellen Heizelementes nicht nur die Temperatur der zugeordneten Oberflächenzone beeinflusst, sondern es werden die Temperaturen aller Oberflächenzonen beeinflusst. Die unmittelbar benachbarten Oberflächenzonen werden dabei am stärksten beeinflusst und die am weitesten entfernten Oberflächenzonen am wenigsten. Die Messsensoren liefern somit untereinander gekoppelte Temperatur-Messwerte. In a device for treating semiconductor substrates in a process chamber or in such a method, the heating elements are located on one side of a susceptor. The heating elements lie directly below the surface zones directly heated by them. In a susceptor constructed rotationally symmetrically, the surface zones and their associated heating elements are arranged on ring zones which are adjacent to one another. The temperature sensors are located on the side of the susceptor opposite the heating elements. The introduced by a heating element in the susceptor heating power not only heats the their associated surface zone. As a result of heat transfer mechanisms within the susceptor, ie essentially the heat conduction and heat radiation of the heating element to other surface areas of the susceptor, the heating power of an individual heating element not only influences the temperature of the associated surface zone, but also influences the temperatures of all surface zones. The immediately adjacent surface zones are affected the most and the furthest away the surface zones. The measuring sensors thus provide mutually coupled temperature measured values.
Der Erfindung liegt die Aufgabe zugrunde, das gattungsgemäße Verfahren bzw. die gattungsgemäße Vorrichtung hinsichtlich der Temperaturregelung weiter zu optimieren. Gelöst wird die Aufgabe durch die in den Ansprüchen angegebene Erfindung. The invention has for its object to further optimize the generic method and the generic device in terms of temperature control. The object is achieved by the invention specified in the claims.
Die Ansprüche geben verschiedene Varianten des gattungsgemäßen Verfahrens beziehungsweise der gattungsgemäßen Vorrichtung an, bei denen die in ein Heizelement eingespeiste Heizleistung nicht nur den dem jeweiligen Heizele- ment beziehungsweise einer dem Heizelement zugeordneten Oberflächenzone individuell zugeordneten Messsensor gelieferten Messwert bestimmt. Es wird vielmehr eine Kombination der Messwerte einer Mehrzahl von Temperatur- Messsensoren verwendet. In einer ersten Variante ist vorgesehen, dass die Regelung mit variierenden Kombinationen von Messwerten erfolgt. Während beim Stand der Technik jede Regeleinrichtung funktionell fest mit ihnen zugeordneten Ist- Wertgebern in Form von Temperatursensoren verbunden ist, verfolgt die Erfindung das Konzept, diese funktionelle Verknüpfung variabel zu gestalten. Es brauchen jeweils nicht alle zur Verfügung stehenden Messwerte bzw. Temperatur- Messsensoren für die Regelung verwendet zu werden, sondern nur eine individuelle Auswahl davon. Bei der Auswahl handelt es sich um eine Kombination von Messwerten, die von den Betriebsparametern abhängt. Zu den Betriebsparametern, die die Qualität der Kombination beeinflussen, gehören die Soll-Temperaturen der Oberflächenzonen, der Totalgasdruck in der Prozesskammer, die chemische Zusammensetzung der Gasphase in der Prozesskammer, das Material des Suszeptors, die Art der zu beschichtenden Substrate, die Bestückung des Suszeptors mit den Substraten und/ oder der Alterungszustand des Suszeptors. Die zur Durchführung des Verfahrens verwendete Vor- richtung besitzt einen Suszeptor, der bevorzugt die Form einer Kreisscheibe aufweist und der um seine Symmetrieachse drehangetrieben werden kann. Das oberhalb des Suszeptors angeordnete Gaseinlassorgan kann die Form eines Duschkopfes aufweisen. Wie aus dem Stand der Technik bekannt, können die Öffnungen des Duschkopfes als optischer Kanal genutzt werden, durch den die oberhalb der Öffnungen angeordneten Temperatur-Messsensoren insbesondere optische (pyrometrische) Informationen über die Oberfläche des Suszeptors erhalten. Es ist eine Vielzahl von radial angeordneten Sensoren vorgesehen, wobei die einzelnen Temperatursensoren einen gleichen Abstand voneinander aufweisen können. Jeder Temperatursensor ermittelt bevorzugt optisch/ pyrometrisch die Oberflächentemperatur des Suszeptors an einer unter ihm angeordneten Stelle. Diese Messpunkte wandern beim Drehen des Suszeptors auf kreisförmigen Bahnen über den Suszeptor und überstreichen dabei auch die Substratoberflächen. In das Gaseinlassorgan wird in bekannter Weise eine Gasmischung eingespeist. Das Gaseinlassorgan kann mehrere Kammern beinhalten, so dass verschiedenartige Gasmischungen getrennt voneinander in die Prozesskammer eingeleitet werden. Bei einem Beschich- tungsverf ahren, bspw. bei einem MOCVD- Verfahren werden metallorganische Verbindungen der II. oder III. Hauptgruppe in die Prozesskammer eingeleitet. Eine Komponente der V. oder VI. Hauptgruppe wird in Form eines Hydrides in die Prozesskammer eingeleitet. Die Prozessgase zerlegen sich py- rolytisch derart, dass auf den Substraten Schichten abgeschieden werden. Die Schichten hängen im Wesentlichen von der Gaszusammensetzung ab. Die Schichtzusammensetzung hängt aber auch stark von der Oberflächentemperatur des Substrates ab. Die Oberflächentemperatur des Substrates hängt dabei nicht nur von den Heizleistungen der unterhalb des Suszeptors angeordneten Heizelemente ab. Die Oberflächentemperatur hängt darüber hinaus auch von anderen Wachstumsparametern ab, die insbesondere die Wärmeabfuhr von der Substratoberfläche beeinflussen. Es handelt sich dabei um die zuvor genannten Prozessparameter. Ist die Höhe der Prozesskammer variierbar, so hängt der Wärmefluss und damit die Temperaturverteilung auf der Oberfläche des Suszeptors auch von der Höhe der Prozesskammer ab. Den einzelnen Heizzonen sind zwar lokal Oberflächenzonen des Suszeptors zugeordnet, deren Oberflächentemperatur von den insbesondere darunter liegenden Heizelementen maßgeblich beeinflusst werden. Es hat sich aber gezeigt, dass auch benachbarte Oberflächenzonen in erheblichem Maße temperaturbeeinflusst werden. Dieser Einfluss ist von den Betriebsparametern abhängig. Es ist also von Vorteil, wenn die erfindungsgemäß zur Regelung verwendeten Temperatur-Messsensoren je nach eingestelltem Betriebsparametersatz an verschiedenen Stellen die Oberflächentemperatur des Suszeptors erfassen. Mit dem er- findungsgemäßen Verfahren ist es möglich, die zur Regelung verwendeten Messpunkte örtlich zu variieren, ohne dass in den konstruktiven Aufbau des Sensorfeldes eingegriffen werden muss. Von einer Vielzahl von zur Verfügung stehenden Temperatursensoren, die jeweils nur die Temperatur an einem Messpunkt messen, wird eine Auswahl, die sich ggf. nur auf einen einzi- gen Temperatursensor beschränken kann, verwendet. Im einfachsten Fall wird bei einer Änderung der Betriebsparameter auch der zur Regelung verwendete Temperatursensor gewechselt. Bevorzugt handelt es sich aber jeweils um qualitativ und quantitativ voneinander verschiedene Kombinationen von Temperatursensoren, die verwendet werden. Die Kombinationen der zur Regelung verwendeten Messwerte können sich einerseits durch die Anzahl der verwen- deten bzw. nicht verwendeten Messpunkte der jeweiligen Oberfläche, andererseits aber auch durch deren Wichtung bezogen auf die jeweilige Oberflächenzone unterscheiden. So ist es bspw. möglich, zur Temperaturregelung einer von mehreren radialen Oberflächenzonen nur die am Rande der Zone angeordneten Messsensoren zu verwenden oder alternativ dazu nur die in Zonenmitte angeordneten Temperatursensoren zu verwenden. Des Weiteren ist es erfindungsgemäß möglich, zur Regelung des Heizelementes einer Heizzone Temperatursensoren mit zu verwenden, die örtlich einer benachbarten Heizzone zugeordnet sind. In einer bevorzugten Ausgestaltung sind die Heiz- zonen rotationssymmetrisch um das Drehzentrum angeordnet, wobei die Heizzonen in Radialrichtung nebeneinander liegen. Sie sind somit konzentrisch zueinander angeordnet. Es ist ferner möglich, dass der Messwert einzelner Temperatursensoren von mehreren Regeleinrichtungen verwendet wird. Es ist ferner möglich, den Beitrag eines einzelnen Temperatursensors an der Regelung zu wichten. Die Wichtung kann dabei zwischen Null und Eins liegen. Welche Sensoren bei bestimmten Betriebsparametern verwendet werden und welche Sensoren zur Regelung außer Betracht gelassen werden, ist Ergebnis von Vorversuchen oder von computerunterstützten Simulationsrechnungen. Wesentlich ist, dass voneinander verschiedenen Betriebsparametern jeweils eine unterschiedliche Kombination der bei der Regelung verwendeten Messwerten zugeordnet sind. The claims indicate various variants of the generic method or of the generic device in which the heating power fed into a heating element not only determines the measured value supplied to the respective heating element or a surface zone assigned to the individual surface zone associated with the heating element. Instead, a combination of the measured values of a plurality of temperature measuring sensors is used. In a first variant, it is provided that the control takes place with varying combinations of measured values. While in the prior art each control device is functionally firmly connected to their associated actual encoders in the form of temperature sensors, the invention pursues the concept of making this functional linkage variable. Not all of the available measured values or temperature Measuring sensors to be used for the scheme, but only an individual selection thereof. The selection is a combination of measurements that depends on the operating parameters. Operating parameters affecting the quality of the combination include the target surface zone temperatures, the total gas pressure in the process chamber, the chemical composition of the gas phase in the process chamber, the susceptor material, the type of substrates to be coated, and the susceptor population with the substrates and / or the aging state of the susceptor. The device used to carry out the method has a susceptor, which preferably has the shape of a circular disk and which can be driven in rotation about its axis of symmetry. The gas inlet member disposed above the susceptor may be in the form of a shower head. As is known from the prior art, the openings of the shower head can be used as an optical channel, through which the temperature measuring sensors arranged above the openings receive in particular optical (pyrometric) information about the surface of the susceptor. It is provided a plurality of radially arranged sensors, wherein the individual temperature sensors may have an equal distance from each other. Each temperature sensor preferably determines optically / pyrometrically the surface temperature of the susceptor at a location below it. As the susceptor is rotated, these measuring points travel on circular paths over the susceptor and also cover the substrate surfaces. In the gas inlet member, a gas mixture is fed in a known manner. The gas inlet member may include a plurality of chambers so that various gas mixtures are introduced into the process chamber separately from one another. In a coating process, for example in a MOCVD process, organometallic compounds of the II. Or III. Main group initiated in the process chamber. A component of the V. or VI. Main group is introduced in the form of a hydride in the process chamber. The process gases decompose py- rolytically such that layers are deposited on the substrates. The layers depend essentially on the gas composition. The layer composition also depends strongly on the surface temperature of the substrate. The surface temperature of the substrate depends not only on the heating powers of the arranged below the susceptor heating elements. The surface temperature also depends on other growth parameters, which in particular affect the heat dissipation from the substrate surface. These are the aforementioned process parameters. If the height of the process chamber can be varied, then the heat flow and thus the temperature distribution on the surface of the susceptor also depends on the height of the process chamber. Although the individual heating zones are locally assigned surface zones of the susceptor whose surface temperature is significantly influenced by the particular underlying heating elements. However, it has been shown that adjacent surface zones are also influenced to a considerable extent by temperature. This influence depends on the operating parameters. It is thus of advantage if the temperature measuring sensors used according to the invention for controlling control the surface temperature of the susceptor at different points, depending on the set of operating parameter set. With the method according to the invention, it is possible to locally vary the measuring points used for regulation, without having to intervene in the structural design of the sensor field. Of a large number of available temperature sensors, each of which measures only the temperature at a measuring point, a selection, which may possibly only be limited to a single temperature sensor, is used. In the simplest case, when changing the operating parameters and the temperature sensor used for control is changed. Preferably, however, each are qualitatively and quantitatively different combinations of temperature sensors, which are used. The combinations of the measured values used for control can be determined on the one hand by the number of Deten or unused measuring points of the respective surface, on the other hand, but also by their weighting based on the respective surface zone differ. Thus, for example, it is possible to use only the measuring sensors arranged at the edge of the zone for temperature control of one of a plurality of radial surface zones, or alternatively to use only the temperature sensors arranged in the middle of the zone. Furthermore, it is possible according to the invention to use for controlling the heating element of a heating zone with temperature sensors, which are spatially associated with an adjacent heating zone. In a preferred embodiment, the heating zones are rotationally symmetrical about the center of rotation, wherein the heating zones are adjacent to each other in the radial direction. They are thus arranged concentrically to one another. It is also possible that the measured value of individual temperature sensors is used by a plurality of control devices. It is also possible to weight the contribution of a single temperature sensor to the control. The weighting can be between zero and one. Which sensors are used for certain operating parameters and which sensors are disregarded for control is the result of preliminary tests or computer-aided simulation calculations. It is essential that mutually different operating parameters are each assigned a different combination of the measured values used in the control.
Die Betriebsparameter, die als Eingangsgröße in die Auswahleinrichtung eingegeben werden, können auch unmittelbar auf die Regeleinrichtungen einwir- ken. Beispielsweise können als zusätzliche Eingangsgrößen die Regelkennwerte eingegeben werden, also bspw. für Proportional-Integral-Differentialregler der Proportionalanteil, der Integralanteil und/ oder der Differentialanteil. Andererseits ist es aber auch möglich, dass die Auswahleinrichtung anhand der Prozessparameter diese charakteristischen Werte ermittelt, bspw. aus einer in der Auswahleinrichtung hinterlegten Tabelle. Ein weiterer Aspekt der Erfindung bef asst sich mit dem Problem, dass die von den Messwerten gelieferten Temperatur-Messwerte zufolge der Wärmetransportmechanismen vom jeweiligen Heizelement zum Suszeptor miteinander gekoppelt sind. Grundsätzlich beeinflusst jedes Heizelement die Oberflächentemperatur jeder Oberflächenzone des Suszeptors. Erfindungsgemäß werden charakteristische Temperaturen ermittelt, die jeweils einer Oberflächenzone zugeordnet werden. Jede charakteristische Temperatur kann ein Mittelwert, insbesondere ein gewichteter Mittelwert der Temperatur-Messwerte einer Viel- zahl von Temperatursensoren sein. Der Aufbau der erfindungs gemäßen Vorrichtung entspricht im Wesentlichen dem Aufbau der zuvor beschriebenen Vorrichtung. Eine Anzahl von Messsensoren wird in Radialrichtung hintereinander oberhalb des drehantreibbaren Suszeptors angeordnet. Die Anzahl der Temperatur-Messsensoren kann dabei wesentlich größer sein, als die Anzahl der sepa- rat beheizbaren Oberflächenzonen. Es reicht aber aus, wenn jeder dieser separat beheizbaren Oberflächenzonen lediglich ein Sensor zugeordnet ist. In einer bevorzugten Variante der Erfindung wird bei der Drehung des Suszeptors eine Vielzahl von Temperatur-Messwerte gewonnen, so dass nach einer Drehung ein vollständiges laterales Temperaturprofil zur Verfügung steht. Das Tempera- turprofil besteht aus einer gitternetzartigen Feldverteilung, wobei die Temperatur jedes Messfeldes bekannt ist. Die Messfelder sind in Radialrichtung und in Umfangsrichtung gleichmäßig über die Oberfläche des Suszeptors verteilt. Sie umfassen somit Felder, die auf Substratoberflächen liegen beziehungsweise die auf Bereichen des Suszeptors liegen, die nicht von Substraten bedeckt sind. Die charakteristischen Temperaturen können dadurch gewonnen werden, dass bei einer bestimmten Oberflächenzone nur diejenigen Messfelder berücksichtigt werden, die auf einem Substrat oder die nicht auf einem Substrat liegen. Entsprechend der Feldgröße oder der Lage des Feldes wird der Beitrag eines einzelnen Feldes zur Ermittlung des Mittelwertes, also der charakteristischen Temperatur, gewichtet. Die so ermittelten charakteristischen Temperaturen werden einer Regeleinrichtung zugeführt. Die Anzahl der charakteristischen Temperaturen entspricht dabei bevorzugt der Anzahl der Heizelemente beziehungsweise der Anzahl der Oberflächenzonen. Die Regeleinrichtung enthält eine Entkopplungseinrichtung, die die untereinander gekoppelten charakteris- tischen Temperatur-Messwerte gewissermaßen entkoppelt. Die Regeleinrichtung liefert somit gewissermaßen entkoppelte Steuersignale, um die Heizelemente mit Heizleistung zu versorgen. Die Regeleinrichtung besitzt einen Eingang, der als Eingangsdaten für jede einzelne Oberflächenzone einen charakteristischen Temperatur-Messwert erhält. Die Regeleinrichtung besitzt einen Ausgang, der für jedes individuell einer Oberflächenzone zugeordnete Heizelement ein Steuersignal liefert, welches die dem Heizelement zuzuführende Heizleistung bestimmt. Die Steuersignale werden erfindungsgemäß aus gekoppelten Werten gewandelt, wobei jeder gewandelte Wert einen Beitrag von mehreren charakteristischen Temperaturen besitzt bzw. jeder gewandelte Wert ei- nen Beitrag von mehreren charakteristischen Temperaturen enthält. In einer Variante der Erfindung ist vorgesehen, dass jede charakteristische Temperatur einem individuellen Regler als Eingangsgröße zugeführt wird. Bevorzugt entspricht die Anzahl der Regler der Anzahl der temperaturzuregelnden Oberflächenzonen. Die Regler liefern erste, untereinander gekoppelte Werte. Diese Werte werden von einer Entkopplungseinrichtung gewandelt . Hierzu verwendet die Entkopplungseinrichtung bspw. eine Entkopplungsmatrix, die auf die ersten Werte angewendet wird, so dass zweite Werte berechnet werden, die dann gewissermaßen voneinander entkoppelt sind. Die zweiten Werte werden von einem Verstärker verstärkt und als Heizleistungssteuerwerte den Heizele- menten der Oberflächenzonen zugeordnet. Die Entkopplungseinrichtung ist in der Lage, erste Werte, die individuell einer charakteristischen Temperatur zugeordnet sind, die aber von einer Vielzahl von Heizelementen beeinflusst wird, in zweite Werte zu wandeln. Jeder zweite Wert ist individuell einem Heizelement zugeordnet. Seine Höhe entspricht der Heizleistung des Heizelementes. Mit der Entkopplungseinrichtung werden die zweiten Werte derart mit den ersten Werten verknüpft, dass jeder zweite Wert einen Beitrag von mehreren ersten Werten enthält. So wie die ersten Werte (charakteristische Temperaturen) über die Wärmeeinspeisung der Heizelemente in den Suszeptor einen Beitrag von mehreren zweiten Werten (Heizleistungen) besitzt, besitzen die zweiten Werte (Heizleistungen) jeweils Beiträge von mehreren ersten Werten (charakteristische Temperaturen). Mit der Entkopplungseinrichtung wird die von der Regelstrecke bewirkte Kopplung kompensiert. Die zweiten Werte (Heizleistungswerte) sind somit das Ergebnis einer Kompensation der Kopplung der ersten Werte (charakteristische Temperaturmesswerte). Die Regelstrecke wird vom jeweiligen Regler, einem Verstärker, den Heizelementen, dem beheizten Suszeptor und den Temperatursensoren gebildet. Erfindungsgemäß wird diese Regelstrecke um das Entkopplungsglied ergänzt. Das Entkopplungsglied ist zusammen mit den Reglern, den Verstärkern, den Heizelementen, dem Suszeptor und den Temperatursensoren Element des Regelkreises. Das Entkopplungs- glied wird aus der Sicht des Reglers als der Regelstrecke zugehörig betrachtet und kompensiert die Kopplungen innerhalb der Heizelemente und des Suszep- tors. Bei perfekt ausgelegten Entkopplungsglied arbeiten die unabhängigen Regler mit einer Regelstrecke, die um das Entkopplungsglied erweitert ist und deren innere Kopplung der Heizzonen nach außen, also für den Regler nicht sichtbar ist. Hierdurch wird das Regelverhalten verbessert und die Abstimmung der Regler vereinfacht. Zur Ermittlung der in der Entkopplungseinrichtung verwendeten Entkopplungsmatrix wird eine Verstärkungsmatrix ermittelt. Hierzu werden in Vorversuchen oder in Modellrechnungen die Beiträge ermittelt, mit denen das Heizelement einer bestimmten Oberflächenzone die charakteristischen Temperaturen aller Oberflächenzonen beeinflusst. Bei einer beispielsweise aus vier Oberflächenzonen bestehenden Anordnung beeinflusst eines der vier Heizelemente somit die Temperatur aller vier Oberflächenzonen, wobei die dem Heizelement unmittelbar zugeordnete Oberflächenzone am stärksten beeinflusst wird und die vom Heizelement entfernteste Oberflächen- zone am schwächsten beeinflusst wird. Demzufolge haben die Diagonalelemen- te der Verstärkungsmatrix die größten Werte, während die von der Diagonalen entferntesten Matrixelemente die geringsten Werte aufweisen. Da jede der vier Oberflächenzonen vier Matrixeinträge liefert, besteht die Verstärkungsmatrix im Beispiel aus 4 x 4 Matrixelementen. Durch eine Invertierung der Verstär- kungsmatrix wird die Entkopplungsmatrix gebildet. Durch eine Matrixmultiplikation der ersten Werte mit der Entkopplungsmatrix werden die zweiten Werte gebildet. In einer Weiterbildung der Erfindung können die charakteristischen Temperaturen durch wiederholte Aufnahme von Wärmebildern ermittelt werden. Die Wärmebilder können mit den oben erwähnten Messsensoren auf- genommen werden, wobei die in einer radialen Linie angeordneten Messsensoren ein dreidimensionales Wärmebild der Oberfläche liefern. Hierzu wird der Suszeptor unterhalb der Messsensoren, bei denen es sich um Photodioden handeln kann, gedreht. Es ist aber auch möglich, das Wärmebild optisch mit einem Linsensystem aufzunehmen. Das Verfahren der Ermittlung der charakteristi- sehen Temperaturen mittels eines Wärmebildes erfolgt durch die folgenden Verfahrensschritte: Aufnahme eines Wärmebildes, Auswerten des Wärmebildes, wobei dabei die charakteristischen Temperaturen berechnet werden, Weiterleitung der charakteristischen Temperaturen als Temperaturistwerte an die jeweiligen Temperaturregler, Berechnung der Heizleistung unter Berücksichti- gung von Betriebsparametern, Einstellung der Heizleistung, Aufnahme des nächsten Wärmebildes. In einer Weiterbildung der Erfindung wird vorgeschlagen, dass die Auswahl der Messpunkte bzw. der zur Regelung verwendeten Messsensoren über ein neuronales Netzwerk erfolgt. Es können zweistufige neuronale Netzwerke verwendet werden. Jeder Messsensor, also jede Messdio- de ist dabei jeweils mit einem Knoten der verdeckten Schicht des neuronalen Netzes verbunden. Jeder Punkt dieser Schicht ist dann mit allen Ausgangsknoten des neuronalen Netzwerkes verbunden, welche als Eingangskreis für die nachfolgende Regelung dienen. Hierdurch ergibt sich der Vorteil, dass nicht nur ein Messsensor als solcher ausgewählt wird, sondern auch eine optimale Gewichtung der Messsensoren untereinander erfolgt. Das System kann in ei- nem Lernmodus angelernt werden. Hierzu wird das System auf eine konstante Temperatur eingestellt. Dem neuronalen Netzwerk wird mitgeteilt, welche Temperatur eingestellt wurde. Die Lernsequenz kann hierzu bis zu 100 verschiedene Profile beinhalten. Die Profile können derart gestaltet sein, dass sich die Messpunkte nur auf den Substraten, nur auf den freien Oberflächenbereichen des Suszeptors oder auf beiden Zonen befindet. Das neuronale Netzwerk kann mit einer eindimensionalen, also einer linearen Messsensorenmatrix zusammenwirken. Es kann aber auch mit einer zweidimensionalen Messsensorenmatrix zusammenwirken. Als Eingangsgröße werden somit Temperaturbil- der verarbeitet. Hierbei existiert in der verdeckten Schicht nicht nur eine Reihe von Knoten sondern eine ganze Fläche von Knoten. Jeder Messsensor kann mit einem Knoten des neuronalen Netzwerks verbunden sein. Die Gewichtungsfaktoren können im Bereich von Null und Eins liegen. Ausführungsbeispiele der Erfindung werden nachfolgend anhand beigefügter Zeichnungen erörtert. Es zeigen: schematisch den Querschnitt durch eine Prozesskammer eines The operating parameters, which are input as an input to the selection device, can also act directly on the control devices. For example, the control characteristic values can be input as additional input variables, that is to say, for example, for proportional-integral-differential controllers, the proportional component, the integral component and / or the differential component. On the other hand, it is also possible for the selection device to determine these characteristic values on the basis of the process parameters, for example from a table stored in the selection device. A further aspect of the invention is concerned with the problem that the temperature measured values supplied by the measured values are coupled to one another from the respective heating element to the susceptor due to the heat transfer mechanisms. Basically, each heating element affects the surface temperature of each surface zone of the susceptor. According to the invention, characteristic temperatures are determined which are each assigned to a surface zone. Each characteristic temperature may be an average value, in particular a weighted mean value of the temperature measured values of a multiplicity of temperature sensors. The structure of the device according to the invention substantially corresponds to the structure of the device described above. A number of measuring sensors are arranged one behind the other in the radial direction above the rotationally drivable susceptor. The number of temperature measuring sensors can be significantly greater than the number of separately heatable surface zones. However, it is sufficient if each of these separately heatable surface zones is assigned only one sensor. In a preferred variant of the invention, a multiplicity of temperature measured values is obtained during the rotation of the susceptor, so that after a rotation a complete lateral temperature profile is available. The temperature profile consists of a grid-like field distribution, the temperature of each measuring field being known. The measuring fields are distributed uniformly over the surface of the susceptor in the radial direction and in the circumferential direction. They thus include fields that lie on substrate surfaces or lie on areas of the susceptor that are not covered by substrates. The characteristic temperatures can be obtained by considering only those measuring fields which lie on a substrate or not on a substrate in the case of a certain surface zone. Depending on the field size or the position of the field, the contribution of a single field to determine the mean value, ie the characteristic temperature, weighted. The characteristic temperatures determined in this way are fed to a control device. The number of characteristic temperatures preferably corresponds to the number of heating elements or the number of surface zones. The control device contains a decoupling device, which effectively decouples the characteristic temperature measured values coupled with each other. The control device thus provides, so to speak, decoupled control signals in order to supply the heating elements with heating power. The control device has an input, which receives a characteristic temperature measured value as input data for each individual surface zone. The control device has an output which supplies a control signal for each heating element individually assigned to a surface zone, which control signal determines the heating power to be supplied to the heating element. According to the invention, the control signals are converted from coupled values, each converted value having a contribution of a plurality of characteristic temperatures, or each converted value containing a contribution of a plurality of characteristic temperatures. In a variant of the invention it is provided that each characteristic temperature is supplied to an individual controller as an input variable. The number of regulators preferably corresponds to the number of temperature-regulating surface zones. The controllers provide first, mutually coupled values. These values are converted by a decoupling device. For this purpose, the decoupling device uses, for example, a decoupling matrix, which is applied to the first values, so that second values are calculated, which are then, as it were, decoupled from one another. The second values are amplified by an amplifier and assigned to the heating elements of the surface zones as heat output control values. The decoupling device is capable of converting first values individually associated with a characteristic temperature but influenced by a plurality of heating elements into second values. Every second value is individually assigned to a heating element. Its height corresponds to the heating power of the heating element. With the decoupling device, the second values with the first values that every other value contains a contribution of multiple first values. Just as the first values (characteristic temperatures) via the heat input of the heating elements into the susceptor have a contribution of several second values (heating powers), the second values (heating powers) each have contributions of several first values (characteristic temperatures). The decoupling device compensates for the coupling caused by the controlled system. The second values (heating power values) are thus the result of a compensation of the coupling of the first values (characteristic temperature measured values). The controlled system is formed by the respective controller, an amplifier, the heating elements, the heated susceptor and the temperature sensors. According to the invention, this controlled system is supplemented by the decoupling element. The decoupling element together with the regulators, the amplifiers, the heating elements, the susceptor and the temperature sensors is element of the control loop. From the point of view of the controller, the decoupling element is regarded as belonging to the controlled system and compensates for the couplings within the heating elements and the susceptor. With a perfectly designed decoupling element, the independent controllers work with a controlled system that is extended by the decoupling element and whose inner coupling of the heating zones to the outside, ie not visible to the controller. This improves the control behavior and simplifies the tuning of the controllers. To determine the decoupling matrix used in the decoupling device, a gain matrix is determined. For this purpose, the contributions by which the heating element of a certain surface zone influences the characteristic temperatures of all surface zones are determined in preliminary tests or in model calculations. For example, in an arrangement consisting of four surface zones, one of the four heating elements influences the temperature of all four surface zones, the surface zone directly associated with the heating element being most strongly influenced and the surface zone furthest away from the heating element being least affected. Consequently, the diagonal elements The gain matrix has the largest values, while the diagonally most distant matrix elements have the lowest values. Since each of the four surface zones provides four matrix entries, the gain matrix in the example consists of 4 × 4 matrix elements. An inversion of the amplification matrix forms the decoupling matrix. By matrix multiplication of the first values with the decoupling matrix, the second values are formed. In a further development of the invention, the characteristic temperatures can be determined by repeated recording of thermal images. The thermal images can be recorded with the above-mentioned measuring sensors, wherein the measuring sensors arranged in a radial line provide a three-dimensional thermal image of the surface. For this purpose, the susceptor is rotated below the measuring sensors, which may be photodiodes. But it is also possible to optically record the thermal image with a lens system. The method of determining the characteristic temperatures by means of a thermal image is carried out by the following process steps: recording a thermal image, evaluating the thermal image, whereby the characteristic temperatures are calculated, forwarding the characteristic temperatures as temperature actual values to the respective temperature controller, calculation of the heating power under consideration - transmission of operating parameters, adjustment of heating power, recording of the next thermal image. In a development of the invention, it is proposed that the selection of the measuring points or of the measuring sensors used for the regulation takes place via a neural network. Two-stage neural networks can be used. Each measuring sensor, ie each measuring diode, is in each case connected to a node of the hidden layer of the neural network. Each point of this layer is then connected to all the output nodes of the neural network which serve as the input circuit for the subsequent control. This has the advantage that not only a measuring sensor is selected as such, but also an optimal weighting of the measuring sensors takes place with each other. The system can be be learned in learning mode. For this purpose, the system is set to a constant temperature. The neural network is told which temperature has been set. The learning sequence can contain up to 100 different profiles. The profiles can be designed such that the measuring points are located only on the substrates, only on the free surface areas of the susceptor or on both zones. The neural network can interact with a one-dimensional, that is a linear measuring sensor matrix. However, it can also interact with a two-dimensional measuring sensor matrix. Thus, temperature images are processed as an input variable. In this case, not only a number of nodes exist in the hidden layer but an entire area of nodes. Each measuring sensor can be connected to a node of the neural network. The weighting factors can be in the range of zero and one. Embodiments of the invention will be discussed below with reference to accompanying drawings. Shown schematically are the cross section through a process chamber of a
MOCVD-Reaktors mit insgesamt fünfunddreißig Temperatursensoren, die jeweils die Oberflächentemperatur an einem Messpunkt auf dem MOCVD reactor with a total of thirty five temperature sensors, each measuring the surface temperature at a measuring point on the
Suszeptor ermitteln, wobei die Messpunkte voneinander verschiedene Radialabstände zum Drehzentrum des Suszeptors 108 aufweisen, eine Draufsicht auf den Suszeptor 108 mit angedeuteten koaxial ange- ordneten Heizzonen 109, 110, 111, Determining the susceptor, the measuring points having mutually different radial distances from the center of rotation of the susceptor 108, a plan view of the susceptor 108 with indicated coaxially arranged heating zones 109, 110, 111,
Fig. 3 den Einfluss der Heizelemente auf die Oberfläche entlang einer Linie III - III in Fig. 2, Fig. 4 eine Darstellung gemäß Fig. 1, wobei eine erste Kombination von Temperatursensoren 1 - 35 zur Temperaturregelung verwendet wird, 3 shows the influence of the heating elements on the surface along a line III-III in Fig. 2, 4 is a view according to FIG. 1, wherein a first combination of temperature sensors 1 - 35 is used for temperature control,
Fig. 5 eine Darstellung gemäß Fig. 4, wobei eine zweite Kombination von Temperatursensoren 1 - 35 zur Temperaturregelung verwendet wird, 5 is a view according to FIG. 4, wherein a second combination of temperature sensors 1 - 35 is used for temperature control,
Fig. 6 eine Darstellung gemäß Fig. 1, wobei eine dritte Kombination von Fig. 6 is a view according to FIG. 1, wherein a third combination of
Temperatursensoren 1 - 35 zur Temperaturregelung verwendet wird, Fig. 7 schematisch eine Darstellung gemäß Figur 1 eines weiteren Ausführungsbeispiels,  7 is a schematic view of a representation according to FIG. 1 of a further exemplary embodiment, FIG.
Fig. 8 schematisch die Draufsicht auf einen Suszeptor und die Anordnung der Oberflächenzonen beziehungsweise der zur Ermittlung charakteristi- scher Temperaturen verwendete Flächenbereich einer jeweiligen Oberflächenzone und FIG. 8 schematically shows the plan view of a susceptor and the arrangement of the surface zones or the surface area of a respective surface zone used to determine characteristic temperatures. FIG
Fig. 9 eine Darstellung ähnlich der Figur 3 zur Ermittlung einer Verstärkungsmatrix K. 9 shows a representation similar to FIG. 3 for determining a gain matrix K.
Die Fig. 1 zeigt schematisch den Querschnitt durch eine Prozesskammer. Der Boden der Prozesskammer 101 wird von einem Suszeptor 108 ausgebildet, der um eine Drehachse 120 drehangetrieben werden kann. Unterhalb des Suszeptors 108 befinden sich in konzentrischer Anordnung drei Heizzonen 109, 110, 111. Die Heizzone 109 befindet sich unterhalb des Zentrums des Suszeptors 108 und wird von der Heizzone 110 ringförmig umgeben. Letztere wird wiederum ringförmig von der äußersten Heizzone 111 umgeben. Die Heizzonen 109, 110, 111 werden von Infrarotheizelementen bzw. von RF-Heizelementen gebildet und sind in der Lage, die Oberfläche des Suszeptors 108 in drei Oberflächenzo- nen 112, 113, 114 aufzuheizen. Die Fig. 2 zeigt, in den Figuren 1, 4, 5 und 6 der Übersichtlichkeit wegen nicht dargestellte, kreisförmig um das Drehzentrum angeordnete Aufnahmetaschen 119 zur Aufnahme jeweils eines Substrates 105, 106, 107. Die Substra- te 105, 106, 107 liegen somit mit unterschiedlichem Radialabstand entfernt von der Drehachse 120. Fig. 1 shows schematically the cross section through a process chamber. The bottom of the process chamber 101 is formed by a susceptor 108 which is rotatable about an axis of rotation 120. Below the susceptor 108 are in concentric arrangement three heating zones 109, 110, 111. The heating zone 109 is located below the center of the susceptor 108 and is surrounded by the heating zone 110 annular. The latter is in turn surrounded annularly by the outermost heating zone 111. The heating zones 109, 110, 111 are formed by infrared heating elements or RF heating elements and are capable of heating the surface of the susceptor 108 in three surface zones 112, 113, 114. FIG. 2 shows, in FIGS. 1, 4, 5 and 6, receptacle pockets 119 not shown for the sake of clarity and arranged in a circle around the center of rotation for receiving a respective substrate 105, 106, 107. The substrates 105, 106, 107 are located thus with different radial distance away from the axis of rotation 120th
Die parallel zur Erstreckungsrichtung des Suszeptors 108 verlaufende Decke der Prozesskammer 101 wird von einem Gaseinlassorgan 103 in Form eines Showerheads ausgebildet. Letzterer ist lediglich schematisch dargestellt. Er besitzt eine Vielzahl von siebartig angeordneten Öffnungen 104, durch die in eine Gas Verteilkammer des Showerheads 103 eingespeiste Prozessgase in die Prozesskammer 101 eintreten können. Bei den Prozessgasen kann es sich um metallorganische Verbindungen von Elementen der III. bzw. II. Hauptgruppe so- wie um Hydride der V. bzw. VI. Hauptgruppe handeln. Zusätzlich kann in die Prozesskammer noch ein Trägergas, bspw. Wasserstoff, oder ein anderes Inertgas eingespeist werden. Die Prozessgase zerlegen sich pyrolytisch an der Oberfläche der Substrate 105, 106, 107, um dort eine Schicht abzuscheiden. Oberhalb der Gasaustrittsöffnungen 104 befindet sich eine Sensoranordnung 102 mit optischen Temperatursensoren 1 bis 35. Die optischen Temperatursensoren 1 bis 35 sind derart angeordnet, dass sie bspw. pyrolytisch jeweils die Temperatur an einem ihnen individuell zugeordneten Messpunkt messen, wobei die einzelnen Messpunkte unterschiedliche Radialabstände zur Drehach- se 120 aufweisen. Als Folge der Drehung des Suszeptors 108 um die Drehachse 120 wandern die Messpunkte auf konzentrischen Kreisen über die Oberfläche des Suszeptors 108 bzw. über die Oberflächen der darauf liegenden Substrate 105, 106, 107. Über eine Datenleitung 121 sind die Temperatursensoren 1 bis 35 mit einer Aus wahlelektronik 118 verbunden. Diese Auswahlelektronik 118 verknüpft die von der Sensoranordnung 102 kommenden Messwerte mit Regeleinrichtungen 115, 116, 117. Jedem der drei Heizelemente 109, 110, 111 ist individuell eine Regeleinrichtung 115, 116, 117 zugeordnet. Als Soll- Wert erhält die jeweilige Regeleinrichtung 115, 116, 117 Temperaturen, auf die die Oberflächenzo- nen 112, 113, 114 geregelt werden sollen. Als Ist-Werte erhalten die Regeleinrichtungen 115, 116, 117 von den Temperatursensoren 1 bis 35 ermittelte Messwerte. Die Regeleinrichtungen 115, 116, 117 erhalten aber nicht sämtliche Tem- peraturmesswerte, sondern nur die von einer Auswahl der Gesamtheit derThe ceiling of the process chamber 101 running parallel to the extension direction of the susceptor 108 is formed by a gas inlet element 103 in the form of a showerhead. The latter is shown only schematically. It has a multiplicity of sieve-like arranged openings 104, through which process gases fed into a gas distribution chamber of the shower head 103 can enter the process chamber 101. The process gases may be organometallic compounds of elements of the III. or II. main group as well as hydrides of the V. or VI. Main group act. In addition, a carrier gas, for example hydrogen, or another inert gas can be fed into the process chamber. The process gases decompose pyrolytically on the surface of the substrates 105, 106, 107 in order to deposit a layer there. Above the gas outlet openings 104 is a sensor arrangement 102 with optical temperature sensors 1 to 35. The optical temperature sensors 1 to 35 are arranged such that they measure, for example pyrolytically, the temperature at an individually assigned measuring point, the individual measuring points having different radial distances from the axis of rotation - have 120. As a result of the rotation of the susceptor 108 about the axis of rotation 120, the measuring points travel on concentric circles across the surface of the susceptor 108 and over the surfaces of the substrates 105, 106, 107 thereon. Via a data line 121, the temperature sensors 1 to 35 are connected to a selection electronics 118. This selection electronics 118 links the measured values coming from the sensor arrangement 102 with control devices 115, 116, 117. Each of the three heating elements 109, 110, 111 is individually assigned a control device 115, 116, 117. As a target value, the respective control device 115, 116, 117 receives temperatures to which the surface zones 112, 113, 114 are to be regulated. As actual values, the control devices 115, 116, 117 receive measured values determined by the temperature sensors 1 to 35. However, the control devices 115, 116, 117 do not receive all the temperature measured values, but only those of a selection of the entirety of the
Temperatursensoren 1 bis 35 gemessenen Messwerte. Es handelt sich dabei um die schematisch in den die Regeleinrichtungen symbolisierenden Rechtecken 115, 116, 117 eingetragenen Zahlen. Die Auswahlelektronik 118 erhält eine Eingangsgröße P. Diese Eingangsgröße P enthält Informationen über die Betriebsparameter des jeweiligen in der Prozesskammer durchgeführten Verfahrens. Diese Betriebsparameter enthalten u.a. die Soll-Temperaturen der Oberflächenzonen 112, 113, 114, den Totaldruck in der Prozesskammer 101, die chemische Zusammensetzung der Gasphase in der Prozesskammer 101, also die Art der verwendeten Prozessgase, das Material des Suszeptors 108, bspw. Graphit oder beschichtetes Graphit, die Art des Substrates, also dessen kristalline Eigenschaft sowie kristalline Zusammensetzung, die Bestückung des Suszeptors 108 mit Substraten, also die Verteilung der Substrate auf die Aufnahmetaschen 119, sofern nicht alle Aufnahmeta- sehen 110 mit Substraten bestückt sind und/ oder den Alterungszustand des Suszeptors 108, bspw. die Anzahl von Produktionsschritten, die der Suszeptor hinter sich hat. Temperature sensors 1 to 35 measured values. These are the numbers schematically entered in the rectangles 115, 116, 117 symbolizing the control devices. The selection electronics 118 receives an input variable P. This input variable P contains information about the operating parameters of the respective method carried out in the process chamber. These operating parameters include i.a. the target temperatures of the surface zones 112, 113, 114, the total pressure in the process chamber 101, the chemical composition of the gas phase in the process chamber 101, ie the type of process gases used, the material of the susceptor 108, for example. Graphite or coated graphite, the Type of substrate, so its crystalline property and crystalline composition, the placement of the susceptor 108 with substrates, so the distribution of the substrates on the receiving pockets 119, unless all Aufnahmeta- see 110 are equipped with substrates and / or the aging state of the susceptor 108, For example, the number of production steps that the susceptor has behind.
Abhängig von diesen Betriebsparametern P legt die Auswahlelektronik 18 die Kombination der für die Regelung verwendeten Messwerte fest. Im einfachsten Fall, der in den Figuren nicht dargestellt ist, wird zur Regelung des Heizelementes 109 lediglich ein einziger Temperatursensor verwendet, der oberhalb der Oberflächenzone 112 angeordnet ist, also bspw. einer der Temperatursensoren 1 bis 12. Analog wird zur Regelung des Heizelementes 110 ein einziger Temperatursensor 13 bis 23 verwendet, der oberhalb der Oberflächenzone 113 angeordnet ist. Analog dazu wird zur Regelung des Heizelementes 111 ein einziger oberhalb der Oberflächenzone 114 angeordneter Temperatursensor 23 bis 35 verwendet. Ergänzend können aber auch mehrere weitere Temperatursensoren verwendet werden, wobei wesentlich ist, dass sich die Individualität der verwendeten Temperatur-Messsensoren mit der Änderung der Betriebsparameter P ebenfalls ändern. Wird bspw. der Beschichtungsprozess bei einer höheren Temperatur durchgeführt, so ändert sich der Wärmefluss innerhalb der Prozesskammer bzw. innerhalb des Suszeptors 108, so dass die regelungsrelevante Oberflächentemperatur an einer anderen Oberflächenstelle gemessen werden muss. Dies erfolgt durch Wechsel des diesbezüglichen Temperatursensors 1 bis 35. Depending on these operating parameters P, the selection electronics 18 determine the combination of the measured values used for the control. In the simplest Case, which is not shown in the figures, only a single temperature sensor is used to control the heating element 109, which is arranged above the surface zone 112, so for example. One of the temperature sensors 1 to 12. Analogously, to control the heating element 110, a single temperature sensor 13 to 23, which is located above the surface zone 113. Analogously, a single temperature sensor 23 to 35 arranged above the surface zone 114 is used to control the heating element 111. In addition, however, it is also possible to use a plurality of further temperature sensors, wherein it is essential that the individuality of the temperature measuring sensors used also change as the operating parameters P change. If, for example, the coating process is carried out at a higher temperature, the heat flow within the process chamber or within the susceptor 108 changes, so that the control-relevant surface temperature must be measured at another surface location. This is done by changing the relevant temperature sensor 1 to 35.
Bei dem in der Fig. 4 dargestellten Ausführungsbeispiel werden bspw. zur Regelung der Temperatur der Oberflächenzone 112 von der Regeleinrichtung 115 nur die Temperatursensoren 2 bis 11, bei dem in der Fig. 5 dargestellten Ausführungsbeispiel nur die Sensoren 1 bis 10 und bei dem in der Fig. 6 dargestellten Ausführungsbeispiel nur die Sensoren 3 bis 11 verwendet. Zur Regelung der Oberflächentemperatur 113 wird in dem in Fig. 4 dargestellten Ausführungsbeispiel von der Regeleinrichtung 116 nur eine Auswahl der zur Verfü- gung stehenden Messwerte verwendet, nämlich die Messwerte der Temperatursensoren 14, 15, 16, 17, 18, 19, 21, 22, 24. Bei dem in der Fig. 5 dargestellten Ausführungsbeispiel sind es die Messwerte der Temperatursensoren 12 bis 21 und bei dem in der Fig. 6 dargestellten Ausführungsbeispiel sind es die Messwerte der Temperatur-Messsensoren 12 sowie 15 bis 24. Die Regeleinrich- tung 117, die der Oberflächenzone 114 zugeordnet ist, die also das Heizele- ment 111 regelt, verwendet bei dem in Fig. 4 dargestellten Ausführungsbeispiel nur die Messwerte der Temperatur-Messsensoren 25 bis 33, bei dem in der Fig. 5 dargestellten Ausführungsbeispiel nur die Messwerte der Temperatursensoren 25 bis 34 und bei dem in der Fig. 6 dargestellten Ausführungsbeispiel nur die Messwerte der Temperatursensoren 26 bis 35. In the embodiment shown in FIG. 4, for example, to control the temperature of the surface zone 112 of the controller 115, only the temperature sensors 2 to 11, in the embodiment shown in FIG. 5, only the sensors 1 to 10 and in the in the Fig. 6 illustrated embodiment, only the sensors 3 to 11 used. In the embodiment shown in FIG. 4, only a selection of the available measurement values is used by the control device 116 to control the surface temperature 113, namely the measured values of the temperature sensors 14, 15, 16, 17, 18, 19, 21, 22 In the exemplary embodiment illustrated in FIG. 5, the measured values of the temperature sensors 12 to 21 and in the exemplary embodiment illustrated in FIG. 6 are the measured values of the temperature measuring sensors 12 and 15 to 24. The control device 117 , which is associated with the surface zone 114, that is, the heating element In the exemplary embodiment shown in FIG. 4, only the measured values of the temperature measuring sensors 25 to 33 are used and, in the exemplary embodiment illustrated in FIG. 5, only the measured values of the temperature sensors 25 to 34 and in that shown in FIG Embodiment, only the measured values of the temperature sensors 26 to 35th
Die in den Figuren 4 bis 6 dargestellten Kombinationen sind lediglich Beispiele. Es ist auch möglich, dass bspw. nur der Messwert jedes zweiten oder jedes dritten Messsensors verwendet werden kann oder dass lediglich Messsensoren 1, 11, 12, 13, 22, 23, 24, 34, 35 verwendet werden, also Messsensoren, die demThe combinations shown in FIGS. 4 to 6 are merely examples. It is also possible that, for example, only the measured value of every second or every third measuring sensor can be used, or that only measuring sensors 1, 11, 12, 13, 22, 23, 24, 34, 35 are used, that is to say measuring sensors corresponding to
Rand der jeweiligen Oberflächenzone 112, 113, 114 zugeordnet sind. Ebenso ist es denkbar, nur die Sensoren 6, 7, 18, 19, 28, 29 zu verwenden, also solche Temperatur-Messsensoren, die dem Zentralbereich einer jeden Oberflächenzone 112, 113, 114 zugeordnet sind. Edge of the respective surface zone 112, 113, 114 are assigned. It is also conceivable to use only the sensors 6, 7, 18, 19, 28, 29, ie those temperature measuring sensors which are assigned to the central region of each surface zone 112, 113, 114.
Die Fig. 3 zeigt schematisch den Einfluss der einzelnen Heizelemente 109, 110, 111 auf den Temperaturverlauf über eine Diagonallinie über dem Suszeptor. Mit der Kurve A ist der Einfluss des zentralen Heizelements 109 dargestellt. Das Heizelement 109 beeinflusst nicht nur die Temperatur im Zentralbereich des Suszeptors, sondern auch, allerdings geringfügiger, die Temperatur in der Peripherie. Dies gilt auch für den Einfluss des Heizelementes 110, der mit B in der Fig. 3 dargestellt ist. Das Heizelement 110 beeinflusst nicht nur die Temperatur im radial mittleren Bereich des Suszeptors, also in der Oberflächenzo- ne 113, sondern auch die Temperaturen in den benachbarten Oberflächenzo- nen 112, 114. Die Kurve C repräsentiert den Einfluss des radial äußersten Heizelementes 111 auf die Oberflächentemperatur. Auch dieses Heizelement 111 beeinflusst die Temperatur in der benachbarten Oberflächenzone 113. FIG. 3 shows schematically the influence of the individual heating elements 109, 110, 111 on the temperature profile over a diagonal line over the susceptor. With the curve A, the influence of the central heating element 109 is shown. The heating element 109 not only affects the temperature in the central area of the susceptor, but also, but less so, the temperature in the periphery. This also applies to the influence of the heating element 110, which is shown by B in FIG. The heating element 110 not only influences the temperature in the radially middle region of the susceptor, that is, in the surface zone 113, but also the temperatures in the adjacent surface zones 112, 114. The curve C represents the influence of the radially outermost heating element 111 on the surface temperature. This heating element 111 also influences the temperature in the adjacent surface zone 113.
Der quantitative Verlauf der Kurven A, B, C hängt von den oben genannten Prozessparametern ab. Durch die voneinander verschiedenen Kombinationen von Messwerten werden bei der Regelung die quantitativen Unterschiede berücksichtigt. The quantitative course of the curves A, B, C depends on the process parameters mentioned above. Through the different combinations of measured values, the regulation takes into account the quantitative differences.
In den oben beschriebenen Ausführungsbeispielen werden die Messwerte ein- zelner Sensoren entweder berücksichtigt oder nicht berücksichtigt. Es ist aber auch möglich, die Messwerte einzelner Temperatur-Messsensoren zur Regelung voneinander verschiedener Heizelemente 109, 110, 111 zu verwenden, bspw. können die Messwerte der Temperatursensoren 12, 13 bzw. 23, 24 jeweils von zwei Regeleinrichtungen 115, 116, 117 verwendet werden. Es ist ferner möglich, die einzelnen Messwerte gewichtet zur Regelung beizuziehen, bspw. mit einem Wichtungsfaktor zwischen Null und Eins. In the exemplary embodiments described above, the measured values of individual sensors are either taken into account or not taken into account. However, it is also possible to use the measured values of individual temperature measuring sensors for controlling mutually different heating elements 109, 110, 111, for example, the measured values of the temperature sensors 12, 13 or 23, 24 can each be used by two control devices 115, 116, 117 become. It is also possible to use the individual measured values weighted for the control, for example with a weighting factor between zero and one.
Die Figur 7 zeigt schematisch einen Querschnitt durch eine Prozesskammer, wie ihn auch die Figuren 1, 4, 5 und 6 zeigen. Die Temperatursensoren sind hier nur symbolisch angedeutet. Sie liefern charakteristische Temperaturen Ti, T2, T3 bis Tn. Jede charakteristische Temperaturen Ti bis Tn ist individuell einer Oberflächenzone 112, 113, 113', 114 zugeordnet. Die charakteristischen Temperaturen Ti bis Tn können mit einer Sensoranordnung ermittelt werden, wie sie in den Figuren 1, 4, 5 und 6 dargestellt ist. Auf die Ermittlung der charakteristi- sehen Temperaturen Ti bis Tn wird nachstehend noch weiter eingegangen. Die in der Figur 7 dargestellte Vorrichtung besitzt eine Regeleinrichtung 122, die Regler 115, 116, 116', 117, eine Entkopplungseinrichtung 113 und einen Verstärker 124 umfasst. Die Regeleinrichtung 122 liefert aus den charakteristischen Messwerten Ti bis Tn Steuerdaten Pi, P2, P3 bis Pn, mit denen jeweils ein Heiz- element 109, 110, 110', 111 angesteuert wird. FIG. 7 schematically shows a cross section through a process chamber, as shown in FIGS. 1, 4, 5 and 6. The temperature sensors are indicated here only symbolically. They provide characteristic temperatures Ti, T 2 , T 3 to T n . Each characteristic temperature Ti to T n is individually assigned to a surface zone 112, 113, 113 ', 114. The characteristic temperatures Ti to T n can be determined with a sensor arrangement, as shown in FIGS. 1, 4, 5 and 6. The determination of the characteristic temperatures Ti to T n will be discussed further below. The device shown in FIG. 7 has a control device 122 which comprises regulators 115, 116, 116 ', 117, a decoupling device 113 and an amplifier 124. The control device 122 supplies from the characteristic measured values Ti to T n control data Pi, P 2 , P 3 to P n , with which in each case one heating element 109, 110, 110 ', 111 is actuated.
Die Heizelemente 109, 110, 110', 111 liegen unterhalb eines Suszeptors 108, der um eine Drehachse 120 drehbar ist. Die Heizelemente 110, 110', 111 liegen in konzentrischer Anordnung um ein zentrales Heizelement 109. Den Heizele- menten 109 bis 111 sind die bereits erwähnten Oberflächenzonen 112 bis 114 örtlich zugeordnet. Dies bedeutet, die Oberflächenzonen 112 bis 114 liegen in konzentrischer Anordnung oberhalb der Heizelemente 109 bis 111. Wiederum oberhalb der Oberflächenzonen 112 bis 114 sitzen die Temperatur-Messsensoren, die beim Ausführungsbeispiel als auf einer Leiste angeordnete optische Sensoren ausgebildet sind. Im einfachsten Falle reicht es aber aus, wenn zu jeder Oberflächenzone 112 bis 114 ein individueller Temperatur-Messsensor vorgesehen ist, der die charakteristische Temperatur Ti bis Tn liefert. The heating elements 109, 110, 110 ', 111 are below a susceptor 108 which is rotatable about a rotation axis 120. The heating elements 110, 110 ', 111 are in concentric arrangement around a central heating element 109. The heating elements 109 to 111 are the already mentioned surface zones 112 to 114 assigned locally. This means that the surface zones 112 to 114 lie in concentric arrangement above the heating elements 109 to 111. Again above the surface zones 112 to 114 are the temperature measuring sensors, which in the exemplary embodiment are designed as optical sensors arranged on a strip. In the simplest case, however, it is sufficient if, for each surface zone 112 to 114, an individual temperature measuring sensor is provided, which supplies the characteristic temperature Ti to T n .
Zu jeder Oberflächenzone 112 bis 114 existiert ein individuell ihr zugeordneter Regler 115, 116, 116', 117. Als Eingangswerte erhalten die Regler 115 bis 117 die charakteristischen Temperatur Ti bis Tn. For each surface zone 112 to 114 there exists a regulator 115, 116, 116 ', 117 assigned to it individually. As input values, the regulators 115 to 117 receive the characteristic temperature Ti to T n .
Aufgrund der Wärmestrahlung der Heizelemente 109 bis 111 nicht nur in Richtung auf die ihm zugeordnete Oberflächenzone 112 bis 114, sondern auch zu benachbarten Oberflächenzonen, sowie der Wärmeleitung innerhalb des beispielsweise aus Graphit, Molybdän oder einem anderen wärmeleitenden Werkstoff bestehenden Suszeptors 108, sowie aufgrund eines konvektiven Wärmetransports innerhalb der Prozesskammer, beeinflusst jedes Heizelement 109 bis 111 die charakteristische Temperatur Ti bis Tn jeder Oberflächenzone 112 bis 114. Demzufolge sind die von den Reglern 115 bis 117 gelieferten ersten Werte U'i, U2, U'3 bis U' n untereinander gekoppelt. Die ersten Werte U'i bis Un werden von der Entkopplungseinrichtung 123 in entkoppelte zweite Werte Ui, U2, U3, Un gewandelt, die im Verstärker 124 verstärkt werden. Die innerhalb der Entkopplungseinrichtung 123 vorgenommene Entkopplung hat zur Folge, dass der von jedem der Regler 115 bis 117 gelieferte erste Wert U'i bis U' n jedem von der Entkopplungseinrichtung 123 gelieferten zweiten Wert Ui bis Un beeinflusst. Im Verstärker 124 werden die zweiten Werte Ui bis Un lediglich verstärkt, so dass Steuerwerte Pi bis Pn für die einzelnen Heizelemente 109 bis 111 bereitgestellt werden. Die Entkopplungseinrichtung dient der Verbesserung des Regelverhaltens des beschriebenen Mehrgrößensystems. Die Entkopplung der ersten Werte erfolgt mittels einer Entkopplungsmatrix L. Um diese Entkopplungsmatrix L zu ermitteln, wird ein in Modellrechnungen gerechnetes oder in Vorversuchen ermitteltes Wärmebild eines Suszeptors verwendet. Die Figur 8 zeigt die Draufsicht auf einen Suszeptor, der im Zentrum ein Substrat 106 und in einer das Zentrum ringförmig umgebenden Anordnung angeordnete sechs weitere Substrate 107 trägt. Die Oberflächenzonen 112, 113, 113', 114 sind in der Figur 8 mit von gestrichelten Linien begrenzten Ringzonen dargestellt. Einzelne schraffiert dargestellte Berei- che A, B, C, D der Oberflächenzonen 112 bis 114 bilden Bereiche aus, die zur Ermittlung der charakteristischen Temperatur Ti,T2 verwendet werden. Due to the thermal radiation of the heating elements 109 to 111 not only in the direction of its associated surface zone 112 to 114, but also to adjacent surface zones, and the heat conduction within the example of graphite, molybdenum or other thermally conductive material existing susceptor 108, as well as due to a convective Heat transport within the process chamber, each heating element 109 to 111 affects the characteristic temperature Ti to T n of each surface zone 112 to 114. Accordingly, the first values supplied by the regulators 115 to 117 are U ' i, U 2 , U'3 to U ' n coupled with each other. The first values U ' i to U n are converted by the decoupling device 123 into decoupled second values Ui, U2, U3, U n , which are amplified in the amplifier 124. The decoupling carried out within the decoupling device 123 has the consequence that the first value U ' i to U ' n delivered by each of the regulators 115 to 117 influences each second value Ui to U n delivered by the decoupling device 123. In the amplifier 124, the second values Ui to U n are only amplified, so that control values Pi to P n are provided for the individual heating elements 109 to 111. The decoupling device is used to improve the control behavior of the described multivariable system. The decoupling of the first values takes place by means of a decoupling matrix L. In order to determine this decoupling matrix L, a thermal image of a susceptor calculated in model calculations or determined in preliminary experiments is used. FIG. 8 shows the plan view of a susceptor which carries in the center a substrate 106 and six further substrates 107 arranged in an arrangement which surrounds the center annularly. The surface zones 112, 113, 113 ', 114 are shown in FIG. 8 with ring zones bounded by dashed lines. Individual hatched areas A, B, C, D of the surface zones 112 to 114 form areas which are used to determine the characteristic temperature Ti, T2.
Die Figur 8 zeigt darüber hinaus eine gitternetzförmige Anordnung. Jedes Feld des polaren Gitters entspricht einem Temperatur-Messwert, der von einem der Temperatur-Messsensoren 1 bis 35 während einer Umdrehung des Suszeptors 108 gewonnen wird. Während einer Umdrehung des Suszeptors 108 liefern die Messsensoren 1 bis 35 somit eine Vielzahl drehwinkelabhängiger Messdaten, die zu dem erwähnten Wärmebild führen. Es werden eine Vielzahl von Wärmebilder aufgenommen, bei denen die Heizelemente 109 bis 111 mit verschiedenen Heizleistungen versorgt werden. The figure 8 also shows a grid-like arrangement. Each field of the polar grid corresponds to a temperature reading obtained from one of the temperature measurement sensors 1 through 35 during one revolution of the susceptor 108. During one revolution of the susceptor 108, the measuring sensors 1 to 35 thus provide a large number of angle-dependent measurement data which leads to the mentioned thermal image. A plurality of thermal images are recorded, in which the heating elements 109 to 111 are supplied with different heating powers.
Die Figur 9 zeigt ein Diagramm, das aus solchen Wärmebildern gewonnen wurde, auf dessen Abszisse, ausgehend vom Drehzentrum 120, eine Radiale R, bezogen auf die Oberfläche des Suszeptors abgetragen ist. Auf der Ordinate ist ein Verstärkungsfaktor F abgetragen, der im Wesentlichen einer Temperatur entspricht. Die Strichunterteilung auf der Abszisse kennzeichnet jeweils einen Temperatur-Messsensor. Mit den Bereichen A, B, C, D sind die Oberflächenbereiche der radial nebeneinander liegenden Oberflächenzonen 112, 113, 113' und 114 dargestellt. Mit den Bezugsziffern 212, 213, 213' und 214 sind über den Um- fang gemittelte Temperaturkurven dargestellt. Die Kurve 212 gibt den Einfluss des Heizelementes 109 auf alle Oberflächenzonen 112 bis 114 an. Die Kurve 212 gibt den Einfluss des Heizelementes 110 auf alle Oberflächenzonen wieder. Die Kurve 213' gibt den Einfluss des Heizelementes 110' auf die Oberflächentempe- ratur aller Oberflächenzonen wieder und die Kurve 214 gibt den Einfluss des Heizelementes 111 auf alle Oberflächenzonen wieder. Die mit K(l,l) bis K(4,4) bezeichneten Balken geben die Matrixelemente der Verstärkungsmatrix K wieder. Die Verstärkungsmatrix K ist aus dem in Figur 9 dargestellten Übertragungsfaktor-Diagramm abgeleitet. Die Oberflächenbereiche A, B, C, D sind un- ter Berücksichtigung der Geometrie der Heizelemente und des Wärmebildes ausgewählt worden. Die Elemente der Verstärkungsmatrix K ergeben sich als Mittelwert der Kurven innerhalb der Intervalle A, B, C, D. FIG. 9 shows a diagram obtained from such thermal images, on the abscissa of which, starting from the center of rotation 120, a radial R, with reference to the surface of the susceptor, has been removed. On the ordinate a gain factor F is removed, which corresponds essentially to a temperature. The graduation on the abscissa indicates a temperature sensor. With the areas A, B, C, D, the surface areas of the radially adjacent surface zones 112, 113, 113 'and 114 are shown. The reference numerals 212, 213, 213 'and 214 are above the Um- fang averaged temperature curves. The curve 212 indicates the influence of the heating element 109 on all surface zones 112 to 114. The curve 212 reflects the influence of the heating element 110 on all surface zones. The curve 213 'shows the influence of the heating element 110' on the surface temperature of all surface zones and the curve 214 shows the influence of the heating element 111 on all surface zones. The bars labeled K (1, 1) to K (4, 4) represent the matrix elements of the gain matrix K. The gain matrix K is derived from the transfer factor diagram shown in FIG. The surface areas A, B, C, D have been selected taking into account the geometry of the heating elements and the thermal image. The elements of the gain matrix K are the average of the curves within the intervals A, B, C, D.
Wie aus der Figur 8 zu ersehen ist, liegen die Oberflächenbereiche A, B, C der Oberflächenzonen 111, 113, 113' ausschließlich auf den von den Substraten 106 bis 107 eingenommenen Flächen. Der Oberflächenbereich D der Oberflächenzone 114 liegt hingegen auf nicht von den Substraten abgedeckten Bereich des Suszeptors 108. Bei der Berechnung der Matrixelemente K(l,l) bis K(4,4) erfolgt somit unter Berücksichtigung einer Gewicht der Beiträge aller innerhalb der Intervalle liegenden Drehfläche entsprechend der Anzahl der Messfelder. As can be seen from FIG. 8, the surface areas A, B, C of the surface zones 111, 113, 113 'lie exclusively on the surfaces occupied by the substrates 106 to 107. The surface area D of the surface zone 114, on the other hand, lies on the area of the susceptor 108 that is not covered by the substrates. In the calculation of the matrix elements K (1, 1) to K (4, 4), taking into account a weight of the contributions of all within the intervals Turning surface according to the number of measuring fields.
Durch eine Mittelwertbildung ergibt sich beispielsweise für das Ausführungsbeispiel die nachfolgende Matrix K  By averaging results, for example, for the embodiment, the following matrix K
9,94 4,55 1,49 0,61 9.94 4.55 1.49 0.61
3,00 7,64 3,06 1,13  3.00 7.64 3.06 1.13
0,50 3,17 6,30 3,26  0.50 3.17 6.30 3.26
0,18 1,80 5,39 5,13 Durch Invertierung dieser Matrix K L = K"1 gewinnt man eine Entkopplungsmatrix L 0.18 1.80 5.39 5.13 By inverting this matrix K L = K " 1 one obtains a decoupling matrix L
0,124 -0,078 0,014 -0,007 0.124 -0.078 0.014 -0.007
-0,057 0,205 -0117 0,036  -0.057 0.205 -0117 0.036
0,023 -0,134 0,428 -0,245  0.023 -0.134 0.428 -0.245
-0,009 0,071 -0,409 0,440  -0.009 0.071 -0.409 0.440
Mit dieser Invertierungsmatrix L lassen sich aus den gekoppelten ersten Werten U'i bis U'n durch Matrixmultiplikation die entkoppelten Werte Ui bis Un gewinnen: With this inversion matrix L, the decoupled values Ui to U n can be obtained from the coupled first values U'i to U'n by matrix multiplication:
Ui = 0,124 U'i -0,078 U2 +0,014 . , U3 -0,007 , U4 Ui = 0.124 U'i -0.078 U 2 +0.014. , U 3 -0.007, U 4
U2 = -0,057 . . U'i +0,205 . , u2 -0,117 , u3 +0,036 . , u4 u3 = 0,023 . . U'i -0,134 , u2 +0,428 . , u3 -0,245 , u4 u4 = -0,009 . . U'i +0,071 . , u2 +0,409 . , u3 +0,440 . , u4 Die Ui bis U4 entsprechen der Heizleistung, die einem der Heizelemente 109 bis 111 zugeführt werden. Es ist erkennbar, dass die Heizleistung jedes Heizelementes 109 bis 111 einen Beitrag jedes Reglers 115 bis 117 beziehungsweise jeder charakteristischen Temperatur Ti bis Tn enthält. Die Entkopplungseinrichtung wandelt die Stellsignale U'i bis U'n in zweite Werte Ui bis Un. Das Ergebnis ist eine Kompensation der Kopplung der charakteristischen Temperaturmesswerte. U 2 = -0.057. , U 'i +0.205. , u 2 -0.117, u 3 +0.036. , u 4 u 3 = 0.023. , U'i -0.134, u 2 +0.428. , u 3 -0.245, u 4 u 4 = -0.009. , U'i +0,071. , u 2 +0.409. , u 3 +0.440. , U 4 The Ui to U 4 correspond to the heating power, which are supplied to one of the heating elements 109 to 111. It can be seen that the heating power of each heating element 109 to 111 contains a contribution of each regulator 115 to 117 or each characteristic temperature Ti to T n . The decoupling device converts the actuating signals U'i to U ' n into second values Ui to U n . The result is a compensation of the coupling of the characteristic temperature measured values.
Die erfindungsgemäße Vorrichtung zeichnet sich bevorzugt durch eine in der Regelstrecke der Regeleinrichtung angeordnete Entkopplungseinrichtung 123 aus, die aus den ersten gekoppelten Werten U'i bis U'n zweite Werte Ui bis Un erzeugt, die jeweils einer einem Heizelement 109, 110, 110', 111 individuell zu- geordneten Heizleistung entsprechen, wobei die zweiten Werte Ui bis Un gewichtete Beiträge der ersten gekoppelten Werte U'i bis U'n enthalten, wobei durch die Wichtung eine Kompensation der Kopplung erzielt wird. Es handelt sich somit um eine Wichtung, die als Ergebnis die Kompensation der Kopplung der charakteristischen Temperaturmesswerte liefert. The inventive device is characterized preferably by a disposed in the controlled system of the control device decoupling device 123 from which to U of the first coupled values U'i 'generates n second values Ui to U n, each of a heating element 109, 110, 110' , 111 individually orderly heat output, wherein the second values Ui to U n contain weighted contributions of the first coupled values U'i to U ' n , wherein the weighting compensates for the coupling. It is thus a weighting which as a result provides the compensation of the coupling of the characteristic temperature measured values.
Die Entkopplungseinrichtung ist gewissermaßen eine der Regeleinrichtung vor- oder nachgeordnete Stufe, die durch geeignete Verknüpfung der charakteristi- sehen Temperaturmesswerte Steuerwerte liefert, sodass eine Änderung eines ersten Wertes U'i bis U'nim Wesentlichen nur eine Änderung der Oberflächentemperatur der ihm zugeordneten Oberflächenzone 112, 113, 113', 114 und somit nur eine Änderung der ihm zugeordneten charakteristischen Temperatur bewirkt. The decoupling device is effectively a stage upstream or downstream of the control device, which supplies control values by suitable combination of the characteristic temperature measured values, so that a change of a first value U'i to U ' n essentially only changes the surface temperature of the surface zone 112 assigned to it , 113, 113 ', 114 and thus causes only a change in the characteristic temperature associated with it.
Die charakteristischen Temperaturen sind gekoppelte Regelgrößen einer komplexen Regelstrecke, aus deren Abweichung von zugeordneten Führungsgrößen (Solltemperaturen) eine Regeleinrichtung Stellgrößen in Form von Heizleistungen der Heizelemente gewinnt. Erfindungsgemäß werden mit einer Ent- kopplungseinrichtung die Kopplungen der Regelgrößen weitestgehend kompensiert. The characteristic temperatures are coupled controlled variables of a complex controlled system, from whose deviation from assigned reference variables (setpoint temperatures) a control device gains actuating variables in the form of heating outputs of the heating elements. According to the invention, the couplings of the controlled variables are largely compensated with a decoupling device.
Alle offenbarten Merkmale sind (für sich) erfindungswesentlich. In die Offenbarung der Anmeldung wird hiermit auch der Offenbarungsinhalt der zugehö- rigen/ beigefügten Prioritätsunterlagen (Abschrift der Voranmeldung) vollinhaltlich mit einbezogen, auch zu dem Zweck, Merkmale dieser Unterlagen in Ansprüche vorliegender Anmeldung mit aufzunehmen. Die Unteransprüche charakterisieren in ihrer fakultativ nebengeordneten Fassung eigenständige erfinderische Weiterbildungen des Standes der Technik, insbesondere um auf Basis dieser Ansprüche Teilanmeldungen vorzunehmen. Bezugszeichenliste All disclosed features are essential to the invention. The disclosure content of the associated / attached priority documents (copy of the prior application) is hereby also incorporated in full in the disclosure of the application, also for the purpose of including features of these documents in claims of the present application. The subclaims characterize in their optionally sibling version independent inventive developments of the prior art, in particular to make on the basis of these claims divisional applications. LIST OF REFERENCE NUMBERS
1 - 35 Temperatur-Messsensor1 - 35 Temperature measuring sensor
101 Prozesskammer 101 process chamber
102 Sensoranordnung  102 sensor arrangement
103 Showerhead  103 showerhead
104 Gasaustrittsöffnung 104 gas outlet opening
105 Substrat 105 substrate
106 Substrat  106 substrate
107 Substrat  107 substrate
108 Suszeptor  108 susceptor
109 Heizelement  109 heating element
110 Heizelement  110 heating element
110' Heizelement  110 'heating element
111 Heizelement  111 heating element
112 Oberflächenzone  112 surface zone
113 Oberflächenzone  113 surface zone
113' Oberflächenzone  113 'surface zone
114 Oberflächenzone  114 surface zone
115 Regler  115 controller
116 Regler  116 controllers
116' Regler  116 'controller
117 Regler  117 controller
118 Auswahlelektronik 118 selection electronics
119 Aufnahmetaschen119 receiving pockets
120 Drehachse 120 axis of rotation
121 Datenleitung  121 data line
122 Regeleinrichtung  122 control device
123 Entkopplungseinrichtung 123 decoupling device
124 Verstärker 212 Temperatur kurve124 amplifiers 212 temperature curve
213 Temperatur kurve 213' Temperatur kurve213 Temperature curve 213 'Temperature curve
214 Temperaturkurve 214 temperature curve
F VerstärkungsfaktorF gain factor
K KopplungsmatrixK coupling matrix
L Entkopplungsmatrix P BetriebsparameterL decoupling matrix P operating parameters
R Radiale R radial

Claims

ANSPRÜCHE
1. Verfahren zum Behandeln mindestens eines Substrates (105, 106, 107) in einer Prozesskammer (101) eines Reaktorgehäuses, wobei das ein oder mehrere Substrat (105, 106, 107) auf einem mit Heizelementen (109, 110,Method for treating at least one substrate (105, 106, 107) in a process chamber (101) of a reactor housing, wherein the one or more substrates (105, 106, 107) are mounted on a heating element (109, 110,
110', 111) beheizbaren Suszeptor (108) aufgelegt wird, wobei mit den Heizelementen (109, 110, 111) räumlich zugeordnete Zonen des Suszeptors (108) beheizt werden, denen jeweils Oberflächenzonen (112, 113, 113', 114) der zur Prozesskammer (101) weisenden Seite des Suszeptors (108) zugeordnet sind, wobei an einer Mehrzahl von Messpunkten mittels Messsensoren (1 bis 35) Temperaturen der Oberflächenzonen (112, 113, 113', 114) und/oder des dort angeordneten mindestens einen Substrates (105, 106, 107) gemessen werden, und die mit den Sensoren (1 bis 35) ermittelten Messwerte einer Regeleinrichtung (115, 116, 117, 122) zugeführt werden, mit der die Heizleistung der Heizelemente (109, 110, 110', 111) geregelt wird, dadurch gekennzeichnet, dass zur Regelung der Heizleistung der Heizelemente (109, 110, 110', 111) jeweils eine Kombination von Temperatur-Messwerten verwendet wird. 2. Vorrichtung zum Behandeln mindestens eines Substrates (105, 106, 107) mit einem Reaktorgehäuse und einer darin angeordneten Prozesskammer (101), die einen Suszeptor (108) aufweist, zur Aufnahme des mindestens einen Substrates (105, 106, 107), mit einer Mehrzahl von Heizelementen (109, 110, 110', 111) zum Aufheizen von zugeordneten Oberflächenzo- nen (112, 113, 113', 114) des Suszeptors und einer Mehrzahl von Temperatursensoren (1 bis 35), die jeweils an einem Messpunkt einen Temperaturmesswert der Oberfläche des Suszeptors (108) oder eines dort angeordneten Substrates (105, 106, 107) liefern, wobei die Heizelemente (109, 110, 110', 111) von einer Regeleinrichtung (115, 116, 117, 122) mit geregelter Heizleistung versorgt werden, wobei der Regeleinrichtung (115, 116, 117, 122) die Temperaturmesswerte zugeführt werden, dadurch gekennzeichnet, dass die Regeleinrichtung (115, 116, 117, 122) zur Regelung der Temperatur jeweils einer der Oberflächenzonen (112, 113, 113', 114) eine Kombination von Temperatur-Messwerten mehrerer Temperatursensoren (1 bis 35) verwendet. 110 ', 111) susceptor (108) is placed, wherein the heating elements (109, 110, 111) spatially associated zones of the susceptor (108) are heated, each surface zones (112, 113, 113', 114) of the Temperatures of the surface zones (112, 113, 113 ', 114) and / or of the at least one substrate arranged thereon () 105, 106, 107) are measured, and the measured values determined with the sensors (1 to 35) are fed to a control device (115, 116, 117, 122) with which the heating power of the heating elements (109, 110, 110 ', 111 ) is regulated, characterized in that for controlling the heating power of the heating elements (109, 110, 110 ', 111) is used in each case a combination of temperature measured values. 2. Apparatus for treating at least one substrate (105, 106, 107) with a reactor housing and a process chamber (101) arranged therein, which has a susceptor (108) for receiving the at least one substrate (105, 106, 107) a plurality of heating elements (109, 110, 110 ', 111) for heating associated surface zones (112, 113, 113', 114) of the susceptor and a plurality of temperature sensors (1 to 35), each at a measuring point Temperature measurement of the surface of the susceptor (108) or arranged there substrate (105, 106, 107), wherein the heating elements (109, 110, 110 ', 111) of a control device (115, 116, 117, 122) with controlled heating power supplied to the control device (115, 116, 117, 122), the temperature measurement values are supplied, characterized in that the control device (115, 116, 117, 122) for controlling the temperature of each of the surface zones (112, 113, 113 ', 114) is a combination of temperature measurement values of a plurality of temperature sensors (1 to 35).
Verfahren nach Anspruch 1 oder insbesondere danach, dadurch gekennzeichnet, dass die Kombination von Temperatur-Messwerten ein oder mehreren Betriebsparametern (P) zugeordnet sind, wobei die Betriebsparameter (P) ausgewählt sind aus: den Solltemperaturen der Oberflächenzonen (112, 113, 113', 114), dem Totalgasdruck in der Prozesskammer (101), der chemischen Zusammensetzung der Gasphase in der Prozesskammer (101), dem Material des Suszeptors (108), der Art des Substrates (105, 106, 107), der Bestückung des Suszeptors (108) mit Substraten (105, 106, 107) und/ oder dem Alterungszustand des Suszeptors (108). Method according to Claim 1 or in particular according thereto, characterized in that the combination of temperature measured values are assigned to one or more operating parameters (P), the operating parameters (P) being selected from: the setpoint temperatures of the surface zones (112, 113, 113 ', 114), the total gas pressure in the process chamber (101), the chemical composition of the gas phase in the process chamber (101), the material of the susceptor (108), the type of substrate (105, 106, 107), the mounting of the susceptor (108 ) with substrates (105, 106, 107) and / or the aging state of the susceptor (108).
Vorrichtung nach Anspruch 2 oder insbesondere danach, gekennzeichnet durch eine Auswahleinrichtung (118), die als Eingangsgröße (P) ein oder mehrere Betriebsparameter aus: den Solltemperaturen der Oberflächenzonen (112, 113, 113', 114), dem Totalgasdruck in der Prozesskammer (101), der chemischen Zusammensetzung der Gasphase in der Prozesskammer (101), dem Material des Suszeptors (108), der Art des Substrates (105, 106, 107), der Bestückung des Suszeptors (108) mit Substraten (105, 106, 107) und/ oder dem Alterungszustand des Suszeptors (108), enthält, und die abhängig von der Eingangsgröße (P) eine Kombination von zur Regelung zu verwendenden Temperatur-Messwerten festlegt. Apparatus according to claim 2 or in particular, characterized by a selection device (118), the input variable (P) one or more operating parameters of: the target temperatures of the surface zones (112, 113, 113 ', 114), the total gas pressure in the process chamber (101 ), the chemical composition of the gas phase in the process chamber (101), the material of the susceptor (108), the nature of the substrate (105, 106, 107), the mounting of the susceptor (108) with substrates (105, 106, 107) and / or the aging state of the susceptor (108), and which, depending on the input variable (P), determines a combination of temperature measurement values to be used for regulation.
Verfahren oder Vorrichtung nach einem oder mehreren der vorhergehenden Ansprüche oder insbesondere danach, dadurch gekennzeichnet, dass sich die Kombinationen zur Regelung verwendeter Messwerte voneinan- der durch die Individualität bzw. Anzahl der verwendeten bzw. nicht verwendeten Messpunkte der jeweiligen Oberflächenzone (112, 113, 113', 114) unterscheiden und/ oder dass sich die Kombinationen zur Regelung verwendeter Messwerte voneinander durch deren Wichtung bezogen auf die jeweilige Oberflächenzone (112, 113, 113', 114) unterscheiden, wobei die Wichtung der Werte zwischen Null und Eins sind und/ oder dass sowohl auf der Oberfläche eines Substrates (105, 106, 107) als auch auf eine nicht von einem der Substrate (105, 106, 107) belegten Abschnitt der Oberfläche des Suszeptors (108) gemessene Messwerte zur Regelung verwendet werden und/ oder dass die Messwerte in einem bildgebenden Verfahren ermittelt werden. Method or device according to one or more of the preceding claims or in particular according thereto, characterized in that the combinations for controlling the measured values used the differences between the individuality or number of used or unused measuring points of the respective surface zone (112, 113, 113 ', 114) and / or that the combinations used to control the measured values used by each other by their weighting with respect to the respective surface zone (112 , 113, 113 ', 114), wherein the weighting of the values are between zero and one and / or that both on the surface of a substrate (105, 106, 107) and on one of the substrates (105, 106 , 107) measured values are used for the control and / or that the measured values are determined in an imaging method.
Verfahren oder Vorrichtung nach einem oder mehreren der vorhergehenden Ansprüche oder insbesondere danach, dadurch gekennzeichnet, dass jedem Heizelement (109, 110, 110', 111) individuell ein Regeler (115, 116, 117, 122) zugeordnet ist, der von der Auswahleinrichtung (118) als Auswahlwerte eine Kombination von Messwerten erhält, wobei sich die zu verschiedenen Betriebsparametern (P) gehörenden Kombinationen voneinander unterscheiden, oder dass die Temperatur-Messwerte jeder der Oberflächenzonen (112, 113, 113', 114) individuell zugeordnete charakteristische Temperaturen (Ti, T2, T3, Tn) sind, aus denen bei der Regelung jeder der Oberflächenzone (112, 113, 113', 114) individuell zugeordnete erste Werte (U'i bis U'n) gewonnen werden, die von einer Entkopplungseinrichtung (123) in zweite Werte (Ui bis Un) gewandelt werden, bei denen die Kopplung der ersten Werte (Ui bis Un) kompensiert ist und die den jeweiligen Heizleistungen der Heizelemente (109, 110, 110', 111) entsprechen. Method or device according to one or more of the preceding claims or in particular according thereto, characterized in that each heating element (109, 110, 110 ', 111) is assigned individually a regulator (115, 116, 117, 122) which is selected by the selection device ( 118) receives a combination of measured values as selection values, the combinations belonging to different operating parameters (P) differing from one another, or the temperature measured values of each of the surface zones (112, 113, 113 ', 114) having individually assigned characteristic temperatures (Ti, T 2 , T 3 , T n ), from which in the regulation of each of the surface zones (112, 113, 113 ', 114) individually assigned first values (U'i to U' n ) are obtained which are decoupled from a decoupling device ( 123) are converted into second values (Ui to U n ) in which the coupling of the first values (Ui to U n ) is compensated and corresponds to the respective heating powers of the heating elements (109, 110, 110 ', 111) Echen.
Verfahren oder Vorrichtung nach einem oder mehreren der vorhergehenden Ansprüche oder insbesondere danach, dadurch gekennzeichnet, dass für die Auswahl des oder der für die Regelung verwendeten Temperatur- sensoren (1 bis 35) der von den Betriebsparametern (P) abhängige Beitrag der Heizleistung eines Heizelementes (109, 110, 110', 111) auch auf die Temperatur nicht diesem Heizelement (109, 110, 110', 111) zugeordneten Oberflächenzone (112, 113, 113', 114) verwendet wird. Method or device according to one or more of the preceding claims or in particular according thereto, characterized in that for the selection of the temperature or the temperature used for the regulation Sensors (1 to 35) of the operating parameters (P) dependent contribution of the heating power of a heating element (109, 110, 110 ', 111) also on the temperature not this heating element (109, 110, 110', 111) associated surface zone (112 , 113, 113 ', 114) is used.
Verfahren oder Vorrichtung nach einem oder mehreren der vorhergehenden Ansprüche oder insbesondere danach, dadurch gekennzeichnet, dass die Kombinationen in Vorversuchen oder durch computerunterstützte Simulationsrechnungen ermittelt werden, wobei als Konvergenzkriterium ein vorgegebener Temperaturverlauf, insbesondere eine Minimalisierung des lateralen Temperaturgradienten über die zur Prozesskammer (101) weisenden Oberfläche des Suszeptors (108) gewählt ist und/ oder dass Regelparameter für die Regelung durch die Verwendung neuronaler Netze ermittelt werden. Method or device according to one or more of the preceding claims or in particular according thereto, characterized in that the combinations are determined in preliminary tests or by computer-aided simulation calculations, wherein as a convergence criterion a predetermined temperature profile, in particular a minimization of the lateral temperature gradient over the process chamber (101) facing Surface of the susceptor (108) is selected and / or that control parameters are determined by the use of neural networks.
Verfahren oder Vorrichtung nach einem oder mehreren der vorhergehenden Ansprüche oder insbesondere danach, dadurch gekennzeichnet, dass aus den Temperatur-Messwerten (1 bis 35) für jede Oberflächenzone (112, 113, 113', 114) eine charakteristische Temperatur (Ti, T2, T3, Tn) gewonnen wird, die jeweils einem Regler (115, 116, 116', 117) zugeführt werden, der erste gekoppelte Werte (U'i bis U' n) liefert, die zur Kompensation der Kopplung von einer Entkopplungseinrichtung (123) insbesondere mit einer Entkopplungsmatrix (L) in zweite Werte (Ui bis Un) gewandelt werden, die jeweils einer einem Heizelement (109, 110, 110', 111) individuell zugeführten Heizleistung entsprechen. Method or device according to one or more of the preceding claims or in particular according thereto, characterized in that a characteristic temperature (Ti, T 2 , T 3 , T n ), which are each supplied to a controller (115, 116, 116 ', 117), which supplies first coupled values (U ' i to U ' n ) which are used to compensate for the coupling of a decoupling device ( 123) in particular with a decoupling matrix (L) into second values (Ui to U n ) are converted, each corresponding to a heating element (109, 110, 110 ', 111) individually supplied heating power.
Verfahren zum Behandeln mindestens eines Substrates (105, 106, 107) in einer Prozesskammer (101) eines Reaktorgehäuses, wobei das ein oder mehrere Substrat (105, 106, 107) auf einem mit Heizelementen (109, 110, 110', 111) beheizbaren Suszeptor (108) aufgelegt wird, wobei mit den Heizelementen (109, 110, 111) räumlich zugeordnete Zonen des Suszep- tors (108) beheizt werden, denen jeweils Oberflächenzonen (112, 113, 113', 114) der zur Prozesskammer (101) weisenden Seite des Suszeptors (108) zugeordnet sind, wobei für jede Oberflächenzone (112, 113, 113', 114) eine charakteristische Temperatur (Ti, T2, T3, Tn) ermittelt wird, die einer Regeleinrichtung (115, 116, 116', 117) zur Regelung der Heizleistung der Heizelemente (109, 110, 110', 111) zugeführt wird, wobei die charakteristischen Temperaturen (Ti, T2, T3, Tn) untereinander gekoppelt sind, dadurch gekennzeichnet, dass bei der Regelung erste gekoppelte Werte (U'i bis U'n) verwendet werden, die von einer Entkopplungseinrichtung (123) insbesondere mit einer Entkopplungsmatrix (L) zur Kompensation der Kopplung in zweite Werte (Ui bis Un) gewandelt werden. Method for treating at least one substrate (105, 106, 107) in a process chamber (101) of a reactor housing, wherein the one or more substrate (105, 106, 107) can be heated on a heating element (109, 110, 110 ', 111) Susceptor (108) is placed, with the Heating elements (109, 110, 111) spatially associated zones of the susceptor (108) are heated, each of which surface zones (112, 113, 113 ', 114) of the process chamber (101) facing side of the susceptor (108) are assigned wherein for each surface zone (112, 113, 113 ', 114) a characteristic temperature (Ti, T 2 , T 3 , T n ) is determined, which a control device (115, 116, 116', 117) for controlling the heating power of Heating elements (109, 110, 110 ', 111) is supplied, wherein the characteristic temperatures (Ti, T 2 , T 3 , T n ) are coupled to each other, characterized in that in the control first coupled values (U ' i to U 'n) are used, which are converted by a decoupling device (123) in particular with a decoupling matrix (L) to compensate for the coupling into second values (Ui to U n ).
11. Vorrichtung zum Behandeln mindestens eines Substrates (105, 106, 107) mit einem Reaktorgehäuse und einer darin angeordneten Prozesskammer (101), die einen Suszeptor (108) aufweist, zur Aufnahme des mindestens einen Substrates (105, 106, 107), mit einer Mehrzahl von Heizelementen (109, 110, 110', 111) zum Aufheizen von korrespondierenden Oberflächenzonen (112, 113, 113', 114) des Suszeptors, wobei Mittel vorgesehen sind, mit denen für jede Oberflächenzone (112, 113, 113', 114) eine charakteristische Temperatur (Ti, T2, T3, Tn) ermittelbar ist, die einer Regeleinrichtung zugeführt werden zur Regelung der Heizleistung der Heizelemente (109, 110, 110', 111), wobei charakteristischen Temperaturen (Ti, T2, T3, Tn) untereinander gekoppelt sind, , gekennzeichnet durch eine Ent- kopplungseinrichtung (123) die zur Kompensation der Kopplung aus den ersten gekoppelten Werten (U'i bis U' n) insbesondere mit einer Entkopplungsmatrix (L) zweite Werte (Ui bis Un) erzeugt. 11. Apparatus for treating at least one substrate (105, 106, 107) with a reactor housing and a process chamber (101) arranged therein, which has a susceptor (108) for receiving the at least one substrate (105, 106, 107) a plurality of heating elements (109, 110, 110 ', 111) for heating corresponding surface zones (112, 113, 113', 114) of the susceptor, means being provided for each surface zone (112, 113, 113 ', 114) a characteristic temperature (Ti, T 2 , T 3 , T n ) can be determined, which are fed to a control device for controlling the heating power of the heating elements (109, 110, 110 ', 111), wherein characteristic temperatures (Ti, T 2 , T 3 , T n ) are coupled to each other, characterized by a decoupling device (123) for compensating the coupling from the first coupled values (U ' i to U ' n ), in particular with a decoupling matrix (L), second values ( Ui to U n ).
12. Verfahren oder Vorrichtung nach Anspruch 10 oder 11 oder insbesondere danach, dadurch gekennzeichnet, dass die charakteristischen Temperatu- ren (Ti, T2/ T3, Tn) jeweils einem Regler (115, 116, 116', 117) zugeführt werden, der die ersten gekoppelten Werte (Ui bis Un) liefert. 12. A method or device according to claim 10 or 11 or in particular according thereto, characterized in that the characteristic temperature each (Ti, T 2 / T 3, T n ) in each case a controller (115, 116, 116 ', 117) are supplied, which supplies the first coupled values (Ui to U n ).
13. Verfahren oder Vorrichtung nach einem oder mehreren der vorhergehen- den Ansprüche oder insbesondere danach, dadurch gekennzeichnet, dass zur Ermittlung der Entkopplungsmatrix (L) in Vorversuchen oder mittels Modellrechnungen eine Kopplungsmatrix (K) bestimmt wird, wobei der Beitrag jedes Heizelementes (109, 110, 110', 111) zur charakteristischen Temperatur (Ti bis Tn) jeder Oberflächenzone (112, 113, 113', 114) ermittelt wird, wobei die Entkopplungsmatrix (L) insbesondere die invertierte13. Method or device according to one or more of the preceding claims or in particular according thereto, characterized in that for determining the decoupling matrix (L) in preliminary tests or by means of model calculations, a coupling matrix (K) is determined, the contribution of each heating element (109, 110, 110 ', 111) to the characteristic temperature (Ti to T n ) of each surface zone (112, 113, 113', 114), the decoupling matrix (L) being in particular the inverted one
Kopplungsmatrix (K) ist. Coupling matrix (K) is.
14. Verfahren oder Vorrichtung nach einem oder mehreren der vorhergehenden Ansprüche oder insbesondere danach, dadurch gekennzeichnet, dass die charakteristischen Temperaturen (Ti bis Tn) jeweils der Mittelwert einer Vielzahl von auf insbesondere ausgewählten Oberflächenabschnitten einer Oberflächenzone (112, 113, 113', 114) gemessenen Temperatur- Messwerten ist, wobei die Messwerte dreidimensional oder zweidimensional über die Oberfläche des Suszeptors (108) verteilt sind. 14. A method or device according to one or more of the preceding claims or in particular according thereto, characterized in that the characteristic temperatures (Ti to T n ) in each case the mean value of a plurality of surface areas of a selected surface zone (112, 113, 113 ', 114 ) measured temperature values, wherein the measured values are distributed three-dimensionally or two-dimensionally over the surface of the susceptor (108).
15. Verfahren oder Vorrichtung nach einem oder mehreren der vorhergehenden Ansprüche oder insbesondere danach, dadurch gekennzeichnet, dass die Oberflächenabschnitte in Umfangsrichtung voneinander beabstandete Bereiche der zugeordneten Oberflächenzone (112, 113, 113', 114) sind. 15. The method or device according to one or more of the preceding claims or in particular according thereto, characterized in that the surface portions in the circumferential direction spaced apart areas of the associated surface zone (112, 113, 113 ', 114).
16. Verfahren oder Vorrichtung nach einem oder mehreren der vorhergehenden Ansprüche oder insbesondere danach, dadurch gekennzeichnet, dass die charakteristischen Temperaturen (Ti bis Tn) durch Aufnahme und Auswertung von ein oder mehreren Wärmebilden des aufgeheizten Sus- zeptors (108) ermittelt werden. 16. Method or device according to one or more of the preceding claims or in particular according thereto, characterized in that the characteristic temperatures (Ti to T n ) are determined by recording and evaluating one or more thermal images of the heated susceptor (108).
PCT/EP2013/053986 2012-03-01 2013-02-28 Method and device for controlling the surface temperature of a susceptor of a substrate coating apparatus WO2013127891A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE112013001238.3T DE112013001238A5 (en) 2012-03-01 2013-02-28 Method and device for controlling the surface temperature of a susceptor of a substrate coating device
CN201380012023.8A CN104204291B (en) 2012-03-01 2013-02-28 Method and device for the base-plates surface temperature of control base board apparatus for coating

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE102012101717A DE102012101717A1 (en) 2012-03-01 2012-03-01 Method and device for controlling the surface temperature of a susceptor of a substrate coating device
DE102012101717.4 2012-03-01

Publications (1)

Publication Number Publication Date
WO2013127891A1 true WO2013127891A1 (en) 2013-09-06

Family

ID=47891615

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2013/053986 WO2013127891A1 (en) 2012-03-01 2013-02-28 Method and device for controlling the surface temperature of a susceptor of a substrate coating apparatus

Country Status (4)

Country Link
CN (1) CN104204291B (en)
DE (2) DE102012101717A1 (en)
TW (1) TWI571528B (en)
WO (1) WO2013127891A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112969815A (en) * 2018-09-07 2021-06-15 艾克斯特朗欧洲公司 Method for regulating the top temperature of a CVD reactor
CN113862647A (en) * 2021-09-28 2021-12-31 长江存储科技有限责任公司 Thin film deposition equipment and method

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102013109155A1 (en) 2013-08-23 2015-02-26 Aixtron Se Substrate processing apparatus
DE102013114412A1 (en) 2013-12-18 2015-06-18 Aixtron Se Apparatus and method for controlling the temperature in a process chamber of a CVD reactor using two temperature sensor means
US9543171B2 (en) * 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
DE102014117388A1 (en) * 2014-11-27 2016-06-02 Aixtron Se Method for calibrating a pyrometer arrangement of a CVD or PVD reactor
CN104635792B (en) * 2015-01-09 2017-10-27 中国科学院工程热物理研究所 The method that convection current is driven based on active temperature gradient method control surface tension force
DE102015100640A1 (en) * 2015-01-19 2016-07-21 Aixtron Se Apparatus and method for the thermal treatment of substrates
CN105390421A (en) * 2015-10-14 2016-03-09 上海华力微电子有限公司 Reaction chamber temperature zone control system
DE102017105333A1 (en) * 2017-03-14 2018-09-20 Aixtron Se Method and device for thermal treatment of a substrate
JP7003759B2 (en) * 2017-06-28 2022-01-21 東京エレクトロン株式会社 Heat treatment equipment, management method of heat treatment equipment and storage medium
DE102019107295A1 (en) * 2019-03-21 2020-09-24 Aixtron Se Method for determining the state of a CVD reactor under production conditions
DE102020100481A1 (en) * 2020-01-10 2021-07-15 Aixtron Se CVD reactor and method for controlling the surface temperature of the substrates
CN113846376B (en) * 2021-09-23 2022-12-27 浙江晶盛机电股份有限公司 Temperature adjusting method for epitaxial growth apparatus and epitaxial growth apparatus
WO2023198804A1 (en) 2022-04-14 2023-10-19 Hte Gmbh The High Throughput Experimentation Company Heat treatment device

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5782974A (en) 1994-02-02 1998-07-21 Applied Materials, Inc. Method of depositing a thin film using an optical pyrometer
US5871805A (en) 1996-04-08 1999-02-16 Lemelson; Jerome Computer controlled vapor deposition processes
US5970214A (en) 1998-05-14 1999-10-19 Ag Associates Heating device for semiconductor wafers
US6034357A (en) 1998-06-08 2000-03-07 Steag Rtp Systems Inc Apparatus and process for measuring the temperature of semiconductor wafers in the presence of radiation absorbing gases
US6079874A (en) 1998-02-05 2000-06-27 Applied Materials, Inc. Temperature probes for measuring substrate temperature
WO2002026435A1 (en) * 2000-09-27 2002-04-04 Emcore Corporation Apparatus and method for controlling temperature uniformity of substrates
US20030038112A1 (en) 2000-03-30 2003-02-27 Lianjun Liu Optical monitoring and control system and method for plasma reactors
US6706541B1 (en) 1999-10-20 2004-03-16 Advanced Micro Devices, Inc. Method and apparatus for controlling wafer uniformity using spatially resolved sensors
US20040222210A1 (en) * 2003-05-08 2004-11-11 Hongy Lin Multi-zone ceramic heating system and method of manufacture thereof
DE102004007984A1 (en) 2004-02-18 2005-09-01 Aixtron Ag CVD reactor with photodiode array
US20060027169A1 (en) 2004-08-06 2006-02-09 Tokyo Electron Limited Method and system for substrate temperature profile control
EP1647868A1 (en) * 2004-10-13 2006-04-19 Omron Corporation Temperature control method and apparatus
EP1481117B1 (en) 2002-02-22 2007-10-24 Aixtron AG Method and device for depositing semi-conductor layers
DE102007023970A1 (en) 2007-05-23 2008-12-04 Aixtron Ag Apparatus for coating a plurality of densely packed substrates on a susceptor

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5782974A (en) 1994-02-02 1998-07-21 Applied Materials, Inc. Method of depositing a thin film using an optical pyrometer
US5871805A (en) 1996-04-08 1999-02-16 Lemelson; Jerome Computer controlled vapor deposition processes
US6079874A (en) 1998-02-05 2000-06-27 Applied Materials, Inc. Temperature probes for measuring substrate temperature
US5970214A (en) 1998-05-14 1999-10-19 Ag Associates Heating device for semiconductor wafers
US6034357A (en) 1998-06-08 2000-03-07 Steag Rtp Systems Inc Apparatus and process for measuring the temperature of semiconductor wafers in the presence of radiation absorbing gases
US6706541B1 (en) 1999-10-20 2004-03-16 Advanced Micro Devices, Inc. Method and apparatus for controlling wafer uniformity using spatially resolved sensors
US20030038112A1 (en) 2000-03-30 2003-02-27 Lianjun Liu Optical monitoring and control system and method for plasma reactors
US6492625B1 (en) 2000-09-27 2002-12-10 Emcore Corporation Apparatus and method for controlling temperature uniformity of substrates
WO2002026435A1 (en) * 2000-09-27 2002-04-04 Emcore Corporation Apparatus and method for controlling temperature uniformity of substrates
EP1481117B1 (en) 2002-02-22 2007-10-24 Aixtron AG Method and device for depositing semi-conductor layers
US20040222210A1 (en) * 2003-05-08 2004-11-11 Hongy Lin Multi-zone ceramic heating system and method of manufacture thereof
DE102004007984A1 (en) 2004-02-18 2005-09-01 Aixtron Ag CVD reactor with photodiode array
US20060027169A1 (en) 2004-08-06 2006-02-09 Tokyo Electron Limited Method and system for substrate temperature profile control
EP1647868A1 (en) * 2004-10-13 2006-04-19 Omron Corporation Temperature control method and apparatus
DE102007023970A1 (en) 2007-05-23 2008-12-04 Aixtron Ag Apparatus for coating a plurality of densely packed substrates on a susceptor

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112969815A (en) * 2018-09-07 2021-06-15 艾克斯特朗欧洲公司 Method for regulating the top temperature of a CVD reactor
US20210310120A1 (en) * 2018-09-07 2021-10-07 Aixtron Se Device and method for controlling the ceiling temperature of a cvd reactor
US11713505B2 (en) * 2018-09-07 2023-08-01 Aixtron Se Device and method for controlling the ceiling temperature of a CVD reactor
CN113862647A (en) * 2021-09-28 2021-12-31 长江存储科技有限责任公司 Thin film deposition equipment and method

Also Published As

Publication number Publication date
CN104204291A (en) 2014-12-10
DE112013001238A5 (en) 2015-01-15
TWI571528B (en) 2017-02-21
TW201346061A (en) 2013-11-16
CN104204291B (en) 2017-12-05
DE102012101717A1 (en) 2013-09-05

Similar Documents

Publication Publication Date Title
WO2013127891A1 (en) Method and device for controlling the surface temperature of a susceptor of a substrate coating apparatus
DE60033166T2 (en) METHOD AND DEVICE FOR CONTROLLING THE TREATMENT EQUALITY OF WAFERS BY SPATIAL SENSORS
DE60133206T2 (en) METHOD FOR DETERMINING PARAMETERS OF THERMAL TREATMENT
EP1157408B1 (en) Device and method for thermally treating substrates
DE102013114412A1 (en) Apparatus and method for controlling the temperature in a process chamber of a CVD reactor using two temperature sensor means
DE102011083245B4 (en) Method and device for depositing an epitaxial layer of silicon on a semiconductor wafer of monocrystalline silicon by vapor deposition in a process chamber
EP2470684B1 (en) Cvd method and cvd reactor
EP1812957B1 (en) Method and device for thermally treating substrates
WO2002038840A1 (en) Method and device for controlling the surface temperatures of substrates in a chemical vapour deposition reactor
WO2011128226A1 (en) Device and method for simultaneously precipitating a plurality of semiconductor layers in a plurality of process chambers
WO2018166955A1 (en) Method and device for the thermal treatment of a substrate
WO2015024762A1 (en) Substrate treatment device
EP1340244B1 (en) Method for thermally treating substrates
WO2020078860A1 (en) Device and method for controlling the temperature in a cvd reactor
WO2016116373A1 (en) Apparatus and method for thermally treating substrates
WO2016083373A1 (en) Method for calibrating a pyrometer arrangement of a cvd or pvd reactor
EP1390561A1 (en) Method and device for depositing layers
EP1297398B1 (en) Method and device for thermally treating objects
EP2443274B1 (en) Method for equipping an epitaxy reactor
EP4225969A1 (en) Method for emissivity-corrected pyrometry
EP3847293A2 (en) Method for controlling the ceiling temperature of a cvd reactor
WO2004059271A1 (en) Method for determining the temperature of a semiconductor wafer in a rapid thermal processing system
DE102017130551A1 (en) Apparatus and method for obtaining information about layers deposited in a CVD process
EP1007761B1 (en) Gas distribution system for a process reactor and method for processing semiconductor substrates
DE10241147A1 (en) Process for calibrating the temperature measuring system of an epitaxy reactor involves using the temperature in the process chamber of the reactor during deposition of an epitaxial layer on a substrate

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 13709798

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 112013001238

Country of ref document: DE

Ref document number: 1120130012383

Country of ref document: DE

REG Reference to national code

Ref country code: DE

Ref legal event code: R225

Ref document number: 112013001238

Country of ref document: DE

Effective date: 20150115

122 Ep: pct application non-entry in european phase

Ref document number: 13709798

Country of ref document: EP

Kind code of ref document: A1